SG11201509933QA - Compositions and methods for selectively etching titanium nitride - Google Patents

Compositions and methods for selectively etching titanium nitride

Info

Publication number
SG11201509933QA
SG11201509933QA SG11201509933QA SG11201509933QA SG11201509933QA SG 11201509933Q A SG11201509933Q A SG 11201509933QA SG 11201509933Q A SG11201509933Q A SG 11201509933QA SG 11201509933Q A SG11201509933Q A SG 11201509933QA SG 11201509933Q A SG11201509933Q A SG 11201509933QA
Authority
SG
Singapore
Prior art keywords
compositions
methods
titanium nitride
selectively etching
etching titanium
Prior art date
Application number
SG11201509933QA
Inventor
Li-Min Chen
Steven Lippy
Emanuel I Cooper
Lingyan Song
Original Assignee
Advanced Tech Materials
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Tech Materials filed Critical Advanced Tech Materials
Publication of SG11201509933QA publication Critical patent/SG11201509933QA/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/02Etching, surface-brightening or pickling compositions containing an alkali metal hydroxide
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/044Hydroxides or bases
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3942Inorganic per-compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3947Liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/395Bleaching agents
    • C11D3/3956Liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/06Hydroxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/20Other heavy metals
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)
SG11201509933QA 2013-06-06 2014-06-06 Compositions and methods for selectively etching titanium nitride SG11201509933QA (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361831928P 2013-06-06 2013-06-06
PCT/US2014/041322 WO2014197808A1 (en) 2013-06-06 2014-06-06 Compositions and methods for selectively etching titanium nitride

Publications (1)

Publication Number Publication Date
SG11201509933QA true SG11201509933QA (en) 2016-01-28

Family

ID=52008619

Family Applications (2)

Application Number Title Priority Date Filing Date
SG11201509933QA SG11201509933QA (en) 2013-06-06 2014-06-06 Compositions and methods for selectively etching titanium nitride
SG10201708364XA SG10201708364XA (en) 2013-06-06 2014-06-06 Compositions and methods for selectively etching titanium nitride

Family Applications After (1)

Application Number Title Priority Date Filing Date
SG10201708364XA SG10201708364XA (en) 2013-06-06 2014-06-06 Compositions and methods for selectively etching titanium nitride

Country Status (8)

Country Link
US (1) US10920141B2 (en)
EP (1) EP3004287B1 (en)
JP (2) JP6723152B2 (en)
KR (1) KR102338550B1 (en)
CN (2) CN111394100A (en)
SG (2) SG11201509933QA (en)
TW (1) TWI651396B (en)
WO (1) WO2014197808A1 (en)

Families Citing this family (111)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9765288B2 (en) * 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
SG11201509933QA (en) 2013-06-06 2016-01-28 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
CN105431506A (en) 2013-07-31 2016-03-23 高级技术材料公司 Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
KR102340516B1 (en) 2013-08-30 2021-12-21 엔테그리스, 아이엔씨. Compositions and methods for selectively etching titanium nitride
CN108485840B (en) * 2013-12-06 2020-12-29 富士胶片电子材料美国有限公司 Cleaning formulation for removing residues on surfaces
WO2015095175A1 (en) 2013-12-16 2015-06-25 Advanced Technology Materials, Inc. Ni:nige:ge selective etch formulations and method of using same
SG11201605003WA (en) 2013-12-20 2016-07-28 Entegris Inc Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
US9297081B2 (en) * 2014-02-21 2016-03-29 Ecolab Usa Inc. Use of neutralizing agent in olefin or styrene production
EP3093875A4 (en) * 2014-04-10 2017-03-08 Mitsubishi Gas Chemical Company, Inc. Liquid composition for semiconductor element cleaning and method for cleaning semiconductor element
CN107155367B (en) * 2014-06-30 2021-12-21 恩特格里斯公司 Aqueous and semi-aqueous cleaners utilizing tungsten and cobalt compatibility to remove post-etch residue
KR102255577B1 (en) * 2014-08-25 2021-05-25 엘지디스플레이 주식회사 Etching composition
KR102398801B1 (en) * 2014-11-13 2022-05-17 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 Semiconductor element cleaning solution that suppresses damage to cobalt, and method for cleaning semiconductor element using same
TWI639730B (en) * 2015-02-13 2018-11-01 關東鑫林科技股份有限公司 Etching liquid composition and etching method using the same
US9976111B2 (en) 2015-05-01 2018-05-22 Versum Materials Us, Llc TiN hard mask and etch residual removal
JP6761166B2 (en) * 2015-07-23 2020-09-23 セントラル硝子株式会社 Wet etching method and etching solution
US11492277B2 (en) 2015-07-29 2022-11-08 Ecolab Usa Inc. Heavy amine neutralizing agents for olefin or styrene production
TWI705132B (en) * 2015-10-08 2020-09-21 日商三菱瓦斯化學股份有限公司 Liquid composition for cleaning semiconductor element, cleaning method of semiconductor element, and manufacturing method of semiconductor element
TWI816635B (en) * 2015-10-15 2023-10-01 日商三菱瓦斯化學股份有限公司 Liquid composition for cleaning semiconductor components, cleaning method for semiconductor components, and manufacturing method for semiconductor components
TWI727025B (en) * 2016-03-29 2021-05-11 法商法國技術公司 Solution and method for etching titanium based materials
US10982129B2 (en) * 2016-08-05 2021-04-20 NuGeneration Technologies, LLC Composition and method for making converter-dissolver composition for dissolving metal sulfate scales from surfaces
JP6860276B2 (en) * 2016-09-09 2021-04-14 花王株式会社 Cleaning agent composition for peeling resin mask
EP3299323B1 (en) 2016-09-23 2020-04-01 Otis Elevator Company Secondary car operating panel for elevator cars
JP6970675B2 (en) * 2016-09-29 2021-11-24 富士フイルム株式会社 Treatment liquid and laminating body treatment method
KR101966808B1 (en) 2016-09-30 2019-04-08 세메스 주식회사 Anhydrous substrate cleaning compositions, substrate cleaning method and substrate treating apparatus
US10533146B2 (en) 2016-10-06 2020-01-14 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulations for removing residues on semiconductor substrates
KR20180060489A (en) * 2016-11-29 2018-06-07 삼성전자주식회사 Etching composition and method for fabricating semiconductor device by using the same
KR20180068591A (en) * 2016-12-14 2018-06-22 삼성전자주식회사 Etching composition and method for fabricating semiconductor device by using the same
US10790187B2 (en) 2017-01-17 2020-09-29 Entegris, Inc. Post-etch residue removal for advanced node beol processing
WO2018148237A1 (en) * 2017-02-10 2018-08-16 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulations
SG11201908616PA (en) * 2017-03-24 2019-10-30 Fujifilm Electronic Materials Usa Inc Cleaning compositions for removing residues on semiconductor substrates
JP7220142B2 (en) * 2017-03-31 2023-02-09 関東化学株式会社 Etchant composition and etching method for titanium layer or titanium-containing layer
KR102355690B1 (en) * 2017-04-11 2022-01-26 엔테그리스, 아이엔씨. Formulations and methods of use after chemical mechanical polishing
WO2018217628A1 (en) 2017-05-25 2018-11-29 Fujifilm Planar Solutions, LLC Chemical mechanical polishing slurry for cobalt applications
CN107229193B (en) * 2017-07-25 2019-04-23 上海新阳半导体材料股份有限公司 A kind of cleaning agent, preparation method and application
JP7235336B2 (en) * 2017-08-22 2023-03-08 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド cleaning composition
CN111033697B (en) * 2017-08-31 2023-10-10 富士胶片株式会社 Treatment liquid, kit, and method for cleaning substrate
KR101967157B1 (en) 2017-11-06 2019-04-09 한국원자력연구원 Radiation sensor having schottky contact structure between metal-semiconductor
US11377624B2 (en) 2017-12-08 2022-07-05 Basf Se Cleaning composition for post-etch or post ash residue removal from a semiconductor substrate and corresponding manufacturing process
US11390943B2 (en) 2017-12-18 2022-07-19 Entegris, Inc. Chemical resistant multi-layer coatings applied by atomic layer deposition
SG11202006733TA (en) * 2018-01-16 2020-08-28 Tokuyama Corp Treatment liquid for semiconductor wafers, which contains hypochlorite ions
EP3743773B1 (en) * 2018-01-25 2022-04-06 Merck Patent GmbH Photoresist remover compositions
JPWO2019146414A1 (en) * 2018-01-26 2021-01-07 日清エンジニアリング株式会社 Copper fine particles
US10934484B2 (en) * 2018-03-09 2021-03-02 Versum Materials Us, Llc Etching solution for selectively removing silicon-germanium alloy from a silicon-germanium/ germanium stack during manufacture of a semiconductor device
US11499236B2 (en) * 2018-03-16 2022-11-15 Versum Materials Us, Llc Etching solution for tungsten word line recess
KR102487940B1 (en) * 2018-03-19 2023-01-16 삼성디스플레이 주식회사 Etchant composition, and method for manufacturing metal pattern and array substrate using the same
CN111758148B (en) * 2018-03-23 2024-05-03 株式会社东芝 Treatment liquid and treatment method
KR20200138742A (en) 2018-03-28 2020-12-10 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. Cleaning composition
JP7105084B2 (en) * 2018-03-30 2022-07-22 ナガセケムテックス株式会社 Etchant composition
TW201942350A (en) * 2018-04-04 2019-11-01 德商巴斯夫歐洲公司 Imidazolidinethione-containing compositions for post-ash residue removal and/or for oxidative etching of a layer or mask comprising TiN
US11352593B2 (en) 2018-04-27 2022-06-07 Mitsubishi Gas Chemical Company, Inc. Aqueous composition and cleaning method using same
JPWO2019208684A1 (en) * 2018-04-27 2021-05-13 三菱瓦斯化学株式会社 Aqueous composition and cleaning method using it
TW201945531A (en) * 2018-04-27 2019-12-01 日商三菱瓦斯化學股份有限公司 Aqueous composition and cleaning method using same
KR102560240B1 (en) * 2018-05-01 2023-07-28 어플라이드 머티어리얼스, 인코포레이티드 Methods to Increase Selectivity for Selective Etch Processes
CN110484919A (en) * 2018-05-14 2019-11-22 深圳市裕展精密科技有限公司 The method and surface of decoating liquid and its stripping titanium-containing film are formed with the strip method of the substrate of titanium-containing film
KR102346832B1 (en) * 2018-05-23 2022-01-03 삼성에스디아이 주식회사 Etching composition for silicon nitride layer and etching process using the same
CN112384597A (en) * 2018-07-06 2021-02-19 恩特格里斯公司 Improvements in selectively etched materials
KR20210024187A (en) 2018-07-20 2021-03-04 엔테그리스, 아이엔씨. Cleaning composition with corrosion inhibitor
CN109161358A (en) * 2018-07-25 2019-01-08 佛山腾鲤新能源科技有限公司 A kind of preparation method of conducting resinl
US11017995B2 (en) * 2018-07-26 2021-05-25 Versum Materials Us, Llc Composition for TiN hard mask removal and etch residue cleaning
US11085011B2 (en) * 2018-08-28 2021-08-10 Entegris, Inc. Post CMP cleaning compositions for ceria particles
US10896824B2 (en) * 2018-12-14 2021-01-19 Tokyo Electron Limited Roughness reduction methods for materials using illuminated etch solutions
WO2020123518A2 (en) * 2018-12-14 2020-06-18 Tokyo Electron Limited Processing systems and platforms for roughness reduction of materials using illuminated etch solutions
JP7269348B2 (en) * 2018-12-14 2023-05-08 インテグリス・インコーポレーテッド Ruthenium etching composition and method
CN109594088A (en) * 2018-12-29 2019-04-09 陕西宝成航空仪表有限责任公司 The stripping liquid and preparation method and obstacles in quit of titanium nitride or TiAlN film layer
CN113287187A (en) * 2019-01-11 2021-08-20 弗萨姆材料美国有限责任公司 Hafnium oxide corrosion inhibitors
CN113454267A (en) * 2019-01-31 2021-09-28 富士胶片电子材料美国有限公司 Etching composition
TW202037706A (en) * 2019-02-13 2020-10-16 日商德山股份有限公司 Semiconductor wafer treatment liquid containing hypochlorite ions and ph buffer
EP3938465A4 (en) 2019-03-11 2022-10-26 Versum Materials US, LLC Etching solution and method for selectively removing silicon nitride during manufacture of a semiconductor device
EP3959291A4 (en) 2019-03-11 2023-07-19 Versum Materials US, LLC Etching solution and method for aluminum nitride
US20220135914A1 (en) * 2019-03-14 2022-05-05 Nissan Chemical Corporation Cleaning agent composition and cleaning method
CN113748192B (en) 2019-04-12 2023-08-29 埃科莱布美国股份有限公司 Antimicrobial multipurpose cleaners and methods of making and using the same
US20220220421A1 (en) 2019-05-23 2022-07-14 Basf Se Composition and process for electively etching a hard mask and/or an etch-stop layer in the presence of layers of low-k materials, copper, cobalt and/or tungsten
TW202106859A (en) * 2019-06-03 2021-02-16 美商富士軟片電子材料美國股份有限公司 Etching compositions
TWI795572B (en) * 2019-06-12 2023-03-11 關東鑫林科技股份有限公司 Etching composition
WO2021005980A1 (en) * 2019-07-05 2021-01-14 富士フイルム株式会社 Composition, kit, and treatment method for substrate
KR20210028447A (en) * 2019-09-04 2021-03-12 에스케이이노베이션 주식회사 Etching composition, method for etching insulating layer of semiconductor devices using the same and method for preparing semiconductor devices
WO2021050333A1 (en) * 2019-09-10 2021-03-18 Fujifilm Electronic Materials U.S.A., Inc. Etching composition
TWI810469B (en) 2019-09-27 2023-08-01 日商德山股份有限公司 Treatment liquid for semiconductor of ruthenium and production method thereof
JP6874231B1 (en) * 2019-09-27 2021-05-19 株式会社トクヤマ RuO4 gas generation inhibitor and RuO4 gas generation suppression method
KR20210092472A (en) 2020-01-16 2021-07-26 동우 화인켐 주식회사 A molybdenum etchant composition and a pattern formation method using the same
KR20210100923A (en) 2020-02-07 2021-08-18 동우 화인켐 주식회사 A metal layer etchant composition and a pattern formation method using the same
WO2021178347A1 (en) * 2020-03-04 2021-09-10 Versum Materials Us, Llc Etching solution for titanium nitride and molybdenum conductive metal lines
WO2021176913A1 (en) * 2020-03-04 2021-09-10 富士フイルム株式会社 Processing solution and processing solution container
CN113430072B (en) * 2020-03-23 2024-05-07 上海新阳半导体材料股份有限公司 Cobalt-compatible semi-aqueous cleaning solution for removing hard mask, preparation method and application thereof
CN113430066B (en) * 2020-03-23 2024-04-19 上海新阳半导体材料股份有限公司 Cleaning composition for selectively removing hard mask, preparation method and application thereof
CN113433807A (en) * 2020-03-23 2021-09-24 上海新阳半导体材料股份有限公司 Ion implantation photoresist cleaning solution, preparation method and application thereof
KR102339685B1 (en) * 2020-03-25 2021-12-16 주식회사 이엔에프테크놀로지 Silicon nitride layer etching composition
US20230238251A1 (en) * 2020-04-14 2023-07-27 Mitsubishi Gas Chemical Company, Inc. Etching liquid for titanium and/or titanium alloy, method for etching titanium and/or titanium alloy with use of said etching liquid, and method for producing substrate with use of said etching liquid
CN111430799B (en) * 2020-04-22 2023-02-14 上海纳米技术及应用国家工程研究中心有限公司 High-voltage electrolyte for lithium nickel manganese oxide positive electrode material
CN111850564A (en) * 2020-07-16 2020-10-30 桂林理工大学 Titanium compound film deplating solution and deplating method
JP7375032B2 (en) * 2020-08-07 2023-11-07 株式会社トクヤマ Processing liquid for semiconductor wafers
US20220049160A1 (en) * 2020-08-13 2022-02-17 Entegris, Inc. Nitride etchant composition and method
TWI824299B (en) * 2020-09-22 2023-12-01 美商恩特葛瑞斯股份有限公司 Etchant compositions
US20230365893A1 (en) * 2020-09-29 2023-11-16 Mitsubishi Gas Chemical Company, Inc. Composition for cleaning semiconductor substrate, and cleaning method
CN112540515B (en) * 2020-12-16 2023-11-21 江苏艾森半导体材料股份有限公司 Photoresist photoresist stripping solution and preparation method and application thereof
CN113161234B (en) * 2021-04-27 2023-02-17 上海新阳半导体材料股份有限公司 Application of fluorine-containing cleaning liquid composition
CN113186043B (en) * 2021-04-27 2023-05-30 上海新阳半导体材料股份有限公司 Fluorine-containing cleaning fluid composition and application thereof
CN113150884B (en) * 2021-04-27 2022-12-30 上海新阳半导体材料股份有限公司 Preparation method of fluorine-containing cleaning liquid composition
TWI818541B (en) * 2021-05-12 2023-10-11 美商恩特葛瑞斯股份有限公司 Selective etchant compositions and methods
CN113549462A (en) * 2021-06-16 2021-10-26 江阴润玛电子材料股份有限公司 Ultrapure ammonium fluoride etching solution for microelectronics and preparation method thereof
JP2023043419A (en) * 2021-09-16 2023-03-29 株式会社Screenホールディングス Substrate processing method and substrate processing apparatus
CN114369462A (en) * 2021-12-16 2022-04-19 湖北兴福电子材料有限公司 Etching solution for selectively etching titanium nitride and tungsten
TW202342700A (en) * 2022-04-20 2023-11-01 日商東京應化工業股份有限公司 Etching composition, etching method using the same and method for manufacturing electronic parts containing at least one of alkali compounds, organic solvents, water, chelating agents and surfactants
CN115044376B (en) * 2022-06-30 2023-12-29 湖北兴福电子材料股份有限公司 Scandium-doped aluminum nitride etching solution and application thereof
CN115011347B (en) * 2022-06-30 2023-12-29 湖北兴福电子材料股份有限公司 Selective etching solution for aluminum nitride and tungsten
CN114989825B (en) * 2022-06-30 2023-07-11 湖北兴福电子材料股份有限公司 Scandium-doped aluminum nitride and tungsten selective etching solution
WO2024004980A1 (en) * 2022-07-01 2024-01-04 三菱瓦斯化学株式会社 Semiconductor substrate cleaning composition, method for cleaning semiconductor substrates, and method for producing semiconductor substrates
CN115710536B (en) * 2022-11-11 2024-03-08 上海新阳半导体材料股份有限公司 Preparation method of cleaning liquid

Family Cites Families (162)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE457647B (en) 1987-06-24 1989-01-16 Eka Nobel Ab SEATED WHITE MATERIAL WITH DITIONITE SOLUTION
US5209858A (en) 1991-02-06 1993-05-11 E. I. Du Pont De Nemours And Company Stabilization of choline and its derivatives against discoloration
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
US6065424A (en) 1995-12-19 2000-05-23 Cornell Research Foundation, Inc. Electroless deposition of metal films with spray processor
US5702075A (en) 1996-01-31 1997-12-30 David Lehrman Automatically collapsible support for an electrical cord for use with an ironing board
EP0810302B1 (en) * 1996-05-30 2001-08-01 Nalco Chemical Company Use of a mixture of surfactants for corrosion inhibiting
US6323168B1 (en) 1996-07-03 2001-11-27 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US7534752B2 (en) 1996-07-03 2009-05-19 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US6755989B2 (en) 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6224785B1 (en) 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US5993685A (en) 1997-04-02 1999-11-30 Advanced Technology Materials Planarization composition for removing metal films
AU7147798A (en) 1997-04-23 1998-11-13 Advanced Chemical Systems International, Inc. Planarization compositions for cmp of interlayer dielectrics
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US5976928A (en) 1997-11-20 1999-11-02 Advanced Technology Materials, Inc. Chemical mechanical polishing of FeRAM capacitors
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
CA2332390A1 (en) 1998-05-18 1999-11-25 Advanced Technology Materials, Inc. Stripping compositions for semiconductor substrates
US6875733B1 (en) 1998-10-14 2005-04-05 Advanced Technology Materials, Inc. Ammonium borate containing compositions for stripping residues from semiconductor substrates
US6395194B1 (en) 1998-12-18 2002-05-28 Intersurface Dynamics Inc. Chemical mechanical polishing compositions, and process for the CMP removal of iridium thin using same
US6419554B2 (en) * 1999-06-24 2002-07-16 Micron Technology, Inc. Fixed abrasive chemical-mechanical planarization of titanium nitride
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
TW467802B (en) 1999-10-12 2001-12-11 Hunatech Co Ltd Conditioner for polishing pad and method for manufacturing the same
JP2001135601A (en) 1999-11-09 2001-05-18 Speedfam Co Ltd Polishing method for planalizing semiconductor device
US6492308B1 (en) 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6723691B2 (en) 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
JP3515041B2 (en) * 2000-03-13 2004-04-05 沖電気工業株式会社 Method for manufacturing semiconductor device
US6409781B1 (en) 2000-05-01 2002-06-25 Advanced Technology Materials, Inc. Polishing slurries for copper and associated materials
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
JP2002231666A (en) * 2001-01-31 2002-08-16 Fujimi Inc Composition for polishing, and polishing method using the composition
US6627587B2 (en) 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US7029373B2 (en) 2001-08-14 2006-04-18 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6800218B2 (en) 2001-08-23 2004-10-05 Advanced Technology Materials, Inc. Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same
US6802983B2 (en) 2001-09-17 2004-10-12 Advanced Technology Materials, Inc. Preparation of high performance silica slurry using a centrifuge
US7030168B2 (en) 2001-12-31 2006-04-18 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
US7326673B2 (en) 2001-12-31 2008-02-05 Advanced Technology Materials, Inc. Treatment of semiconductor substrates using long-chain organothiols or long-chain acetates
US7119418B2 (en) 2001-12-31 2006-10-10 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
US7557073B2 (en) 2001-12-31 2009-07-07 Advanced Technology Materials, Inc. Non-fluoride containing supercritical fluid composition for removal of ion-implant photoresist
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US7188644B2 (en) 2002-05-03 2007-03-13 Advanced Technology Materials, Inc. Apparatus and method for minimizing the generation of particles in ultrapure liquids
US6698619B2 (en) 2002-05-03 2004-03-02 Advanced Technology Materials, Inc. Returnable and reusable, bag-in-drum fluid storage and dispensing container system
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US7485611B2 (en) 2002-10-31 2009-02-03 Advanced Technology Materials, Inc. Supercritical fluid-based cleaning compositions and methods
US7011716B2 (en) 2003-04-29 2006-03-14 Advanced Technology Materials, Inc. Compositions and methods for drying patterned wafers during manufacture of integrated circuitry products
US20060019850A1 (en) 2002-10-31 2006-01-26 Korzenski Michael B Removal of particle contamination on a patterned silicon/silicon dioxide using dense fluid/chemical formulations
US7223352B2 (en) 2002-10-31 2007-05-29 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US6943139B2 (en) 2002-10-31 2005-09-13 Advanced Technology Materials, Inc. Removal of particle contamination on patterned silicon/silicon dioxide using supercritical carbon dioxide/chemical formulations
US6989358B2 (en) 2002-10-31 2006-01-24 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for removal of photoresists
US7300601B2 (en) * 2002-12-10 2007-11-27 Advanced Technology Materials, Inc. Passivative chemical mechanical polishing composition for copper film planarization
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
US6735978B1 (en) 2003-02-11 2004-05-18 Advanced Technology Materials, Inc. Treatment of supercritical fluid utilized in semiconductor manufacturing applications
KR20060024775A (en) * 2003-05-12 2006-03-17 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Chemical mechanical polishing compositions for step-ii copper liner and other associated materials and method of using same
US7736405B2 (en) 2003-05-12 2010-06-15 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for copper and associated materials and method of using same
US7119052B2 (en) 2003-06-24 2006-10-10 Advanced Technology Materials, Inc. Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
US20050025973A1 (en) 2003-07-25 2005-02-03 Slutz David E. CVD diamond-coated composite substrate containing a carbide-forming material and ceramic phases and method for making same
US7335239B2 (en) 2003-11-17 2008-02-26 Advanced Technology Materials, Inc. Chemical mechanical planarization pad
US20050118832A1 (en) 2003-12-01 2005-06-02 Korzenski Michael B. Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
JP2007519942A (en) 2003-12-02 2007-07-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Chemicals and methods for stripping resist, BARC, and gap fill materials
US20050145311A1 (en) 2003-12-30 2005-07-07 Walker Elizabeth L. Method for monitoring surface treatment of copper containing devices
BRPI0418529A (en) * 2004-02-11 2007-05-15 Mallinckrodt Baker Inc microelectronic cleaning compositions containing oxygenated halogen acids, salts and derivatives thereof
US7553803B2 (en) 2004-03-01 2009-06-30 Advanced Technology Materials, Inc. Enhancement of silicon-containing particulate material removal using supercritical fluid-based compositions
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US20050227482A1 (en) 2004-03-24 2005-10-13 Korzenski Michael B Composition useful for removal of bottom anti-reflection coatings from patterned ion-implanted photoresist wafers
JP2008505867A (en) 2004-07-09 2008-02-28 アクゾ ノーベル ナムローゼ フェンノートシャップ Composition comprising choline hydroxide and method for producing the same
JP4145273B2 (en) 2004-07-14 2008-09-03 株式会社ノリタケスーパーアブレーシブ CMP pad conditioner
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060148666A1 (en) 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7923423B2 (en) 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
US7365045B2 (en) 2005-03-30 2008-04-29 Advanced Tehnology Materials, Inc. Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
WO2006110279A1 (en) * 2005-04-08 2006-10-19 Sachem, Inc. Selective wet etching of metal nitrides
WO2006110645A2 (en) 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
TW200700935A (en) 2005-04-15 2007-01-01 Advanced Tech Materials Formulations for cleaning ion-implanted photoresist layers from microelectronic devices
US20070251551A1 (en) 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
KR20070121057A (en) 2005-04-15 2007-12-26 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Apparatus and method for supercritical fluid removal or deposition processes
SG162725A1 (en) 2005-05-26 2010-07-29 Advanced Tech Materials Copper passivating post-chemical mechanical polishing cleaning composition and method of use
CN101511607A (en) 2005-06-06 2009-08-19 高级技术材料公司 Integrated chemical mechanical polishing composition and process for single platen processing
CN101233456B (en) 2005-06-07 2013-01-02 高级技术材料公司 Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
EP1894230A2 (en) 2005-06-13 2008-03-05 Advanced Technology Materials, Inc. Compositions and methods for selective removal of metal or metal alloy after metal silicide formation
KR20080023346A (en) 2005-06-16 2008-03-13 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Dense fluid compositions for removal of hardened photoresist, post-etch residue and/or bottom anti-reflective coating layers
TW200714696A (en) 2005-08-05 2007-04-16 Advanced Tech Materials High throughput chemical mechanical polishing composition for metal film planarization
KR100685738B1 (en) 2005-08-08 2007-02-26 삼성전자주식회사 Removing composition for an insulation material, method of removing an insulation layer and method of recycling a substrate using the same
WO2007027522A2 (en) 2005-08-29 2007-03-08 Advanced Technology Materials, Inc. Composition and method for removing thick film photoresist
JP2009512194A (en) 2005-10-05 2009-03-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Oxidative aqueous cleaning agent to remove post-etch residue
WO2007044447A2 (en) 2005-10-05 2007-04-19 Advanced Technology Materials, Inc. Composition and method for selectively etching gate spacer oxide material
WO2007047365A2 (en) 2005-10-13 2007-04-26 Advanced Technology Materials, Inc. Metals compatible photoresist and/or sacrificial antireflective coating removal composition
WO2007120259A2 (en) 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
TWI513799B (en) 2005-11-09 2015-12-21 Entegris Inc Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
TW200734448A (en) 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US20070225186A1 (en) * 2006-03-27 2007-09-27 Matthew Fisher Alkaline solutions for post CMP cleaning processes
WO2008036823A2 (en) 2006-09-21 2008-03-27 Advanced Technology Materials, Inc. Uric acid additive for cleaning formulations
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
US20080076688A1 (en) 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US20100056410A1 (en) 2006-09-25 2010-03-04 Advanced Technology Materials, Inc. Compositions and methods for the removal of photoresist for a wafer rework application
US20080125342A1 (en) * 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
SG10201610631UA (en) * 2006-12-21 2017-02-27 Entegris Inc Liquid cleaner for the removal of post-etch residues
CN101605869B (en) 2006-12-21 2014-03-05 高级技术材料公司 Compositions and methods for selective removal of silicon nitride
JP2008177180A (en) * 2007-01-16 2008-07-31 Ebara Corp Preprocessing solution for polishing wiring substrate, polishing method, process and apparatus for manufacturing wiring substrate
TW200916564A (en) 2007-01-31 2009-04-16 Advanced Tech Materials Stabilization of polymer-silica dispersions for chemical mechanical polishing slurry applications
KR101344541B1 (en) * 2007-02-02 2013-12-26 동우 화인켐 주식회사 Composition of Selective Etching solutions For Silicon Oxide Film
TWI516573B (en) 2007-02-06 2016-01-11 安堤格里斯公司 Composition and process for the selective removal of tisin
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
KR20100017695A (en) 2007-05-09 2010-02-16 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Systems and methods for material blending and distribution
WO2008157345A2 (en) * 2007-06-13 2008-12-24 Advanced Technology Materials, Inc. Wafer reclamation compositions and methods
KR20100051839A (en) 2007-08-02 2010-05-18 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Non-fluoride containing composition for the removal of residue from a microelectronic device
TW200927918A (en) 2007-08-20 2009-07-01 Advanced Tech Materials Composition and method for removing ion-implanted photoresist
JP2009075285A (en) * 2007-09-20 2009-04-09 Fujifilm Corp Stripper for semiconductor device and stripping method
TW200932670A (en) 2007-11-14 2009-08-01 Advanced Tech Materials Solvent-free synthesis of soluble nanocrystals
TW200934865A (en) 2007-11-30 2009-08-16 Advanced Tech Materials Formulations for cleaning memory device structures
EP2268765A4 (en) 2008-03-07 2011-10-26 Advanced Tech Materials Non-selective oxide etch wet clean composition and method of use
US20090253072A1 (en) 2008-04-01 2009-10-08 Petruska Melissa A Nanoparticle reversible contrast enhancement material and method
KR20100133507A (en) 2008-05-01 2010-12-21 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Low ph mixtures for the removal of high density implanted resist
WO2010017160A2 (en) 2008-08-04 2010-02-11 Advanced Technology Materials, Inc. Environmentally friendly polymer stripping compositions
KR20110063845A (en) * 2008-10-02 2011-06-14 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Use of surfactant/defoamer mixtures for enhanced metals loading and surface passivation of silicon substrates
WO2010048139A2 (en) * 2008-10-21 2010-04-29 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
EP2391700A4 (en) 2009-01-28 2016-08-31 Entegris Inc Lithographic tool in situ clean formulations
WO2010086745A1 (en) 2009-02-02 2010-08-05 Atmi Taiwan Co., Ltd. Method of etching lanthanum-containing oxide layers
WO2010091045A2 (en) 2009-02-05 2010-08-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of polymers and other organic material from a surface
US8754021B2 (en) 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
US8367555B2 (en) 2009-12-11 2013-02-05 International Business Machines Corporation Removal of masking material
CN102770524B (en) 2010-01-29 2015-04-22 高级技术材料公司 Cleaning agent for semiconductor provided with metal wiring
JP5858597B2 (en) 2010-01-29 2016-02-10 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Cleaning agent for tungsten wiring semiconductor
AP2016009105A0 (en) 2010-04-15 2016-03-31 Entegris Inc Method for recycling of obsolete printed circuit boards
JP2012021151A (en) 2010-06-16 2012-02-02 Sanyo Chem Ind Ltd Cleaning agent for copper wiring semiconductor
SG187551A1 (en) 2010-07-16 2013-03-28 Advanced Tech Materials Aqueous cleaner for the removal of post-etch residues
JP6101421B2 (en) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド Etching solution for copper or copper alloy
CN103249849B (en) 2010-08-20 2015-11-25 安格斯公司 The sustainable method of precious metal and base metal is reclaimed from electronic waste
JP2013537724A (en) 2010-08-27 2013-10-03 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド How to prevent high aspect ratio structural collapse during drying
SG189292A1 (en) 2010-10-06 2013-05-31 Advanced Tech Materials Composition and process for selectively etching metal nitrides
KR101891363B1 (en) 2010-10-13 2018-08-24 엔테그리스, 아이엔씨. Composition for and method of suppressing titanium nitride corrosion
US20140318584A1 (en) 2011-01-13 2014-10-30 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium-containing solutions
JP2012186470A (en) 2011-02-18 2012-09-27 Sanyo Chem Ind Ltd Cleaner for copper wiring semiconductor
WO2012154498A2 (en) 2011-05-06 2012-11-15 Advanced Technology Materials, Inc. Removal of metal impurities from silicon surfaces for solar cell and semiconductor applications
JP2012251026A (en) 2011-05-31 2012-12-20 Sanyo Chem Ind Ltd Cleaning agent for semiconductor
TW201311869A (en) 2011-06-16 2013-03-16 Advanced Tech Materials Compositions and methods for selectively etching silicon nitride
AP2014007373A0 (en) 2011-06-21 2014-01-31 Advanced Tech Materials Method for the recovery of lithium cobalt oxide from lithium ion batteries
KR101776923B1 (en) 2011-08-05 2017-09-11 삼성디스플레이 주식회사 Etchant composition, method of forming a metal pattern using the etchant and method of manufacturing a display substrate
JP5933950B2 (en) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Etching solution for copper or copper alloy
CN105869997A (en) 2011-10-21 2016-08-17 安格斯公司 Amine-free post-CMP composition and using method thereof
US8618036B2 (en) 2011-11-14 2013-12-31 International Business Machines Corporation Aqueous cerium-containing solution having an extended bath lifetime for removing mask material
EP2802555A1 (en) 2011-11-22 2014-11-19 Taminco Stabilized choline solutions and methods for preparing the same
CN106914470A (en) 2011-12-15 2017-07-04 恩特格里斯公司 For the apparatus and method for recycling period stripping solder metal in discarded Electrical and Electronic equipment
US9546321B2 (en) * 2011-12-28 2017-01-17 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
WO2013123317A1 (en) 2012-02-15 2013-08-22 Advanced Technology Materials, Inc. Post-cmp removal using compositions and method of use
WO2013138278A1 (en) 2012-03-12 2013-09-19 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
US20150075570A1 (en) 2012-03-12 2015-03-19 Entegris, Inc. Methods for the selective removal of ashed spin-on glass
SG11201405737VA (en) 2012-03-18 2014-10-30 Entegris Inc Post-cmp formulation having improved barrier layer compatibility and cleaning performance
WO2013152260A1 (en) 2012-04-06 2013-10-10 Advanced Technology Materials, Inc. Removal of lead from solid materials
CN103874679A (en) 2012-04-13 2014-06-18 亨斯迈石油化学有限责任公司 Using novel amines to stabilize quaternary trialkylalkanolamines
US20130295712A1 (en) 2012-05-03 2013-11-07 Advanced Technology Materials, Inc. Methods of texturing surfaces for controlled reflection
EP2847364A4 (en) 2012-05-11 2015-10-28 Entegris Inc Formulations for wet etching nipt during silicide fabrication
WO2013173738A1 (en) 2012-05-18 2013-11-21 Advanced Technology Materials, Inc. Composition and process for stripping photoresist from a surface including titanium nitride
EP2850651A4 (en) 2012-05-18 2016-03-09 Entegris Inc Aqueous clean solution with low copper etch rate for organic residue removal improvement
JP6063206B2 (en) * 2012-10-22 2017-01-18 富士フイルム株式会社 Etching solution, etching method using the same, and semiconductor device manufacturing method
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
SG11201507014RA (en) 2013-03-04 2015-10-29 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
EP2778158A1 (en) 2013-03-14 2014-09-17 Advanced Technology Materials, Inc. Sulfolane mixtures as ambient aprotic polar solvents
US20160075971A1 (en) 2013-04-22 2016-03-17 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
US20160122696A1 (en) 2013-05-17 2016-05-05 Advanced Technology Materials, Inc. Compositions and methods for removing ceria particles from a surface
SG11201509933QA (en) 2013-06-06 2016-01-28 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
US20160362804A1 (en) 2014-02-25 2016-12-15 Entegris, Inc. Wet based formulations for the selective removal of noble metals

Also Published As

Publication number Publication date
EP3004287A1 (en) 2016-04-13
EP3004287A4 (en) 2017-06-21
SG10201708364XA (en) 2017-11-29
WO2014197808A1 (en) 2014-12-11
TW201504397A (en) 2015-02-01
JP2019134168A (en) 2019-08-08
US20160130500A1 (en) 2016-05-12
KR20160014714A (en) 2016-02-11
EP3004287B1 (en) 2021-08-18
CN111394100A (en) 2020-07-10
JP6723152B2 (en) 2020-07-15
JP2016527707A (en) 2016-09-08
TWI651396B (en) 2019-02-21
KR102338550B1 (en) 2021-12-14
US10920141B2 (en) 2021-02-16
CN105683336A (en) 2016-06-15

Similar Documents

Publication Publication Date Title
SG11201509933QA (en) Compositions and methods for selectively etching titanium nitride
SG10201706443QA (en) Compositions and methods for selectively etching titanium nitride
SG10201801575YA (en) Compositions and methods for selectively etching titanium nitride
IL273205A (en) Compositions and methods
SG10201609929QA (en) Etching compositions and methods for using same
HK1218837A1 (en) Compositions and methods
HK1218560A1 (en) Compositions and methods
IL247843A0 (en) Etching composition
GB2533892B (en) Compositions and methods
EP3003049A4 (en) Compositions and methods for enhancing germination
SG11201403556WA (en) Compositions and methods for selectively etching titanium nitride
GB201308072D0 (en) Compositions and methods
IL236316A0 (en) Composition for titanium nitride hard mask and etch residue removal
PL3062718T3 (en) Osteotomy implant
GB201305813D0 (en) Compositions and methods
EP3666870C0 (en) Compositions and methods for removing soils from surfaces
EP2964610A4 (en) Vinylsulfone-based 18f-labeling compositions and methods and uses thereof
PT3038596T (en) Compositions and methods for the removal of tattoos
EP3016971A4 (en) Compositions and methods for inhibiting thrombogenesis
HK1212916A1 (en) Composition comprising processed extracts
PT3160263T (en) Compositions and methods for preventing infections
ZA201500493B (en) Glycoconjugation processes and compositions
GB201322617D0 (en) Methods and compositions
GB201312393D0 (en) Compositions and Methods
AU2013902874A0 (en) Compositions and methods