CN105869997A - Amine-free post-CMP composition and using method thereof - Google Patents
Amine-free post-CMP composition and using method thereof Download PDFInfo
- Publication number
- CN105869997A CN105869997A CN201610274374.1A CN201610274374A CN105869997A CN 105869997 A CN105869997 A CN 105869997A CN 201610274374 A CN201610274374 A CN 201610274374A CN 105869997 A CN105869997 A CN 105869997A
- Authority
- CN
- China
- Prior art keywords
- acid
- residue
- cleasing compositions
- compositions
- weight
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 239000000203 mixture Substances 0.000 title claims abstract description 133
- 238000000034 method Methods 0.000 title claims abstract description 52
- 239000000463 material Substances 0.000 claims abstract description 88
- 238000004377 microelectronic Methods 0.000 claims abstract description 48
- 150000001412 amines Chemical class 0.000 claims abstract description 15
- 238000005498 polishing Methods 0.000 claims abstract description 11
- HUCVOHYBFXVBRW-UHFFFAOYSA-M caesium hydroxide Chemical compound [OH-].[Cs+] HUCVOHYBFXVBRW-UHFFFAOYSA-M 0.000 claims description 70
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 50
- PEDCQBHIVMGVHV-UHFFFAOYSA-N Glycerine Chemical compound OCC(O)CO PEDCQBHIVMGVHV-UHFFFAOYSA-N 0.000 claims description 43
- 239000003344 environmental pollutant Substances 0.000 claims description 37
- 231100000719 pollutant Toxicity 0.000 claims description 37
- DNIAPMSPPWPWGF-UHFFFAOYSA-N Propylene glycol Chemical compound CC(O)CO DNIAPMSPPWPWGF-UHFFFAOYSA-N 0.000 claims description 30
- LYCAIKOWRPUZTN-UHFFFAOYSA-N Ethylene glycol Chemical compound OCCO LYCAIKOWRPUZTN-UHFFFAOYSA-N 0.000 claims description 29
- 239000002738 chelating agent Substances 0.000 claims description 25
- 229910052757 nitrogen Inorganic materials 0.000 claims description 22
- 239000003960 organic solvent Substances 0.000 claims description 22
- 150000001447 alkali salts Chemical class 0.000 claims description 21
- -1 glycolic Chemical compound 0.000 claims description 21
- NBZBKCUXIYYUSX-UHFFFAOYSA-N iminodiacetic acid Chemical compound OC(=O)CNCC(O)=O NBZBKCUXIYYUSX-UHFFFAOYSA-N 0.000 claims description 20
- 238000010790 dilution Methods 0.000 claims description 18
- 239000012895 dilution Substances 0.000 claims description 18
- LNTHITQWFMADLM-UHFFFAOYSA-N gallic acid Chemical compound OC(=O)C1=CC(O)=C(O)C(O)=C1 LNTHITQWFMADLM-UHFFFAOYSA-N 0.000 claims description 18
- 230000008569 process Effects 0.000 claims description 17
- 239000002245 particle Substances 0.000 claims description 16
- 239000004094 surface-active agent Substances 0.000 claims description 16
- CIWBSHSKHKDKBQ-JLAZNSOCSA-N Ascorbic acid Chemical compound OC[C@H](O)[C@H]1OC(=O)C(O)=C1O CIWBSHSKHKDKBQ-JLAZNSOCSA-N 0.000 claims description 14
- MTHSVFCYNBDYFN-UHFFFAOYSA-N diethylene glycol Chemical compound OCCOCCO MTHSVFCYNBDYFN-UHFFFAOYSA-N 0.000 claims description 14
- KGBXLFKZBHKPEV-UHFFFAOYSA-N boric acid Chemical compound OB(O)O KGBXLFKZBHKPEV-UHFFFAOYSA-N 0.000 claims description 11
- 239000004327 boric acid Substances 0.000 claims description 11
- 239000002253 acid Substances 0.000 claims description 10
- QTBSBXVTEAMEQO-UHFFFAOYSA-N Acetic acid Chemical compound CC(O)=O QTBSBXVTEAMEQO-UHFFFAOYSA-N 0.000 claims description 9
- 229940074391 gallic acid Drugs 0.000 claims description 9
- 235000004515 gallic acid Nutrition 0.000 claims description 9
- UHOVQNZJYSORNB-UHFFFAOYSA-N Benzene Chemical compound C1=CC=CC=C1 UHOVQNZJYSORNB-UHFFFAOYSA-N 0.000 claims description 8
- OFOBLEOULBTSOW-UHFFFAOYSA-N Propanedioic acid Natural products OC(=O)CC(O)=O OFOBLEOULBTSOW-UHFFFAOYSA-N 0.000 claims description 8
- 150000003863 ammonium salts Chemical class 0.000 claims description 8
- 239000003795 chemical substances by application Substances 0.000 claims description 8
- HXJUTPCZVOIRIF-UHFFFAOYSA-N sulfolane Chemical compound O=S1(=O)CCCC1 HXJUTPCZVOIRIF-UHFFFAOYSA-N 0.000 claims description 8
- 150000003457 sulfones Chemical class 0.000 claims description 8
- 235000010323 ascorbic acid Nutrition 0.000 claims description 7
- 229960005070 ascorbic acid Drugs 0.000 claims description 7
- 239000011668 ascorbic acid Substances 0.000 claims description 7
- HHVIBTZHLRERCL-UHFFFAOYSA-N sulfonyldimethane Chemical compound CS(C)(=O)=O HHVIBTZHLRERCL-UHFFFAOYSA-N 0.000 claims description 7
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims description 6
- RGHNJXZEOKUKBD-SQOUGZDYSA-N D-gluconic acid Chemical compound OC[C@@H](O)[C@@H](O)[C@H](O)[C@@H](O)C(O)=O RGHNJXZEOKUKBD-SQOUGZDYSA-N 0.000 claims description 6
- KCXVZYZYPLLWCC-UHFFFAOYSA-N EDTA Chemical compound OC(=O)CN(CC(O)=O)CCN(CC(O)=O)CC(O)=O KCXVZYZYPLLWCC-UHFFFAOYSA-N 0.000 claims description 6
- KRHYYFGTRYWZRS-UHFFFAOYSA-M Fluoride anion Chemical compound [F-] KRHYYFGTRYWZRS-UHFFFAOYSA-M 0.000 claims description 6
- VZCYOOQTPOCHFL-OWOJBTEDSA-N Fumaric acid Chemical compound OC(=O)\C=C\C(O)=O VZCYOOQTPOCHFL-OWOJBTEDSA-N 0.000 claims description 6
- DHMQDGOQFOQNFH-UHFFFAOYSA-N Glycine Chemical compound NCC(O)=O DHMQDGOQFOQNFH-UHFFFAOYSA-N 0.000 claims description 6
- ONIBWKKTOPOVIA-BYPYZUCNSA-N L-Proline Chemical compound OC(=O)[C@@H]1CCCN1 ONIBWKKTOPOVIA-BYPYZUCNSA-N 0.000 claims description 6
- OUYCCCASQSFEME-QMMMGPOBSA-N L-tyrosine Chemical compound OC(=O)[C@@H](N)CC1=CC=C(O)C=C1 OUYCCCASQSFEME-QMMMGPOBSA-N 0.000 claims description 6
- KWYUFKZDYYNOTN-UHFFFAOYSA-M Potassium hydroxide Chemical compound [OH-].[K+] KWYUFKZDYYNOTN-UHFFFAOYSA-M 0.000 claims description 6
- ONIBWKKTOPOVIA-UHFFFAOYSA-N Proline Natural products OC(=O)C1CCCN1 ONIBWKKTOPOVIA-UHFFFAOYSA-N 0.000 claims description 6
- WNLRTRBMVRJNCN-UHFFFAOYSA-N adipic acid Chemical compound OC(=O)CCCCC(O)=O WNLRTRBMVRJNCN-UHFFFAOYSA-N 0.000 claims description 6
- JFCQEDHGNNZCLN-UHFFFAOYSA-N glutaric acid Chemical compound OC(=O)CCCC(O)=O JFCQEDHGNNZCLN-UHFFFAOYSA-N 0.000 claims description 6
- HHLFWLYXYJOTON-UHFFFAOYSA-N glyoxylic acid Chemical compound OC(=O)C=O HHLFWLYXYJOTON-UHFFFAOYSA-N 0.000 claims description 6
- JVTAAEKCZFNVCJ-UHFFFAOYSA-N lactic acid Chemical compound CC(O)C(O)=O JVTAAEKCZFNVCJ-UHFFFAOYSA-N 0.000 claims description 6
- BDAGIHXWWSANSR-UHFFFAOYSA-N methanoic acid Natural products OC=O BDAGIHXWWSANSR-UHFFFAOYSA-N 0.000 claims description 6
- XNGIFLGASWRNHJ-UHFFFAOYSA-N phthalic acid Chemical compound OC(=O)C1=CC=CC=C1C(O)=O XNGIFLGASWRNHJ-UHFFFAOYSA-N 0.000 claims description 6
- 229920000642 polymer Polymers 0.000 claims description 6
- 150000003839 salts Chemical class 0.000 claims description 6
- ARCGXLSVLAOJQL-UHFFFAOYSA-N trimellitic acid Chemical compound OC(=O)C1=CC=C(C(O)=O)C(C(O)=O)=C1 ARCGXLSVLAOJQL-UHFFFAOYSA-N 0.000 claims description 6
- OUYCCCASQSFEME-UHFFFAOYSA-N tyrosine Natural products OC(=O)C(N)CC1=CC=C(O)C=C1 OUYCCCASQSFEME-UHFFFAOYSA-N 0.000 claims description 6
- 150000003009 phosphonic acids Chemical class 0.000 claims description 5
- VZCYOOQTPOCHFL-UHFFFAOYSA-N trans-butenedioic acid Natural products OC(=O)C=CC(O)=O VZCYOOQTPOCHFL-UHFFFAOYSA-N 0.000 claims description 5
- ROHFNLRQFUQHCH-YFKPBYRVSA-N L-leucine Chemical compound CC(C)C[C@H](N)C(O)=O ROHFNLRQFUQHCH-YFKPBYRVSA-N 0.000 claims description 4
- ROHFNLRQFUQHCH-UHFFFAOYSA-N Leucine Natural products CC(C)CC(N)C(O)=O ROHFNLRQFUQHCH-UHFFFAOYSA-N 0.000 claims description 4
- 241001597008 Nomeidae Species 0.000 claims description 4
- YRKCREAYFQTBPV-UHFFFAOYSA-N acetylacetone Chemical compound CC(=O)CC(C)=O YRKCREAYFQTBPV-UHFFFAOYSA-N 0.000 claims description 4
- 239000003513 alkali Substances 0.000 claims description 4
- 229910052784 alkaline earth metal Inorganic materials 0.000 claims description 4
- 150000001342 alkaline earth metals Chemical class 0.000 claims description 4
- WERYXYBDKMZEQL-UHFFFAOYSA-N butane-1,4-diol Chemical compound OCCCCO WERYXYBDKMZEQL-UHFFFAOYSA-N 0.000 claims description 4
- YCIMNLLNPGFGHC-UHFFFAOYSA-N catechol Chemical compound OC1=CC=CC=C1O YCIMNLLNPGFGHC-UHFFFAOYSA-N 0.000 claims description 4
- 229920000620 organic polymer Polymers 0.000 claims description 4
- 239000007800 oxidant agent Substances 0.000 claims description 4
- 230000001590 oxidative effect Effects 0.000 claims description 4
- CPRMKOQKXYSDML-UHFFFAOYSA-M rubidium hydroxide Chemical compound [OH-].[Rb+] CPRMKOQKXYSDML-UHFFFAOYSA-M 0.000 claims description 4
- 239000002904 solvent Substances 0.000 claims description 4
- QBYIENPQHBMVBV-HFEGYEGKSA-N (2R)-2-hydroxy-2-phenylacetic acid Chemical compound O[C@@H](C(O)=O)c1ccccc1.O[C@@H](C(O)=O)c1ccccc1 QBYIENPQHBMVBV-HFEGYEGKSA-N 0.000 claims description 3
- MTCFGRXMJLQNBG-REOHCLBHSA-N (2S)-2-Amino-3-hydroxypropansäure Chemical compound OC[C@H](N)C(O)=O MTCFGRXMJLQNBG-REOHCLBHSA-N 0.000 claims description 3
- BJEPYKJPYRNKOW-REOHCLBHSA-N (S)-malic acid Chemical compound OC(=O)[C@@H](O)CC(O)=O BJEPYKJPYRNKOW-REOHCLBHSA-N 0.000 claims description 3
- RBNPOMFGQQGHHO-UHFFFAOYSA-N -2,3-Dihydroxypropanoic acid Natural products OCC(O)C(O)=O RBNPOMFGQQGHHO-UHFFFAOYSA-N 0.000 claims description 3
- MBDUIEKYVPVZJH-UHFFFAOYSA-N 1-ethylsulfonylethane Chemical compound CCS(=O)(=O)CC MBDUIEKYVPVZJH-UHFFFAOYSA-N 0.000 claims description 3
- QQLILYBIARWEIF-UHFFFAOYSA-N 2-(2-hydroxyethylsulfonyl)ethanol Chemical compound OCCS(=O)(=O)CCO QQLILYBIARWEIF-UHFFFAOYSA-N 0.000 claims description 3
- SMZOUWXMTYCWNB-UHFFFAOYSA-N 2-(2-methoxy-5-methylphenyl)ethanamine Chemical compound COC1=CC=C(C)C=C1CCN SMZOUWXMTYCWNB-UHFFFAOYSA-N 0.000 claims description 3
- JAHNSTQSQJOJLO-UHFFFAOYSA-N 2-(3-fluorophenyl)-1h-imidazole Chemical compound FC1=CC=CC(C=2NC=CN=2)=C1 JAHNSTQSQJOJLO-UHFFFAOYSA-N 0.000 claims description 3
- NIXOWILDQLNWCW-UHFFFAOYSA-N 2-Propenoic acid Natural products OC(=O)C=C NIXOWILDQLNWCW-UHFFFAOYSA-N 0.000 claims description 3
- PPDFQRAASCRJAH-UHFFFAOYSA-N 2-methylthiolane 1,1-dioxide Chemical compound CC1CCCS1(=O)=O PPDFQRAASCRJAH-UHFFFAOYSA-N 0.000 claims description 3
- WLJVXDMOQOGPHL-PPJXEINESA-N 2-phenylacetic acid Chemical compound O[14C](=O)CC1=CC=CC=C1 WLJVXDMOQOGPHL-PPJXEINESA-N 0.000 claims description 3
- OSWFIVFLDKOXQC-UHFFFAOYSA-N 4-(3-methoxyphenyl)aniline Chemical compound COC1=CC=CC(C=2C=CC(N)=CC=2)=C1 OSWFIVFLDKOXQC-UHFFFAOYSA-N 0.000 claims description 3
- 239000004475 Arginine Substances 0.000 claims description 3
- DCXYFEDJOCDNAF-UHFFFAOYSA-N Asparagine Natural products OC(=O)C(N)CC(N)=O DCXYFEDJOCDNAF-UHFFFAOYSA-N 0.000 claims description 3
- FCKYPQBAHLOOJQ-UHFFFAOYSA-N Cyclohexane-1,2-diaminetetraacetic acid Chemical compound OC(=O)CN(CC(O)=O)C1CCCCC1N(CC(O)=O)CC(O)=O FCKYPQBAHLOOJQ-UHFFFAOYSA-N 0.000 claims description 3
- FBPFZTCFMRRESA-FSIIMWSLSA-N D-Glucitol Natural products OC[C@H](O)[C@H](O)[C@@H](O)[C@H](O)CO FBPFZTCFMRRESA-FSIIMWSLSA-N 0.000 claims description 3
- FBPFZTCFMRRESA-JGWLITMVSA-N D-glucitol Chemical compound OC[C@H](O)[C@@H](O)[C@H](O)[C@H](O)CO FBPFZTCFMRRESA-JGWLITMVSA-N 0.000 claims description 3
- RGHNJXZEOKUKBD-UHFFFAOYSA-N D-gluconic acid Natural products OCC(O)C(O)C(O)C(O)C(O)=O RGHNJXZEOKUKBD-UHFFFAOYSA-N 0.000 claims description 3
- RBNPOMFGQQGHHO-UWTATZPHSA-N D-glyceric acid Chemical compound OC[C@@H](O)C(O)=O RBNPOMFGQQGHHO-UWTATZPHSA-N 0.000 claims description 3
- FEWJPZIEWOKRBE-JCYAYHJZSA-N Dextrotartaric acid Chemical compound OC(=O)[C@H](O)[C@@H](O)C(O)=O FEWJPZIEWOKRBE-JCYAYHJZSA-N 0.000 claims description 3
- WHUUTDBJXJRKMK-UHFFFAOYSA-N Glutamic acid Natural products OC(=O)C(N)CCC(O)=O WHUUTDBJXJRKMK-UHFFFAOYSA-N 0.000 claims description 3
- 239000004471 Glycine Substances 0.000 claims description 3
- QNAYBMKLOCPYGJ-REOHCLBHSA-N L-alanine Chemical compound C[C@H](N)C(O)=O QNAYBMKLOCPYGJ-REOHCLBHSA-N 0.000 claims description 3
- ODKSFYDXXFIFQN-BYPYZUCNSA-P L-argininium(2+) Chemical compound NC(=[NH2+])NCCC[C@H]([NH3+])C(O)=O ODKSFYDXXFIFQN-BYPYZUCNSA-P 0.000 claims description 3
- DCXYFEDJOCDNAF-REOHCLBHSA-N L-asparagine Chemical compound OC(=O)[C@@H](N)CC(N)=O DCXYFEDJOCDNAF-REOHCLBHSA-N 0.000 claims description 3
- CKLJMWTZIZZHCS-REOHCLBHSA-N L-aspartic acid Chemical compound OC(=O)[C@@H](N)CC(O)=O CKLJMWTZIZZHCS-REOHCLBHSA-N 0.000 claims description 3
- WHUUTDBJXJRKMK-VKHMYHEASA-N L-glutamic acid Chemical compound OC(=O)[C@@H](N)CCC(O)=O WHUUTDBJXJRKMK-VKHMYHEASA-N 0.000 claims description 3
- ZDXPYRJPNDTMRX-VKHMYHEASA-N L-glutamine Chemical compound OC(=O)[C@@H](N)CCC(N)=O ZDXPYRJPNDTMRX-VKHMYHEASA-N 0.000 claims description 3
- HNDVDQJCIGZPNO-YFKPBYRVSA-N L-histidine Chemical compound OC(=O)[C@@H](N)CC1=CN=CN1 HNDVDQJCIGZPNO-YFKPBYRVSA-N 0.000 claims description 3
- KDXKERNSBIXSRK-YFKPBYRVSA-N L-lysine Chemical compound NCCCC[C@H](N)C(O)=O KDXKERNSBIXSRK-YFKPBYRVSA-N 0.000 claims description 3
- COLNVLDHVKWLRT-QMMMGPOBSA-N L-phenylalanine Chemical compound OC(=O)[C@@H](N)CC1=CC=CC=C1 COLNVLDHVKWLRT-QMMMGPOBSA-N 0.000 claims description 3
- QIVBCDIJIAJPQS-VIFPVBQESA-N L-tryptophane Chemical compound C1=CC=C2C(C[C@H](N)C(O)=O)=CNC2=C1 QIVBCDIJIAJPQS-VIFPVBQESA-N 0.000 claims description 3
- KZSNJWFQEVHDMF-BYPYZUCNSA-N L-valine Chemical compound CC(C)[C@H](N)C(O)=O KZSNJWFQEVHDMF-BYPYZUCNSA-N 0.000 claims description 3
- KDXKERNSBIXSRK-UHFFFAOYSA-N Lysine Natural products NCCCCC(N)C(O)=O KDXKERNSBIXSRK-UHFFFAOYSA-N 0.000 claims description 3
- 239000004472 Lysine Substances 0.000 claims description 3
- IWYDHOAUDWTVEP-UHFFFAOYSA-N R-2-phenyl-2-hydroxyacetic acid Natural products OC(=O)C(O)C1=CC=CC=C1 IWYDHOAUDWTVEP-UHFFFAOYSA-N 0.000 claims description 3
- MTCFGRXMJLQNBG-UHFFFAOYSA-N Serine Natural products OCC(N)C(O)=O MTCFGRXMJLQNBG-UHFFFAOYSA-N 0.000 claims description 3
- KDYFGRWQOYBRFD-UHFFFAOYSA-N Succinic acid Natural products OC(=O)CCC(O)=O KDYFGRWQOYBRFD-UHFFFAOYSA-N 0.000 claims description 3
- FEWJPZIEWOKRBE-UHFFFAOYSA-N Tartaric acid Natural products [H+].[H+].[O-]C(=O)C(O)C(O)C([O-])=O FEWJPZIEWOKRBE-UHFFFAOYSA-N 0.000 claims description 3
- KKEYFWRCBNTPAC-UHFFFAOYSA-N Terephthalic acid Chemical compound OC(=O)C1=CC=C(C(O)=O)C=C1 KKEYFWRCBNTPAC-UHFFFAOYSA-N 0.000 claims description 3
- QIVBCDIJIAJPQS-UHFFFAOYSA-N Tryptophan Natural products C1=CC=C2C(CC(N)C(O)=O)=CNC2=C1 QIVBCDIJIAJPQS-UHFFFAOYSA-N 0.000 claims description 3
- KZSNJWFQEVHDMF-UHFFFAOYSA-N Valine Natural products CC(C)C(N)C(O)=O KZSNJWFQEVHDMF-UHFFFAOYSA-N 0.000 claims description 3
- TVXBFESIOXBWNM-UHFFFAOYSA-N Xylitol Natural products OCCC(O)C(O)C(O)CCO TVXBFESIOXBWNM-UHFFFAOYSA-N 0.000 claims description 3
- PXAJQJMDEXJWFB-UHFFFAOYSA-N acetone oxime Chemical compound CC(C)=NO PXAJQJMDEXJWFB-UHFFFAOYSA-N 0.000 claims description 3
- 239000001361 adipic acid Substances 0.000 claims description 3
- 235000011037 adipic acid Nutrition 0.000 claims description 3
- 235000004279 alanine Nutrition 0.000 claims description 3
- BJEPYKJPYRNKOW-UHFFFAOYSA-N alpha-hydroxysuccinic acid Natural products OC(=O)C(O)CC(O)=O BJEPYKJPYRNKOW-UHFFFAOYSA-N 0.000 claims description 3
- 229910021529 ammonia Inorganic materials 0.000 claims description 3
- ODKSFYDXXFIFQN-UHFFFAOYSA-N arginine Natural products OC(=O)C(N)CCCNC(N)=N ODKSFYDXXFIFQN-UHFFFAOYSA-N 0.000 claims description 3
- 235000009697 arginine Nutrition 0.000 claims description 3
- 235000009582 asparagine Nutrition 0.000 claims description 3
- 229960001230 asparagine Drugs 0.000 claims description 3
- 235000003704 aspartic acid Nutrition 0.000 claims description 3
- OQFSQFPPLPISGP-UHFFFAOYSA-N beta-carboxyaspartic acid Natural products OC(=O)C(N)C(C(O)=O)C(O)=O OQFSQFPPLPISGP-UHFFFAOYSA-N 0.000 claims description 3
- 229960003237 betaine Drugs 0.000 claims description 3
- KDYFGRWQOYBRFD-NUQCWPJISA-N butanedioic acid Chemical compound O[14C](=O)CC[14C](O)=O KDYFGRWQOYBRFD-NUQCWPJISA-N 0.000 claims description 3
- 238000010668 complexation reaction Methods 0.000 claims description 3
- 238000004132 cross linking Methods 0.000 claims description 3
- JGUQDUKBUKFFRO-CIIODKQPSA-N dimethylglyoxime Chemical compound O/N=C(/C)\C(\C)=N\O JGUQDUKBUKFFRO-CIIODKQPSA-N 0.000 claims description 3
- XBDQKXXYIPTUBI-UHFFFAOYSA-N dimethylselenoniopropionate Natural products CCC(O)=O XBDQKXXYIPTUBI-UHFFFAOYSA-N 0.000 claims description 3
- 235000019253 formic acid Nutrition 0.000 claims description 3
- 239000001530 fumaric acid Substances 0.000 claims description 3
- 235000011087 fumaric acid Nutrition 0.000 claims description 3
- 239000000174 gluconic acid Substances 0.000 claims description 3
- 235000012208 gluconic acid Nutrition 0.000 claims description 3
- 235000013922 glutamic acid Nutrition 0.000 claims description 3
- 239000004220 glutamic acid Substances 0.000 claims description 3
- ZDXPYRJPNDTMRX-UHFFFAOYSA-N glutamine Natural products OC(=O)C(N)CCC(N)=O ZDXPYRJPNDTMRX-UHFFFAOYSA-N 0.000 claims description 3
- 235000004554 glutamine Nutrition 0.000 claims description 3
- KWIUHFFTVRNATP-UHFFFAOYSA-N glycine betaine Chemical compound C[N+](C)(C)CC([O-])=O KWIUHFFTVRNATP-UHFFFAOYSA-N 0.000 claims description 3
- HNDVDQJCIGZPNO-UHFFFAOYSA-N histidine Natural products OC(=O)C(N)CC1=CN=CN1 HNDVDQJCIGZPNO-UHFFFAOYSA-N 0.000 claims description 3
- 235000014304 histidine Nutrition 0.000 claims description 3
- QQVIHTHCMHWDBS-UHFFFAOYSA-N isophthalic acid Chemical compound OC(=O)C1=CC=CC(C(O)=O)=C1 QQVIHTHCMHWDBS-UHFFFAOYSA-N 0.000 claims description 3
- 239000004310 lactic acid Substances 0.000 claims description 3
- 235000014655 lactic acid Nutrition 0.000 claims description 3
- FPYJFEHAWHCUMM-UHFFFAOYSA-N maleic anhydride Chemical compound O=C1OC(=O)C=C1 FPYJFEHAWHCUMM-UHFFFAOYSA-N 0.000 claims description 3
- 239000001630 malic acid Substances 0.000 claims description 3
- 235000011090 malic acid Nutrition 0.000 claims description 3
- 229960002510 mandelic acid Drugs 0.000 claims description 3
- HEBKCHPVOIAQTA-UHFFFAOYSA-N meso ribitol Natural products OCC(O)C(O)C(O)CO HEBKCHPVOIAQTA-UHFFFAOYSA-N 0.000 claims description 3
- LVHBHZANLOWSRM-UHFFFAOYSA-N methylenebutanedioic acid Natural products OC(=O)CC(=C)C(O)=O LVHBHZANLOWSRM-UHFFFAOYSA-N 0.000 claims description 3
- DUWWHGPELOTTOE-UHFFFAOYSA-N n-(5-chloro-2,4-dimethoxyphenyl)-3-oxobutanamide Chemical compound COC1=CC(OC)=C(NC(=O)CC(C)=O)C=C1Cl DUWWHGPELOTTOE-UHFFFAOYSA-N 0.000 claims description 3
- COLNVLDHVKWLRT-UHFFFAOYSA-N phenylalanine Natural products OC(=O)C(N)CC1=CC=CC=C1 COLNVLDHVKWLRT-UHFFFAOYSA-N 0.000 claims description 3
- 235000019260 propionic acid Nutrition 0.000 claims description 3
- 239000000600 sorbitol Substances 0.000 claims description 3
- 239000011975 tartaric acid Substances 0.000 claims description 3
- 235000002906 tartaric acid Nutrition 0.000 claims description 3
- 239000004474 valine Substances 0.000 claims description 3
- 239000000811 xylitol Substances 0.000 claims description 3
- HEBKCHPVOIAQTA-SCDXWVJYSA-N xylitol Chemical compound OC[C@H](O)[C@@H](O)[C@H](O)CO HEBKCHPVOIAQTA-SCDXWVJYSA-N 0.000 claims description 3
- 229960002675 xylitol Drugs 0.000 claims description 3
- 235000010447 xylitol Nutrition 0.000 claims description 3
- JJJOZVFVARQUJV-UHFFFAOYSA-N 2-ethylhexylphosphonic acid Chemical compound CCCCC(CC)CP(O)(O)=O JJJOZVFVARQUJV-UHFFFAOYSA-N 0.000 claims description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 claims description 2
- XUJNEKJLAYXESH-REOHCLBHSA-N L-Cysteine Chemical compound SC[C@H](N)C(O)=O XUJNEKJLAYXESH-REOHCLBHSA-N 0.000 claims description 2
- FFEARJCKVFRZRR-BYPYZUCNSA-N L-methionine Chemical compound CSCC[C@H](N)C(O)=O FFEARJCKVFRZRR-BYPYZUCNSA-N 0.000 claims description 2
- ALQSHHUCVQOPAS-UHFFFAOYSA-N Pentane-1,5-diol Chemical compound OCCCCCO ALQSHHUCVQOPAS-UHFFFAOYSA-N 0.000 claims description 2
- 229910052796 boron Inorganic materials 0.000 claims description 2
- OWBTYPJTUOEWEK-UHFFFAOYSA-N butane-2,3-diol Chemical compound CC(O)C(C)O OWBTYPJTUOEWEK-UHFFFAOYSA-N 0.000 claims description 2
- XUJNEKJLAYXESH-UHFFFAOYSA-N cysteine Natural products SCC(N)C(O)=O XUJNEKJLAYXESH-UHFFFAOYSA-N 0.000 claims description 2
- 235000018417 cysteine Nutrition 0.000 claims description 2
- SZXQTJUDPRGNJN-UHFFFAOYSA-N dipropylene glycol Chemical compound OCCCOCCCO SZXQTJUDPRGNJN-UHFFFAOYSA-N 0.000 claims description 2
- BJAJDJDODCWPNS-UHFFFAOYSA-N dotp Chemical compound O=C1N2CCOC2=NC2=C1SC=C2 BJAJDJDODCWPNS-UHFFFAOYSA-N 0.000 claims description 2
- 229930182817 methionine Natural products 0.000 claims description 2
- MGFYIUFZLHCRTH-UHFFFAOYSA-N nitrilotriacetic acid Chemical compound OC(=O)CN(CC(O)=O)CC(O)=O MGFYIUFZLHCRTH-UHFFFAOYSA-N 0.000 claims description 2
- RUOPINZRYMFPBF-UHFFFAOYSA-N pentane-1,3-diol Chemical compound CCC(O)CCO RUOPINZRYMFPBF-UHFFFAOYSA-N 0.000 claims description 2
- GLOBUAZSRIOKLN-UHFFFAOYSA-N pentane-1,4-diol Chemical compound CC(O)CCCO GLOBUAZSRIOKLN-UHFFFAOYSA-N 0.000 claims description 2
- 125000002924 primary amino group Chemical group [H]N([H])* 0.000 claims description 2
- 239000007787 solid Substances 0.000 claims description 2
- 150000005846 sugar alcohols Polymers 0.000 claims description 2
- AAWZDTNXLSGCEK-LNVDRNJUSA-N (3r,5r)-1,3,4,5-tetrahydroxycyclohexane-1-carboxylic acid Chemical compound O[C@@H]1CC(O)(C(O)=O)C[C@@H](O)C1O AAWZDTNXLSGCEK-LNVDRNJUSA-N 0.000 claims 2
- ZCDDAQJNJWLCLL-UHFFFAOYSA-N 2-ethylthiolane 1,1-dioxide Chemical compound CCC1CCCS1(=O)=O ZCDDAQJNJWLCLL-UHFFFAOYSA-N 0.000 claims 2
- PHEDXBVPIONUQT-UHFFFAOYSA-N Cocarcinogen A1 Natural products CCCCCCCCCCCCCC(=O)OC1C(C)C2(O)C3C=C(C)C(=O)C3(O)CC(CO)=CC2C2C1(OC(C)=O)C2(C)C PHEDXBVPIONUQT-UHFFFAOYSA-N 0.000 claims 2
- AAWZDTNXLSGCEK-UHFFFAOYSA-N Cordycepinsaeure Natural products OC1CC(O)(C(O)=O)CC(O)C1O AAWZDTNXLSGCEK-UHFFFAOYSA-N 0.000 claims 2
- AGPKZVBTJJNPAG-WHFBIAKZSA-N L-isoleucine Chemical compound CC[C@H](C)[C@H](N)C(O)=O AGPKZVBTJJNPAG-WHFBIAKZSA-N 0.000 claims 2
- AYFVYJQAPQTCCC-GBXIJSLDSA-N L-threonine Chemical compound C[C@@H](O)[C@H](N)C(O)=O AYFVYJQAPQTCCC-GBXIJSLDSA-N 0.000 claims 2
- ISWSIDIOOBJBQZ-UHFFFAOYSA-N Phenol Chemical compound OC1=CC=CC=C1 ISWSIDIOOBJBQZ-UHFFFAOYSA-N 0.000 claims 2
- AAWZDTNXLSGCEK-ZHQZDSKASA-N Quinic acid Natural products O[C@H]1CC(O)(C(O)=O)C[C@H](O)C1O AAWZDTNXLSGCEK-ZHQZDSKASA-N 0.000 claims 2
- AYFVYJQAPQTCCC-UHFFFAOYSA-N Threonine Natural products CC(O)C(N)C(O)=O AYFVYJQAPQTCCC-UHFFFAOYSA-N 0.000 claims 2
- 239000004473 Threonine Substances 0.000 claims 2
- QMKYBPDZANOJGF-UHFFFAOYSA-N benzene-1,3,5-tricarboxylic acid Chemical compound OC(=O)C1=CC(C(O)=O)=CC(C(O)=O)=C1 QMKYBPDZANOJGF-UHFFFAOYSA-N 0.000 claims 2
- DUYCTCQXNHFCSJ-UHFFFAOYSA-N dtpmp Chemical compound OP(=O)(O)CN(CP(O)(O)=O)CCN(CP(O)(=O)O)CCN(CP(O)(O)=O)CP(O)(O)=O DUYCTCQXNHFCSJ-UHFFFAOYSA-N 0.000 claims 2
- AGPKZVBTJJNPAG-UHFFFAOYSA-N isoleucine Natural products CCC(C)C(N)C(O)=O AGPKZVBTJJNPAG-UHFFFAOYSA-N 0.000 claims 2
- 229960000310 isoleucine Drugs 0.000 claims 2
- VZCYOOQTPOCHFL-UPHRSURJSA-N maleic acid Chemical compound OC(=O)\C=C/C(O)=O VZCYOOQTPOCHFL-UPHRSURJSA-N 0.000 claims 2
- 239000011976 maleic acid Substances 0.000 claims 2
- PHEDXBVPIONUQT-RGYGYFBISA-N phorbol 13-acetate 12-myristate Chemical compound C([C@]1(O)C(=O)C(C)=C[C@H]1[C@@]1(O)[C@H](C)[C@H]2OC(=O)CCCCCCCCCCCCC)C(CO)=C[C@H]1[C@H]1[C@]2(OC(C)=O)C1(C)C PHEDXBVPIONUQT-RGYGYFBISA-N 0.000 claims 2
- 241001614291 Anoplistes Species 0.000 claims 1
- 125000002648 azanetriyl group Chemical group *N(*)* 0.000 claims 1
- 230000015572 biosynthetic process Effects 0.000 claims 1
- SSJXIUAHEKJCMH-UHFFFAOYSA-N cyclohexane-1,2-diamine Chemical compound NC1CCCCC1N SSJXIUAHEKJCMH-UHFFFAOYSA-N 0.000 claims 1
- 125000005594 diketone group Chemical group 0.000 claims 1
- 125000000325 methylidene group Chemical group [H]C([H])=* 0.000 claims 1
- SLCVBVWXLSEKPL-UHFFFAOYSA-N neopentyl glycol Chemical compound OCC(C)(C)CO SLCVBVWXLSEKPL-UHFFFAOYSA-N 0.000 claims 1
- 125000001997 phenyl group Chemical group [H]C1=C([H])C([H])=C(*)C([H])=C1[H] 0.000 claims 1
- 238000004140 cleaning Methods 0.000 abstract description 18
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 abstract description 16
- 229910052802 copper Inorganic materials 0.000 abstract description 16
- 239000010949 copper Substances 0.000 abstract description 16
- 239000003989 dielectric material Substances 0.000 abstract description 10
- 239000000356 contaminant Substances 0.000 abstract description 7
- 125000001453 quaternary ammonium group Chemical group 0.000 abstract description 5
- 239000000908 ammonium hydroxide Substances 0.000 abstract description 4
- 150000001875 compounds Chemical class 0.000 abstract description 4
- 238000002360 preparation method Methods 0.000 description 19
- 239000010410 layer Substances 0.000 description 14
- 238000005530 etching Methods 0.000 description 12
- 229910052751 metal Inorganic materials 0.000 description 11
- 239000002184 metal Substances 0.000 description 11
- 239000000758 substrate Substances 0.000 description 11
- 239000000126 substance Substances 0.000 description 10
- 239000002002 slurry Substances 0.000 description 9
- 239000002585 base Substances 0.000 description 7
- DBVJJBKOTRCVKF-UHFFFAOYSA-N Etidronic acid Chemical compound OP(=O)(O)C(O)(C)P(O)(O)=O DBVJJBKOTRCVKF-UHFFFAOYSA-N 0.000 description 6
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 6
- 230000008859 change Effects 0.000 description 6
- 239000000047 product Substances 0.000 description 6
- 238000012360 testing method Methods 0.000 description 6
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 5
- 238000009826 distribution Methods 0.000 description 5
- 239000007789 gas Substances 0.000 description 5
- 238000004519 manufacturing process Methods 0.000 description 5
- 229910052710 silicon Inorganic materials 0.000 description 5
- 239000010703 silicon Substances 0.000 description 5
- 125000005210 alkyl ammonium group Chemical group 0.000 description 4
- 125000003368 amide group Chemical group 0.000 description 4
- 239000006227 byproduct Substances 0.000 description 4
- 229910052799 carbon Inorganic materials 0.000 description 4
- 238000005516 engineering process Methods 0.000 description 4
- 239000012530 fluid Substances 0.000 description 4
- 238000009472 formulation Methods 0.000 description 4
- 239000001257 hydrogen Substances 0.000 description 4
- 229910052739 hydrogen Inorganic materials 0.000 description 4
- 238000002156 mixing Methods 0.000 description 4
- 229920001451 polypropylene glycol Polymers 0.000 description 4
- 238000012545 processing Methods 0.000 description 4
- 238000003860 storage Methods 0.000 description 4
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 3
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 3
- OKKJLVBELUTLKV-UHFFFAOYSA-N Methanol Chemical compound OC OKKJLVBELUTLKV-UHFFFAOYSA-N 0.000 description 3
- MUBZPKHOEPUJKR-UHFFFAOYSA-N Oxalic acid Chemical compound OC(=O)C(O)=O MUBZPKHOEPUJKR-UHFFFAOYSA-N 0.000 description 3
- 238000004380 ashing Methods 0.000 description 3
- 230000004888 barrier function Effects 0.000 description 3
- 238000006243 chemical reaction Methods 0.000 description 3
- 239000012141 concentrate Substances 0.000 description 3
- 238000005260 corrosion Methods 0.000 description 3
- 230000007797 corrosion Effects 0.000 description 3
- 230000006872 improvement Effects 0.000 description 3
- 230000004048 modification Effects 0.000 description 3
- 238000012986 modification Methods 0.000 description 3
- 229920002120 photoresistant polymer Polymers 0.000 description 3
- 239000000377 silicon dioxide Substances 0.000 description 3
- APSBXTVYXVQYAB-UHFFFAOYSA-M sodium docusate Chemical compound [Na+].CCCCC(CC)COC(=O)CC(S([O-])(=O)=O)C(=O)OCC(CC)CCCC APSBXTVYXVQYAB-UHFFFAOYSA-M 0.000 description 3
- 239000000243 solution Substances 0.000 description 3
- QGZKDVFQNNGYKY-UHFFFAOYSA-O Ammonium Chemical compound [NH4+] QGZKDVFQNNGYKY-UHFFFAOYSA-O 0.000 description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 2
- LRHPLDYGYMQRHN-UHFFFAOYSA-N N-Butanol Chemical compound CCCCO LRHPLDYGYMQRHN-UHFFFAOYSA-N 0.000 description 2
- 239000002202 Polyethylene glycol Substances 0.000 description 2
- 230000009471 action Effects 0.000 description 2
- 125000004429 atom Chemical group 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- QRUDEWIWKLJBPS-UHFFFAOYSA-N benzotriazole Chemical compound C1=CC=C2N[N][N]C2=C1 QRUDEWIWKLJBPS-UHFFFAOYSA-N 0.000 description 2
- 239000012964 benzotriazole Substances 0.000 description 2
- 125000001797 benzyl group Chemical group [H]C1=C([H])C([H])=C(C([H])=C1[H])C([H])([H])* 0.000 description 2
- 125000000484 butyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 2
- 150000007942 carboxylates Chemical class 0.000 description 2
- 239000003153 chemical reaction reagent Substances 0.000 description 2
- 238000004891 communication Methods 0.000 description 2
- 239000008367 deionised water Substances 0.000 description 2
- 229910021641 deionized water Inorganic materials 0.000 description 2
- 238000001035 drying Methods 0.000 description 2
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 2
- 239000010408 film Substances 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 150000002334 glycols Chemical class 0.000 description 2
- ZSIAUFGUXNUGDI-UHFFFAOYSA-N hexan-1-ol Chemical compound CCCCCCO ZSIAUFGUXNUGDI-UHFFFAOYSA-N 0.000 description 2
- 125000004051 hexyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 2
- 229920001903 high density polyethylene Polymers 0.000 description 2
- 239000004700 high-density polyethylene Substances 0.000 description 2
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 2
- 239000003112 inhibitor Substances 0.000 description 2
- 239000007788 liquid Substances 0.000 description 2
- 229910021645 metal ion Inorganic materials 0.000 description 2
- 238000001465 metallisation Methods 0.000 description 2
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 2
- 125000000740 n-pentyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 2
- 239000011368 organic material Substances 0.000 description 2
- 125000002524 organometallic group Chemical group 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- UJMWVICAENGCRF-UHFFFAOYSA-N oxygen difluoride Chemical compound FOF UJMWVICAENGCRF-UHFFFAOYSA-N 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 238000007517 polishing process Methods 0.000 description 2
- 229920001223 polyethylene glycol Polymers 0.000 description 2
- 229920001296 polysiloxane Polymers 0.000 description 2
- 229920001343 polytetrafluoroethylene Polymers 0.000 description 2
- 229940058401 polytetrafluoroethylene Drugs 0.000 description 2
- 239000004810 polytetrafluoroethylene Substances 0.000 description 2
- 239000005368 silicate glass Substances 0.000 description 2
- 239000007921 spray Substances 0.000 description 2
- 239000010409 thin film Substances 0.000 description 2
- XINQFOMFQFGGCQ-UHFFFAOYSA-L (2-dodecoxy-2-oxoethyl)-[6-[(2-dodecoxy-2-oxoethyl)-dimethylazaniumyl]hexyl]-dimethylazanium;dichloride Chemical compound [Cl-].[Cl-].CCCCCCCCCCCCOC(=O)C[N+](C)(C)CCCCCC[N+](C)(C)CC(=O)OCCCCCCCCCCCC XINQFOMFQFGGCQ-UHFFFAOYSA-L 0.000 description 1
- DWNBOPVKNPVNQG-LURJTMIESA-N (2s)-4-hydroxy-2-(propylamino)butanoic acid Chemical compound CCCN[C@H](C(O)=O)CCO DWNBOPVKNPVNQG-LURJTMIESA-N 0.000 description 1
- GDSOZVZXVXTJMI-SNAWJCMRSA-N (e)-1-methylbut-1-ene-1,2,4-tricarboxylic acid Chemical compound OC(=O)C(/C)=C(C(O)=O)\CCC(O)=O GDSOZVZXVXTJMI-SNAWJCMRSA-N 0.000 description 1
- JLVSRWOIZZXQAD-UHFFFAOYSA-N 2,3-disulfanylpropane-1-sulfonic acid Chemical class OS(=O)(=O)CC(S)CS JLVSRWOIZZXQAD-UHFFFAOYSA-N 0.000 description 1
- ULUAUXLGCMPNKK-UHFFFAOYSA-K 2-sulfonatobutanedioate Chemical compound [O-]C(=O)CC(C([O-])=O)S([O-])(=O)=O ULUAUXLGCMPNKK-UHFFFAOYSA-K 0.000 description 1
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 1
- RZYKUPXRYIOEME-UHFFFAOYSA-N CCCCCCCCCCCC[S] Chemical compound CCCCCCCCCCCC[S] RZYKUPXRYIOEME-UHFFFAOYSA-N 0.000 description 1
- 229910004613 CdTe Inorganic materials 0.000 description 1
- VEXZGXHMUGYJMC-UHFFFAOYSA-M Chloride anion Chemical compound [Cl-] VEXZGXHMUGYJMC-UHFFFAOYSA-M 0.000 description 1
- QPLDLSVMHZLSFG-UHFFFAOYSA-N Copper oxide Chemical compound [Cu]=O QPLDLSVMHZLSFG-UHFFFAOYSA-N 0.000 description 1
- 239000005751 Copper oxide Substances 0.000 description 1
- RPNUMPOLZDHAAY-UHFFFAOYSA-N Diethylenetriamine Chemical class NCCNCCN RPNUMPOLZDHAAY-UHFFFAOYSA-N 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- DGAQECJNVWCQMB-PUAWFVPOSA-M Ilexoside XXIX Chemical compound C[C@@H]1CC[C@@]2(CC[C@@]3(C(=CC[C@H]4[C@]3(CC[C@@H]5[C@@]4(CC[C@@H](C5(C)C)OS(=O)(=O)[O-])C)C)[C@@H]2[C@]1(C)O)C)C(=O)O[C@H]6[C@@H]([C@H]([C@@H]([C@H](O6)CO)O)O)O.[Na+] DGAQECJNVWCQMB-PUAWFVPOSA-M 0.000 description 1
- AMQJEAYHLZJPGS-UHFFFAOYSA-N N-Pentanol Chemical compound CCCCCO AMQJEAYHLZJPGS-UHFFFAOYSA-N 0.000 description 1
- 239000006057 Non-nutritive feed additive Substances 0.000 description 1
- AYDQIZKZTQHYIY-UHFFFAOYSA-N OC(=O)C1(C)CC(C(O)=O)=CC=C1 Chemical compound OC(=O)C1(C)CC(C(O)=O)=CC=C1 AYDQIZKZTQHYIY-UHFFFAOYSA-N 0.000 description 1
- 229920003171 Poly (ethylene oxide) Polymers 0.000 description 1
- 239000004698 Polyethylene Substances 0.000 description 1
- 239000004743 Polypropylene Substances 0.000 description 1
- 239000004793 Polystyrene Substances 0.000 description 1
- 229920001328 Polyvinylidene chloride Polymers 0.000 description 1
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 1
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- KTSFMFGEAAANTF-UHFFFAOYSA-N [Cu].[Se].[Se].[In] Chemical compound [Cu].[Se].[Se].[In] KTSFMFGEAAANTF-UHFFFAOYSA-N 0.000 description 1
- 239000003082 abrasive agent Substances 0.000 description 1
- 230000003213 activating effect Effects 0.000 description 1
- 150000001335 aliphatic alkanes Chemical class 0.000 description 1
- 125000002877 alkyl aryl group Chemical group 0.000 description 1
- 150000004996 alkyl benzenes Chemical class 0.000 description 1
- 238000004458 analytical method Methods 0.000 description 1
- 239000003945 anionic surfactant Substances 0.000 description 1
- 239000006117 anti-reflective coating Substances 0.000 description 1
- 125000003118 aryl group Chemical group 0.000 description 1
- SRSXLGNVWSONIS-UHFFFAOYSA-N benzenesulfonic acid Chemical compound OS(=O)(=O)C1=CC=CC=C1 SRSXLGNVWSONIS-UHFFFAOYSA-N 0.000 description 1
- 230000002146 bilateral effect Effects 0.000 description 1
- 230000001680 brushing effect Effects 0.000 description 1
- 239000003093 cationic surfactant Substances 0.000 description 1
- 229910052729 chemical element Inorganic materials 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 229910000431 copper oxide Inorganic materials 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 229910021419 crystalline silicon Inorganic materials 0.000 description 1
- 125000004122 cyclic group Chemical group 0.000 description 1
- 238000000151 deposition Methods 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 229960000878 docusate sodium Drugs 0.000 description 1
- 230000007613 environmental effect Effects 0.000 description 1
- 230000003628 erosive effect Effects 0.000 description 1
- XYIBRDXRRQCHLP-UHFFFAOYSA-N ethyl acetoacetate Chemical compound CCOC(=O)CC(C)=O XYIBRDXRRQCHLP-UHFFFAOYSA-N 0.000 description 1
- 239000004744 fabric Substances 0.000 description 1
- 125000001153 fluoro group Chemical group F* 0.000 description 1
- 125000003709 fluoroalkyl group Chemical group 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 239000010931 gold Substances 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- 125000000623 heterocyclic group Chemical group 0.000 description 1
- 125000004836 hexamethylene group Chemical group [H]C([H])([*:2])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[*:1] 0.000 description 1
- 238000009396 hybridization Methods 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-M hydroxide Chemical compound [OH-] XLYOFNOQVPJJNP-UHFFFAOYSA-M 0.000 description 1
- 150000001261 hydroxy acids Chemical group 0.000 description 1
- WGCNASOHLSPBMP-UHFFFAOYSA-N hydroxyacetaldehyde Natural products OCC=O WGCNASOHLSPBMP-UHFFFAOYSA-N 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- 229910010272 inorganic material Inorganic materials 0.000 description 1
- 239000011147 inorganic material Substances 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 238000003973 irrigation Methods 0.000 description 1
- 230000002262 irrigation Effects 0.000 description 1
- YECIFGHRMFEPJK-UHFFFAOYSA-N lidocaine hydrochloride monohydrate Chemical compound O.[Cl-].CC[NH+](CC)CC(=O)NC1=C(C)C=CC=C1C YECIFGHRMFEPJK-UHFFFAOYSA-N 0.000 description 1
- HIQXJRBKNONWAH-UHFFFAOYSA-N methylidenephosphane Chemical compound P=C HIQXJRBKNONWAH-UHFFFAOYSA-N 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 239000002736 nonionic surfactant Substances 0.000 description 1
- 235000006408 oxalic acid Nutrition 0.000 description 1
- JLFNLZLINWHATN-UHFFFAOYSA-N pentaethylene glycol Chemical compound OCCOCCOCCOCCOCCO JLFNLZLINWHATN-UHFFFAOYSA-N 0.000 description 1
- 239000010702 perfluoropolyether Substances 0.000 description 1
- 238000001259 photo etching Methods 0.000 description 1
- 230000000704 physical effect Effects 0.000 description 1
- 238000000053 physical method Methods 0.000 description 1
- 239000000049 pigment Substances 0.000 description 1
- 229920000058 polyacrylate Polymers 0.000 description 1
- 229920002239 polyacrylonitrile Polymers 0.000 description 1
- 229920001083 polybutene Polymers 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920000573 polyethylene Polymers 0.000 description 1
- 229920006324 polyoxymethylene Polymers 0.000 description 1
- 229920001155 polypropylene Polymers 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 229920002223 polystyrene Polymers 0.000 description 1
- 229920002635 polyurethane Polymers 0.000 description 1
- 239000004814 polyurethane Substances 0.000 description 1
- 239000005033 polyvinylidene chloride Substances 0.000 description 1
- 150000003141 primary amines Chemical class 0.000 description 1
- 238000011112 process operation Methods 0.000 description 1
- BDERNNFJNOPAEC-UHFFFAOYSA-N propan-1-ol Chemical compound CCCO BDERNNFJNOPAEC-UHFFFAOYSA-N 0.000 description 1
- 125000001436 propyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 239000003870 refractory metal Substances 0.000 description 1
- 238000007788 roughening Methods 0.000 description 1
- 229910001952 rubidium oxide Inorganic materials 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- 238000012216 screening Methods 0.000 description 1
- 238000005201 scrubbing Methods 0.000 description 1
- 238000007789 sealing Methods 0.000 description 1
- 150000003335 secondary amines Chemical class 0.000 description 1
- 239000004065 semiconductor Substances 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 229910052708 sodium Inorganic materials 0.000 description 1
- 239000011734 sodium Substances 0.000 description 1
- 125000006850 spacer group Chemical group 0.000 description 1
- 125000001424 substituent group Chemical group 0.000 description 1
- LZOZLBFZGFLFBV-UHFFFAOYSA-N sulfene Chemical group C=S(=O)=O LZOZLBFZGFLFBV-UHFFFAOYSA-N 0.000 description 1
- 229910052717 sulfur Inorganic materials 0.000 description 1
- 239000011593 sulfur Substances 0.000 description 1
- 238000005987 sulfurization reaction Methods 0.000 description 1
- 239000011885 synergistic combination Substances 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- 150000003512 tertiary amines Chemical class 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 230000009466 transformation Effects 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 238000011144 upstream manufacturing Methods 0.000 description 1
- 239000002888 zwitterionic surfactant Substances 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D3/00—Other compounding ingredients of detergent compositions covered in group C11D1/00
- C11D3/02—Inorganic compounds ; Elemental compounds
- C11D3/04—Water-soluble compounds
- C11D3/044—Hydroxides or bases
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D3/00—Other compounding ingredients of detergent compositions covered in group C11D1/00
- C11D3/43—Solvents
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D7/00—Compositions of detergents based essentially on non-surface-active compounds
- C11D7/02—Inorganic compounds
- C11D7/04—Water-soluble compounds
- C11D7/06—Hydroxides
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D7/00—Compositions of detergents based essentially on non-surface-active compounds
- C11D7/50—Solvents
- C11D7/5004—Organic solvents
- C11D7/5009—Organic solvents containing phosphorus, sulfur or silicon, e.g. dimethylsulfoxide
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D7/00—Compositions of detergents based essentially on non-surface-active compounds
- C11D7/50—Solvents
- C11D7/5004—Organic solvents
- C11D7/5022—Organic solvents containing oxygen
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/0206—Cleaning during device manufacture during, before or after processing of insulating layers
- H01L21/02063—Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/02068—Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
- H01L21/02074—Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D2111/00—Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
- C11D2111/10—Objects to be cleaned
- C11D2111/14—Hard surfaces
- C11D2111/22—Electronic devices, e.g. PCBs or semiconductors
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D3/00—Other compounding ingredients of detergent compositions covered in group C11D1/00
- C11D3/16—Organic compounds
- C11D3/20—Organic compounds containing oxygen
- C11D3/2003—Alcohols; Phenols
- C11D3/2041—Dihydric alcohols
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D3/00—Other compounding ingredients of detergent compositions covered in group C11D1/00
- C11D3/16—Organic compounds
- C11D3/20—Organic compounds containing oxygen
- C11D3/2003—Alcohols; Phenols
- C11D3/2065—Polyhydric alcohols
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D3/00—Other compounding ingredients of detergent compositions covered in group C11D1/00
- C11D3/16—Organic compounds
- C11D3/20—Organic compounds containing oxygen
- C11D3/2068—Ethers
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D3/00—Other compounding ingredients of detergent compositions covered in group C11D1/00
- C11D3/16—Organic compounds
- C11D3/34—Organic compounds containing sulfur
- C11D3/3454—Organic compounds containing sulfur containing sulfone groups, e.g. vinyl sulfones
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D7/00—Compositions of detergents based essentially on non-surface-active compounds
- C11D7/22—Organic compounds
- C11D7/26—Organic compounds containing oxygen
- C11D7/261—Alcohols; Phenols
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D7/00—Compositions of detergents based essentially on non-surface-active compounds
- C11D7/22—Organic compounds
- C11D7/26—Organic compounds containing oxygen
- C11D7/263—Ethers
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D7/00—Compositions of detergents based essentially on non-surface-active compounds
- C11D7/22—Organic compounds
- C11D7/34—Organic compounds containing sulfur
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Wood Science & Technology (AREA)
- Life Sciences & Earth Sciences (AREA)
- Organic Chemistry (AREA)
- Oil, Petroleum & Natural Gas (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Power Engineering (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Inorganic Chemistry (AREA)
- Health & Medical Sciences (AREA)
- Emergency Medicine (AREA)
- Cleaning Or Drying Semiconductors (AREA)
- Detergent Compositions (AREA)
Abstract
The invention relates to an amine-free post-CMP (chemical-mechanical polishing) composition and a using method thereof, in particular to a cleaning composition and method for removing residues and contaminants from microelectronic devices with post-CMP residues and contaminants. The cleaning composition hardly contains amine and amine-containing compounds such as quaternary ammonium hydroxide. The composition can efficiently remove post-CMP residues and contaminants from the surfaces of microelectronic devices without damage to low -k dielectric materials or copper interconnection materials.
Description
The application is international filing date October 21, international application no in 2011
PCT/US2011/057287 enters National Phase in China, application number on May 27th, 2014
201180075099.6, denomination of invention " without compositions and using method thereof after amine CMP " point
Case application.
Technical field
The present invention relates generally to the microelectronics device for having residue and/or pollutant from it
The compositions of described residue and/or pollutant is cleaned on part.
Background technology
Microelectronic device wafer is used to form integrated circuit.Described microelectronic device wafer comprises
Substrate such as silicon, has insulation, conduction or semiconductive character by the regions pattern of substrate with deposition
Different materials.
In order to obtain appropriate patterning, it is necessary to remove and make during forming each layer on substrate
Excess material.It addition, in order to manufacture functional and reliable circuit, it is important that rear
Smooth or the microelectronic die surface of plane is prepared before continuous processing.Accordingly, it would be desirable to remove and/or
Some surface of polish microelectronic device wafer.
Chemically mechanical polishing or planarization (" CMP ") be wherein by material from microelectronic component
The surface of wafer removes and by physical method as ground and chemical method such as oxidation or the connection of chelating
It is used for polishing the process on (more specifically planarization) described surface.In its most basic form,
CMP includes that the solution using slurry such as abrasive material and active chemical is to polishing pad, polishing microelectronics
The surface of device wafer is to complete to remove, planarize and polishing process.By purely physical effect or
Removal that purely chemical action is constituted or polishing process are the most unsatisfactory, but need both
Synergistic combination is to realize removing rapidly and uniformly.In the fabrication of integrated circuits, CMP slurry should
This can also preferentially remove the thin film of the composite bed including metal and other materials, such that it is able to raw
Become the surface of elevation plane for photoetching subsequently or patterning, etching and processing film.
Recently, copper has been haveed increasingly been used in the metal interconnection in integrated circuit.It is being usually used in micro-electricity
In the manufacture of sub-device in the metallized copper inlaying process of circuit, it is necessary to be removed and flattened
Layers of copper that layer comprises the thickness with about 1~1.5mm and there is the thickness of about 0.05~0.15 μm
Copper crystal seed layer.These layers of copper pass through typically aboutThick spacer material layer and dielectric material
Material surface separates, and this prevents copper to be diffused in oxide dielectric material.After polishing at wafer
One that obtains excellent homogeneity on surface it is critical only that use has appropriate removal to various materials
Selective CMP slurry.
Above-mentioned include that wafer substrate surface prepares, deposits, electroplates, etches and chemically-mechanicapolish polishes
Process operation need clean operation to ensure that microelectronic device products is without any dirt in many aspects
Dye thing, not so described pollutant will deleteriously affect product function or even make it be not used to
Its predetermined function.The particle of these pollutant is often less than 0.3 μm.
A particular problem in this respect is to stay microelectronic component lining after CMP processes
Residue at the end.This kind of residue comprises CMP material and corrosion inhibitor compound such as benzo
Triazole (BTA).If be not removed, then these residues may cause copper cash damage or make
Copper metallization is the most coarse, and causes layer after-applied for CMP on the device substrate bad viscous
?.The serious coarse of copper metallization is a problem especially, because the copper of excess abrasive can cause micro-
The bad electric property of electronic device product.
Microelectronic component produces common another residue generation process and includes vapor plasma
Etching, it is in order to transfer on following layer by the pattern of the photoresist coating of development, institute
Stating following layer can be by hard mask layer, interlayer dielectric (ILD) layer and etch stop layer group
Become.May comprise the gas phase etc. of the chemical element being present on substrate and in plasma gas from
Daughter post-etch residue be typically deposited on back-end process (BEOL) if in structure and not by
Remove, then may interfere with silication subsequently or contact is formed.Conventional cleaning chemical often damages ILD,
Absorb and the hole of ILD thus increases dielectric constant, and/or corrosion metal structure.
Summary of the invention
The microelectronic component that the present invention relates generally to have from it residue and pollutant cleans institute
State residue and/or the compositions of pollutant and method.The Cleasing compositions of the present invention is substantially free of
Amine and ammonium material.After described residue can comprise CMP, etching after and/or post-ash residue.
On the one hand, describe and include at least one basic salt, at least one organic solvent, at least
A kind of chelating agent and the Cleasing compositions of water, wherein said compositions is substantially free of amine and ammonium salt-containing.
On the other hand, describe substantially by least one basic salt, at least one organic solvent,
At least one chelating agent and the Cleasing compositions of water composition, wherein said compositions is substantially free of amine
And ammonium salt-containing.
Another aspect, describes by least one basic salt, at least one organic solvent, at least
A kind of chelating agent and the Cleasing compositions of water composition, wherein said compositions is substantially free of amine and contains
Ammonium salt.
On the other hand relating to test kit, it is clear for being formed that it is included in one or more container
The following reagent of one or more of cleansing composition, one or more reagent described are selected from: at least one
Plant basic salt;At least one organic solvent;At least one chelating agen;With optionally at least one table
Face activating agent;Wherein said test kit is applicable to form described compositions.
The microelectronic component relating in one aspect to again have from it residue and pollutant removes described
Residue and the method for pollutant, described method includes making described microelectronic component and cleaning combination
Thing contact time enough with from described microelectronic component clean at least in part described residue and
Pollutant, wherein said Cleasing compositions comprises at least one basic salt;At least one is organic molten
Agent;At least one chelating agen;Optionally at least one surfactant;And water.
By disclosure below and claims, other aspect, feature and advantage will more
Add apparent.
Detailed description of the invention
The present invention relates generally to the microelectronic component that can be used for that there are residue and pollutant from it
Remove the compositions of described material.After described compositions is particularly useful for removing CMP, after etching
Or post-ash residue.
For the ease of mentioning, " microelectronic component " corresponding to Semiconductor substrate, flat faced display,
Phase transformation storage device, solar panel and comprise solar energy substrate, light cell and microelectromechanicpositioning
Other products of system (MEMS), it is manufactured for microelectronics, integrated circuit or calculating
Machine chip application.Solar energy substrate include but not limited to silicon, non-crystalline silicon, polysilicon, monocrystal silicon,
CdTe, copper indium diselenide, sulfuration copper and indium and the GaAs on gallium.Described solar energy substrate is permissible
For doping or undoped.It should be understood that term " microelectronic component " is not intended to by any way
It is any limitation as, but comprises and finally will become any substrate of microelectronic component or micromodule.
Time used herein, " residue " is corresponding to including but not limited to that plasma loses
Carve, be ashed, chemically-mechanicapolish polish, the microelectronic component production period of Wet-type etching and combinations thereof
The particle produced.
Time used herein, " pollutant " corresponding to chemicals present in the CMP slurry,
The byproduct of reaction of polishing slurries, chemicals, wet type erosion present in the Wet-type etching compositions
Carve compositions byproduct of reaction and as CMP process, Wet-type etching, plasma etching or
Any other material of the by-product of plasma ashing procedure.
Time used herein, " residue after CMP " is corresponding to the particle from polishing slurries
As the particle containing silica, present in this slurry chemicals, polishing slurries reaction secondary
Product, rich carbon particle, polishing pad particle, brushing off-load (brush deloading) particle, structure
Pelletize the son equipment and materials of (construction particle), copper, copper oxide, organic residue
Any other material with the by-product as CMP process.
As defined in this article, " low-k dielectric material " is corresponding in stratiform microelectronic component
Any material used as dielectric material, the dielectric that wherein said material has less than about 3.5 is normal
Number.Preferably, described low-k dielectric material comprises low polar material, the most siliceous organic polymer
Thing, containing silicon hybridization organic/inorganic materials, organic silicate glass (OSG), TEOS, fluoro
Oxide (CDO) glass of silicate glass (FSG), silicon dioxide and carbon doping.Ying Liao
Solve described low-k dielectric material and can have different density and different porositys.
As defined in this article, " chelating agent " comprises art technology person personnel and is interpreted as complexation
Those compounds of agent, chelating agen and/or screening agent.Chelating agent will be intended to use as herein described
The metallic atom of compositions removing and/or metal ion chemistry combine or physics keeps described metal admittedly here
Atom and/or metal ion.
As defined in this article, term " barrier material " is corresponding to being used in the art sealing
Metal wire such as copper-connection (copper interconnect) is so that described metal such as copper is to dielectric material
The minimized any material of diffusion.Preferably barrier material comprise tantalum, titanium, ruthenium, hafnium,
Tungsten, other refractory metals and their nitride and silicide with and combinations thereof.
As defined in this article, " post-etch residue " is corresponding to etching at vapor plasma
The material of residual after the processing of process such as BEOL dual-metal inserting or Wet-type etching process.Institute
Stating post-etch residue can be organic residue, organo-metallic residues, organosilicon residue
Or substantially inorganic residue such as material, carbon back organic material and etching gas residue be such as
Oxygen and fluorine.
As defined in this article, corresponding at oxygen when " post-ash residue " is used herein
Change or reduction plasma ashing is to remove photoresist and/or the anti-reflective coating of bottom of hardening
The material of residual after layer (BARC) material.Described post-ash residue can be organic residue
Thing, organo-metallic residues, organosilicon residue or substantially inorganic residue.
" be substantially free of " be defined herein as being based on the total weight of the composition less than 2 weight %,
Preferably smaller than 1 weight %, more preferably less than 0.5 weight % and more preferably less than 0.1 weight %.
Time used herein, " about " be intended to corresponding to described value ± 5%.
Time used herein, there is the microelectronic component cleaning of residue and pollutant from it
" fitness " of described residue and pollutant is corresponding to from this microelectronic component at least in part
Remove described residues/contaminants.Cleaning efficiency is come by the minimizing of object on microelectronic component
It is evaluated.Such as, analyze before cleaning and cleaning post analysis can use atomic force microscope to enter
OK.Particle on sample can be registered as pixel coverage.Rectangular histogram can be applied (such as,
Sigma Scan Pro) filter pixel count particles number with a certain intensity such as 231~235.Grain
Son minimizing can use following formula to calculate:
It should be noted that and determine that the method for cleaning efficiency is only used as example and provides, and be not wishing to
It is any limitation as.Or, cleaning efficiency can be considered as the summary table covered by particle matter
The percent in face.For example, it is possible to by AFM programming to carry out z-plane scanning to identify a certain
Target shaped area on height threshold and calculate the summary table covered by described target area subsequently
The area in face.Those skilled in the art is covered by described target area after will be apparent from cleaning
Area the least, then the efficiency of Cleasing compositions is the highest.Preferably, combination as herein described is used
Thing removes the residues/contaminants of at least 75% from microelectronic component, more preferably at least 90%,
The residues/contaminants of even more desirably at least 95% and most preferably at least 99% is removed.
As described more fully below, compositions as herein described can be with various concrete preparations
Embody.
In all such compositions, wherein with regard to the percetage by weight model of concrete component of compositions
Enclosing and be described it, described scope comprises 0 lower limit, it should be appreciated that such component exists
The various detailed description of the invention of described compositions there may be or do not exist, and existing
In the case of stating component, they may be low in terms of the gross weight of the compositions using such component
Concentration to 0.001 weight % exists.
Described Cleasing compositions includes following material, is made up of following material or substantially by following
Material form: at least one basic salt, at least one organic solvent, at least one chelating agent,
Water and optionally at least one surfactant.The most described water is deionized water.Described cleaning group
Compound is residual after being particularly useful for residue after residue contamination and pollutant, such as CMP, etching
Stay thing, post-ash residue and the pollutant from microelectronic device structure.
In one embodiment, described Cleasing compositions includes following material, by following material
Composition or be substantially made up of following material: at least one basic salt, at least one organic solvent,
At least one chelating agent and water.In another embodiment, described Cleasing compositions includes following
Material, it is made up of following material or is substantially made up of following material: at least one basic salt,
At least one organic solvent, at least one chelating agent, water and at least one surfactant.?
In another embodiment, described Cleasing compositions includes following material, be made up of following material or
Substantially it is made up of following material: at least one basic salt, at least one organic solvent, at least
Two kinds of chelating agent and water.
Regardless of embodiment, described Cleasing compositions is all substantially free of amine and ammonium salt-containing, example
Such as quaternary ammonium base.It addition, described compositions before use, as before cleaning chemical preferably without with
At least one in lower material: oxidant;Source containing fluoride;Grinding-material;Alkaline earth
Metal base;The organic polymer particle of crosslinking;And combinations thereof.It addition, described Cleasing compositions
Should not solidify to form polymer/solid, such as photoresist.For the purpose of the present invention,
" amine " is defined as at least one primary amine, secondary amine or tertiary amine, ammonia and/or quaternary ammonium hydroxide chemical combination
Thing (such as, ammonium hydroxide, alkyl ammonium hydroxide, hydroxide alkylaryl ammonium etc.), its limit
Condition processed is: (i) amide group, (ii) comprise hydroxy-acid group and the material of amido,
(iii) surfactant and (iv) wherein amido of comprising amido are that substituent group (such as, connects
Receive aryl or heterocyclic moiety) material be not viewed as " amine " according to this definition.Amine formula can
With by NR1R2R3Represent, wherein R1、R2And R3Can be same to each other or different to each other, and selected from hydrogen,
Straight or branched C1-C6Alkyl (such as, methyl, ethyl, propyl group, butyl, amyl group, hexyl),
C6-C10Aryl (such as, benzyl), straight or branched C1-C6Alkanol (such as, methanol, second
Alcohol, propanol, butanol, amylalcohol, hexanol) and combinations thereof, its restrictive condition is R1、R2And R3
Hydrogen can not be all.Quaternary phosphonium hydroxides ammonium compounds has general formula R1R2R3R4NOH, wherein R1、R2、
R3And R4It is same to each other or different to each other and for hydrogen, C1-C6Alkyl (such as, methyl, ethyl, third
Base, butyl, amyl group or hexyl) and substituted or unsubstituted C6-C10Aryl is (such as,
Benzyl);And alkanolamine.
For compositions as herein described and the purpose of method, at least one basic salt described is permissible
Comprise Cesium hydrate., rubidium hydroxide, potassium hydroxide and combinations thereof, preferably Cesium hydrate. and/or hydrogen
Rubidium oxide, even more preferably Cesium hydrate..Preferably select at least one basic salt described so that
Even if compositions as herein described maintains its initial pH after dilution several times the most substantially, the dilutest
The pH=initial pH ± 2pH unit released, the pH initial for pH=more preferably diluted ±
About 1pH unit.
At least one organic solvent described is preferably polyhydric alcohol, sulfone or a combination thereof, the most described many
Unit's alcohol can include at least one material selected from following material: ethylene glycol, propylene glycol, new penta
Glycol, glycerol (also referred to as glycerol), diethylene glycol, dipropylene glycol, 1,4-butanediol, 2,3-
Butanediol, 1,3-pentanediol, 1,4-pentanediol, 1,5-pentanediol, 3-methyl isophthalic acid, 5-pentanediol and
Combination.Described sulfone can include at least one material selected from following material: tetramethylene sulfone (ring
Fourth sulfone), dimethyl sulfone, diethyl sulfone, double (2-hydroxyethyl) sulfone, methyl sulfolane, second
Base sulfolane and combinations thereof.At least one organic solvent preferably described includes as single solvent
Tetramethylene sulfone, glycerol, propylene glycol, ethylene glycol or its combination in any.The most described at least
A kind of organic solvent is methylene sulfone.
Described chelating agent can include at least one in following material: ethylenediaminetetraacetic acid
(EDTA), 1,2-cyclohexane diamine-N, N, N', N'-tetraacethyl (CDTA), glycine, anti-
Bad hematic acid, iminodiacetic acid (IDA), nitrilotriacetic acid(NTA), alanine, arginine, sky
Asparagine, aspartic acid, cysteine, glutamic acid, glutamine, histidine, different
Leucine, leucine, lysine, methionine, phenylalanine, proline, serine, Soviet Union
Propylhomoserin, tryptophan, tyrosine, valine, gallic acid, boric acid, acetic acid, acetone oxime,
Acrylic acid, adipic acid, glycine betaine, dimethyl glyoxime, formic acid, fumaric acid, gluconic acid,
1,3-propanedicarboxylic acid, glyceric acid, glycolic, glyoxalic acid, M-phthalic acid, itaconic acid, lactic acid, horse
Come sour, maleic anhydride, malic acid, malonic acid, mandelic acid, 2,4-pentanedione, phenylacetic acid,
Phthalic acid, proline, propanoic acid, catechol (pyrocatecol), PMA, Kui
Buddhist nun's acid, Sorbitol, succinic acid, tartaric acid, p-phthalic acid, trimellitic acid, benzene equal three
Acid, tyrosine, xylitol, 1,5,9-triazododecane-N, N', N "-three (methylene phosphonic acids)
(DOTRP), Cyclen-N, N', N ", N' "-four (methylene phosphonic acids)
(DOTP), nitrilo-three (methylene) tri methylene phosphonic acid, diethylenetriamines five (methylene phosphine
Acid) (DETAP), amino three (methylene phosphonic acid), 1-hydroxy ethylene-1,1-di 2 ethylhexyl phosphonic acid
(HEDP), double (hexa-methylene) triamine phosphonic acids, 1,4,7-7-triazacyclononane-N, N', N "-
Three (methylene phosphonic acid) (NOTP), its salt and derivant, and combinations thereof.The most described extremely
Few a kind of chelating agent include the iminodiacetic acid as single chelating agent, boric acid, gallic acid,
HEDP or its any combination.At least one chelating agent most preferably described include boric acid, HEDP or
Boric acid and the combination of HEDP.
The illustrative surfactant used in compositions as herein described includes but not limited to two
Property salt, cationic surfactant, anion surfactant, fluoroalkyl surfactants,
Nonionic surfactant and combinations thereof, includes but not limited to104、CF-21、UR、FSO-100、
FSN-100,3M Fluorad fluorine-containing surfactant (that is, FC-4430 and FC-4432),
Dioctyl sulfosuccinate, 2,3-dimercapto-1-propane sulfonic acid salt, DBSA, poly-second
Glycol, polypropylene glycol, Polyethylene Glycol or polypropylene glycol ether, carboxylate, R1Benzenesulfonic acid or its salt
(wherein, R1For straight or branched C8-C18Alkyl), amphipathic fluoride polymer, poly-second two
Alcohol, polypropylene glycol, Polyethylene Glycol or polypropylene glycol ether, carboxylate, DBSA,
The poly-silica of polyacrylate polymers, dinonylphenyl polyoxyethylene, polysiloxanes or modification
The alkylammonium salt of the acetylenic glycols of alkane polymer, acetylenic glycols or modification, alkylammonium salt or modification, with
And include the combination of at least one in following material: above-mentioned surfactant, dodecyl sulfur
Acid sodium, zwitterionic surfactant, aerosol-OT (AOT) and fluoro analogs thereof,
Alkylammonium, perfluoropolyether surfactants, 2-sulfosuccinate, based on phosphatic surface live
Property agent, surfactant based on sulfur and polymer based on acetoacetic ester.It is being preferable to carry out
In mode, described surfactant comprises alkyl benzene sulphonate, more preferably DBSA.
The pH of Cleasing compositions as herein described is more than 7, preferably in the range of about 8~about 14,
More preferably in the range of about 8~about 13.
In a preferred embodiment, described Cleasing compositions includes following material, by following material
Composition or be substantially made up of following material: at least one basic salt, at least one organic solvent,
At least two chelating agent and water.Such as, described Cleasing compositions includes following material, by following
Material composition or be substantially made up of following material: CsOH, at least one organic solvent, at least
Two kinds of chelating agent and water.In another embodiment, described Cleasing compositions include following material,
It is made up of following material or is substantially made up of following material: CsOH, sulfone, at least two complexation
Agent and water.In another embodiment, described Cleasing compositions includes following material, by following
Material composition or be substantially made up of following material: CsOH, sulfone, phosphonic acids and at least one additionally
Chelating agent and water.
In particularly preferred embodiments, described Cleasing compositions include following material, by with
Lower material forms or is substantially made up of following material: (a) Cesium hydrate., glycerol, imido
Base oxalic acid and water;(b) Cesium hydrate., glycerol, boric acid and water;(c) Cesium hydrate.,
Propylene glycol, gallic acid and water;(d) Cesium hydrate., ethylene glycol, iminodiacetic acid and
Water;(e) Cesium hydrate., propylene glycol, boric acid and water;(f) Cesium hydrate., HEDP,
Tetramethylene sulfone, boric acid and water.In each case, described compositions is substantially free of: amine and
Ammonium salt-containing, such as quaternary ammonium base;Oxidant;The source of fluoride;Grinding-material;Alkaline earth gold
Belong to alkali;And combinations thereof.
The example of compositions as herein described is selected from preparation A-R:
Preparation A:4.0 weight %CsOH (50%), 12 weight % ethylene glycol, 0.8 weight
%IDA, 83.2 weight % water, the pH=12.22 of concentration, the pH (30:1)=10.36 of dilution
Preparation B:7.1 weight %CsOH (50%), 5 weight % ethylene glycol, 1.6 weight %IDA,
86.3 weight % water, the pH=11.88 of concentration, the pH (30:1)=10.27 of dilution
Formulation C: 5.7 weight %CsOH (50%), 12 weight % ethylene glycol, 0.8 weight %
IDA, 1 weight % ascorbic acid, 80.5 weight % water, the pH=11.41 of concentration, dilution
PH (30:1)=9.89
Preparation D:9.1 weight %CsOH (50%), 12 weight % ethylene glycol, 1.6 weight
%IDA, 1 weight % ascorbic acid, 76.3 weight % water, the pH=11.16 of concentration, dilution
PH (30:1)=10.1
Preparation E:3.9 weight %CsOH (50%), 5.0 weight % glycerol, 0.8 weight %IDA,
90.3 weight % water, the pH=12.0 of concentration, the pH (30:1)=10.16 of dilution
Preparation F:4.0 weight %CsOH (50%), 12.0 weight % glycerol, 0.8 weight %
IDA, 83.2 weight % water, the pH=11.1 of concentration, the pH (30:1)=9.5 of dilution
Preparation G:7.1 weight %CsOH (50%), 5.0 weight % glycerol, 1.6 weight %IDA,
86.3 weight % water, the pH=11.5 of concentration, the pH (30:1)=10.29 of dilution
Preparation H:5.7 weight %CsOH (50%), 12.0 weight % glycerol, 0.8 weight %
IDA, 1.0 weight % ascorbic acid, 80.5 weight % water, the pH=10.8 of concentration, dilution
PH (30:1)=9.61
Preparation I:8.8 weight %CsOH (50%), 5.0 weight % glycerol, 1.6 weight %IDA,
1.0 weight % ascorbic acid, 83.6 weight % water, the pH=12.3 of concentration, the pH (30:1) of dilution
=10.64
Preparation J:7.4 weight %CsOH (50%), 12.0 weight % glycerol, 1.6 weight %IDA,
79.0 weight % water, the pH=10.7 of concentration, the pH (30:1)=9.81 of dilution
Formulation K: 6.3 weight %CsOH (50%), 4.8 weight % propylene glycol, 2 weight %
Gallic acid, 86.9 weight % water, the pH=9.71 of concentration
Preparation L:6.6 weight %CsOH (50%), 10 weight % propylene glycol, 2 weight %
Gallic acid, 81.4 weight % water, the pH=10.32 of concentration
Preparation M:15.7 weight %CsOH (50%), 4.8 weight % propylene glycol, 5 weight
% gallic acid, 74.5 weight % water, the pH=10.14 of concentration
Preparation N:16.2 weight %CsOH (50%), 4.8 weight % propylene glycol, 5 weight
% gallic acid, 1 weight % ascorbic acid, 73 weight % water, the pH=9.28 of concentration
Preparation O:2.1 weight %CsOH (50%), 8.5 weight % glycerol, 0.4 weight %
Iminodiacetic acid, 89.0 weight % water
Preparation P:2.5 weight %CsOH (50%), 12 weight % ethylene glycol, 0.6 weight %
Iminodiacetic acid, 84.9 weight % water
Preparation Q:4 weight %CsOH (50%), 12 weight % glycerol, 3.3 weight % boron
Acid, 80.7 weight % water, the pH=7.17 of concentration, the pH (100:1)=8.54 of dilution
Preparation R:4 weight %CsOH (50%), 4.8 weight % propylene glycol, 3.3 weight %
Boric acid, 87.9 weight % water, the pH=8.4 of concentration, the pH (100:1)=8.59 of dilution
Preparation S:3 weight %CsOH, 1.2 weight %HEDP, 9 weight % tetramethylene sulfone,
0.25 weight % boric acid, 86.55 weight % water
In concentrate, the concentration of component is preferably as follows:
Component | Preferred weight % | More preferably weight % |
Basic salt (undiluted) | About 1~about 9 weight % | About 1~about 5 weight % |
Organic solvent | About 4~about 12 weight % | About 7~about 11 weight % |
Chelating agent | About 0.1~about 4 weight % | About 0.5~about 2 weight % |
Water | About 75~about 99 weight % | About 82~about 91.5 weight % |
About the amount of composition, the percentage by weight of each component is preferably as follows: basic salt: chelating agent is
About 0.1:1~about 10:1, preferably from about 0.5:1~about 4:1 and most preferably about 1:1~about 3:1;And
Organic solvent: chelating agent is about 0.1:1~about 25:1, preferably from about 1:1~about 20:1, and most preferably
It is about 2:1~about 15:1.
The weight percentage ranges of described component will contain all possible concentration of described compositions
Or dilution embodiment.About this, in one embodiment, it is provided that the cleaning combination of concentration
Thing, it can be diluted to use as clean solution.The compositions concentrated or " concentrate "
Advantageously allow for user such as CMP engineer the dilution of described concentrate to be expired in use
The intensity hoped and pH.The dilution factor of the Cleasing compositions of described concentration can at about 1:1~about
In the range of 2500:1, preferably from about 5:1~about 1500:1 and most preferably about 10:1~about 1000:1,
Wherein said Cleasing compositions such as goes with solvent when for instrument or before will being used for instrument
Ionized water dilutes.It will be apparent to those skilled in the art that after the dilution, component is relative to each other
The scope of percentage by weight will keep constant.
Compositions as herein described may be used for including but not limited to post-etch residue removal, ash
After change removing residues surface prepare, electroplate after cleaning and CMP after removing residues application in.
Another preferred embodiment in, Cleasing compositions as herein described also comprises residue
And/or pollutant.Importantly, described residue and pollutant can dissolve and/or be suspended in described
In compositions.The most described residue comprises residue, post-etch residue, ashing after CMP
Rear residue, pollutant or a combination thereof.Such as, described Cleasing compositions can include following thing
Matter, it is made up of following material or is substantially made up of following material: at least one basic salt, extremely
Few a kind of organic solvent, at least one chelating agent, water, optionally at least one surfactant and
Residue and/or pollutant.
Described Cleasing compositions is by being simply added into corresponding composition and being mixed into uniform state and hold
Change places preparation.It addition, described compositions can be easily formulated as single packaged preparation or be made
Many certain formulations of mixing before used time or use, such as, each portion of described many certain formulations
Divide and can mix at instrument or in the storage tank of instrument upstream.The concentration of corresponding composition can be with
The concrete multiple of described compositions is widely varied, the dilutest or richer, it is to be understood that described herein
Compositions can diversely and alternatively include the composition consistent with disclosure herein
Combination in any, be made up of the combination in any of described composition or substantially by described composition appoint
Meaning combination composition.
Therefore, on the other hand relating to test kit, what it was included in one or more container is suitable for
Form one or more components of compositions as herein described.Described test kit can be included in one
At least one basic salt in individual or multiple container, at least one organic solvent, at least one network
Mixture, optionally at least one surfactant, and optionally water, in order to adding man-hour or using
Combine with make-up water at Dian.The container of described test kit must be appropriate for storing and transporting described removal
Compositions, such asContainer (Advanced Technology Materials Inc (Advanced
Technology Materials,Inc.),Danbury,Conn.,USA)。
The one or more container of component containing described removal compositions preferably comprises and makes
Component flow connection in the one or more container is to blend and assigned unit.Such as,
AboutContainer, can be applied to gas pressure in the one or more container
The outside of lining to promote being discharged at least partially and thus making of the inclusions of described lining
Obtain and can be in fluid communication to blend and distributing.Or, gas pressure can be applied to routine
The headroom of pressurisable container, maybe can use pump to be capable of fluid communication.It addition,
Described system is preferably included for being assigned to the removal compositions blended the distribution of process tool
Mouthful.
Basic chemical inertness, free from foreign meter, flexible and elastic polymeric film material are preferably used
As high density polyethylene (HDPE) manufactures the lining for the one or more container.Desirable
The processing of lining material need not coextrusion or barrier layer, and without negatively affecting desire cloth
Put any pigment of purity requirement, UV inhibitor or the processing aid of component in lining.Close
The inventory of the lining material needed comprises and includes pure (additive-free) polyethylene, pure polytetrafluoro
Ethylene (PTFE), polypropylene, polyurethane, polyvinylidene chloride, polrvinyl chloride, polyacetals,
The thin film of polystyrene, polyacrylonitrile, polybutene etc..The preferred thickness of such lining material
In the range of about 5 mils (0.005 inch)~about 30 mils (0.030 inch), such as thick
Degree is 20 mils (0.020 inches).
About test kit container, by the disclosure of following patents and patent applications with it each
During form in full is expressly incorporated herein by reference: entitled " make the particle in ultrarapture liquid produce
Minimized equipment and method (APPARATUS AND METHOD FOR
MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE
LIQUIDS) U.S. Patent number 7,188,644 ";Entitled " recyclable and reusable
In Tong pocket type fluid storage and distribution containment system (RETURNABLE AND REUSABLE,
BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER
SYSTEM) U.S. Patent number 6,698,619 ";John E.Q.Hughes was at 2007 5
Entitled " system and method (the SYSTEMS blending for material and distributing submitted to by the moon 9
AND METHODS FOR MATERIAL BLENDING AND
DISTRIBUTION) U.S. Patent Application No. 60/916,966 ";Have with advanced techniques material
Limit company on May 9th, 2008 submit to entitled " for material blend and distribution system and
Method (SYSTEMS AND METHODS FOR MATERIAL BLENDING AND
DISTRIBUTION) PCT/US08/63276 ".
When being applied to microelectronics production operation when, Cleasing compositions as herein described is useful
Ground for from the surface cleaning residue (such as, residue after CMP) of microelectronic component and/
Or pollutant.Importantly, described Cleasing compositions does not damage low-k dielectric material or corrosion at device
Metal interconnection on part surface.Additionally, described Cleasing compositions will not easily remove silicon or poly-
Silicone compositions.The most described Cleasing compositions removes and deposited on device before removing residues
At least 85%, more preferably at least 90%, even more desirably at least 95% and most preferably at least
The residue of 99%.
After cmp in residue and pollutant clean applications, described Cleasing compositions can with respectively
Plant conventional burnisher such as mega sonic wave and brush scrubbing to be used together, include but not limited to Verteq
Single-chip mega sonic wave Goldfinger, OnTrak systems DDS (bilateral scrubber), SEZ
Or other single-chip spray irrigations (single wafer spray rinse), Applied Materials
Mirra-MesaTM/ReflexionTM/Reflexion LKTMDesktop system is washed with mega sonic wave batch
(Megasonic batch wet bench system)。
Using compositions as herein described after residue, etching after having CMP from it
The microelectronic component of residue, post-ash residue and/or pollutant clean these residues and/or
During pollutant, described Cleasing compositions typically with described device at about 20 DEG C~about 90
DEG C, in the range of preferably from about 20 DEG C~about 50 DEG C at a temperature of contact about 5 seconds~about 10 minutes,
Preferably from about 1 second~20 minutes, preferably from about 15 seconds~the time of about 5 minutes.Such time of contact
It is illustrative with temperature, and any other suitable time and temperature conditions, institute can be used
Condition of stating cleans institute from described device in the broad practice of described method effectively at least in part
State residues/contaminants after CMP." cleaning at least in part " and " substantially removing " are the most right
Should in remove before removing residues present on device at least 85%, more preferably at least
90%, even more desirably at least 95% and the residue of most preferably at least 99%.
As in applying in the appointment final use of compositions as herein described it may be desirable to and effectively
Ground, after realizing desired cleaning action, described Cleasing compositions can be used from previously
Its device easily removes.Preferably, rinse solution comprises deionized water.Subsequently, described device
Part can use nitrogen or Rotary drying cyclic drying.
The advantage of the compositions and methods of the invention includes but not limited to substantially remove grain from surface
Son, from surface basic organic and metal residue, passive metal such as the copper surface, substantially of removing
Do not change porous low-k dielectric material and low metal surface roughening.It addition, described compositions is excellent
Elect environmental protection as.
Relate in one aspect to again the microelectronic component of improvement made according to method described herein and contain
There is the product of described microelectronic component.
On the other hand relating to the Cleasing compositions of recirculation, wherein said Cleasing compositions can be again
Circulation is until residue and/or pollutant burden reach those skilled in the art such as is readily determined
The maximum that can accommodate of described Cleasing compositions.
Another aspect is directed to use with Cleasing compositions as herein described, produces and includes microelectronic component
The method of goods, described method includes making described microelectronic component contact foot with Cleasing compositions
The enough time, to have the microelectronic component described residual of removing of residue and pollutant from it
Thing and pollutant, and described microelectronic component is incorporated in described goods.
On the other hand, describe and there is residue and the microelectronics device of pollutant from it after CMP
Part removes residue and the method for pollutant after described CMP, and described method includes:
Described microelectronic component is polished with CMP slurry;
Described microelectronic component is made to contact time enough with Cleasing compositions, with from described micro-electricity
After sub-device removing CMP, residue and pollutant are to be formed containing the combination of residue after CMP
Thing, described Cleasing compositions includes following material, is made up of following material or substantially by following
Material form: at least one basic salt, at least one organic solvent, at least one chelating agent,
Optionally at least one surfactant and water;With
Described microelectronic component is made to contact continuously containing the compositions of residue after CMP with described
Time enough cleans to realize the basic of described microelectronic component,
Wherein said Cleasing compositions is substantially free of: amine and ammonium salt-containing, such as quaternary ammonium base;Oxidation
Agent;Source containing fluoride;Grinding-material;Alkaline earth metal alkali;And combinations thereof.
On the other hand relating to the goods produced, it includes Cleasing compositions, microelectronic device wafer
With the material selected from residue, pollutant and combinations thereof, wherein said Cleasing compositions include to
Few a kind of basic salt, at least one organic solvent, at least one chelating agent, optionally at least one
Surfactant and water, and wherein said residue include residue after CMP, etching after remain
At least one in thing and post-ash residue.
Although diversely disclosing this by reference to illustrated embodiment and feature in this article
Bright, it is to be appreciated that embodiments described above and feature are not intended to limit the present invention, and
And on the basis of disclosure, other change, improvement and other embodiments are to this
Field those of ordinary skill will be apparent to.Therefore need to be broadly construed as the present invention to cover institute
There are change, improvement and optional embodiment party such, in the spirit and scope of claims
Formula.
Claims (25)
- Residue and micro-electricity of pollutant (after CMP) after there is chemically mechanical polishing the most from it Sub-device removes residue and the method for pollutant after described CMP, and described method includes making described Microelectronic component contacts time enough with Cleasing compositions, with from described microelectronic component at least Partly clean residue and pollutant after described CMP, wherein said Cleasing compositions include to Few a kind of basic salt, at least one organic solvent, at least one chelating agent and water, wherein said Cleasing compositions is substantially free of amine and ammonium salt-containing.
- 2. the method for claim 1, uses solvent dilution when it is additionally included in use or before using Described Cleasing compositions.
- 3. the process of claim 1 wherein that at least one basic salt described includes selected from following Material: Cesium hydrate., rubidium hydroxide, potassium hydroxide and combinations thereof.
- 4. the process of claim 1 wherein that at least one basic salt described includes Cesium hydrate..
- 5. the process of claim 1 wherein at least one organic solvent described include polyhydric alcohol, Sulfone or a combination thereof.
- 6. the process of claim 1 wherein that at least one organic solvent described includes selected from following Material: ethylene glycol, propylene glycol, neopentyl glycol, glycerol, diethylene glycol, dipropylene glycol, 1,4-butanediol, 2,3-butanediol, 1,3-pentanediol, 1,4-pentanediol, 1,5-pentanediol, four Asias Methyl sulfone (sulfolane), dimethyl sulfone, diethyl sulfone, double (2-hydroxyethyl) sulfone, methyl Sulfolane, ethylsulfolane and combinations thereof.
- 7. the process of claim 1 wherein that at least one chelating agent described includes selected from following Material: ethylenediaminetetraacetic acid (EDTA), 1,2-cyclohexane diamine-N, N, N', N'-tetraacethyl (CDTA), glycine, ascorbic acid, iminodiacetic acid (IDA), nitrilo-three second Acid, alanine, arginine, asparagine, aspartic acid, cysteine, glutamic acid, Glutamine, histidine, isoleucine, leucine, lysine, methionine, phenylalanine, Proline, serine, threonine, tryptophan, tyrosine, valine, gallic acid, boron Acid, acetic acid, acetone oxime, acrylic acid, adipic acid, glycine betaine, dimethyl glyoxime, formic acid, Fumaric acid, gluconic acid, 1,3-propanedicarboxylic acid, glyceric acid, glycolic, glyoxalic acid, M-phthalic acid, Itaconic acid, lactic acid, maleic acid, maleic anhydride, malic acid, malonic acid, mandelic acid, 2,4-penta Diketone, phenylacetic acid, phthalic acid, proline, propanoic acid, catechol, PMA, Quinic acid, Sorbitol, succinic acid, tartaric acid, p-phthalic acid, trimellitic acid, benzene are equal Three acid, tyrosine, xylitol, its salt and derivant, and combinations thereof.
- 8. the process of claim 1 wherein that described Cleasing compositions is substantially free of oxidant, contains Have the source of fluoride, grinding-material, alkaline earth metal alkali, the organic polymer particle of crosslinking and A combination thereof.
- 9. the method for claim 2, wherein said Cleasing compositions is at about 10:1~about 1000:1 In the range of dilute.
- 10. the process of claim 1 wherein that the pH of described Cleasing compositions is about 8~about 14 In the range of.
- 11. Cleasing compositions, it includes CsOH, sulfone, phosphonic acids, complexation that at least one is other Agent and water, wherein said compositions is substantially free of amine and ammonium salt-containing.
- The Cleasing compositions of 12. claim 11, wherein said sulfone includes selected from following material: Tetramethylene sulfone, dimethyl sulfone, diethyl sulfone, double (2-hydroxyethyl) sulfone, methyl sulfolane, Ethylsulfolane and combinations thereof.
- The Cleasing compositions of 13. claim 11, wherein said phosphonic acids includes selected from following thing Matter: 1,5,9-triazododecane-N, N', N "-three (methylene phosphonic acid) (DOTRP), Cyclen-N, N', N ", N " '-four (methylene phosphonic acid) (DOTP), secondary Nitrilo three (methylene) tri methylene phosphonic acid, diethylene triamine penta(methylene phosphonic acid) (DETAP), Amino three (methylene phosphonic acid), 1-hydroxy ethylene-1,1-di 2 ethylhexyl phosphonic acid (HEDP), double (six Methylene) triamine phosphonic acids, 1,4,7-7-triazacyclononane-N, N', N "-three (methylene phosphonic acids) (NOTP), its salt and derivant, and combinations thereof.
- The Cleasing compositions of 14. claim 11, at least one other chelating agent wherein said Including selected from following material: ethylenediaminetetraacetic acid (EDTA), 1,2-cyclohexane diamine -N, N, N', N'-tetraacethyl (CDTA), glycine, ascorbic acid, iminodiacetic acid (IDA), Nitrilotriacetic acid(NTA), alanine, arginine, asparagine, aspartic acid, half Guang ammonia Acid, glutamic acid, glutamine, histidine, isoleucine, leucine, lysine, egg ammonia Acid, phenylalanine, proline, serine, threonine, tryptophan, tyrosine, valine, Gallic acid, boric acid, acetic acid, acetone oxime, acrylic acid, adipic acid, glycine betaine, dimethyl Glyoxime, formic acid, fumaric acid, gluconic acid, 1,3-propanedicarboxylic acid, glyceric acid, glycolic, glyoxalic acid, M-phthalic acid, itaconic acid, lactic acid, maleic acid, maleic anhydride, malic acid, malonic acid, Mandelic acid, 2,4-pentanedione, phenylacetic acid, phthalic acid, proline, propanoic acid, adjacent benzene two Phenol, PMA, quinic acid, Sorbitol, succinic acid, tartaric acid, p-phthalic acid, Trimellitic acid, trimesic acid, tyrosine, xylitol, its salt and derivant, and combinations thereof.
- The Cleasing compositions of 15. claim 11, wherein said compositions be substantially free of oxidant, Source containing fluoride, grinding-material, alkaline earth metal alkali, the organic polymer particle of crosslinking And combinations thereof.
- The Cleasing compositions of 16. claim 11, wherein said compositions comprise Cesium hydrate., HEDP, tetramethylene sulfone, boric acid and water.
- The Cleasing compositions of 17. claim 11, it also includes residue and pollutant, wherein Described residue includes residue after CMP, post-etch residue, post-ash residue or its group Close.
- The Cleasing compositions of 18. claim 11, wherein said compositions is at about 10:1~about Dilute in the range of 1000:1.
- The Cleasing compositions of 19. claim 11, wherein said Cleasing compositions does not solidify formation Polymer/solid.
- The Cleasing compositions of 20. claim 11, it also includes at least one surfactant.
- The Cleasing compositions of 21. claim 11, wherein pH is in the range of about 8~about 14.
- 22. have from it residue and pollutant microelectronic components remove described residues and The method of pollutant, described method includes making described microelectronic component and claim 11~21 The Cleasing compositions contact time enough of one, with from described microelectronic component at least in part Clean described residue and pollutant.
- The method of 23. claim 22, wherein said residue include residue after CMP, Post-etch residue, post-ash residue or a combination thereof.
- The method of 24. claim 22, wherein said contact includes selected from following condition: time Between be about 15 seconds~about 5 minutes;Temperature is in the range of about 20 DEG C~about 50 DEG C;And combinations thereof.
- The method of 25. claim 22, uses solvent dilute when it is additionally included in use or before using Release described Cleasing compositions.
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN201610274374.1A CN105869997A (en) | 2011-10-21 | 2011-10-21 | Amine-free post-CMP composition and using method thereof |
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN201180075099.6A CN103958640B (en) | 2011-10-21 | 2011-10-21 | Without compoistion and method of use after amine CMP |
CN201610274374.1A CN105869997A (en) | 2011-10-21 | 2011-10-21 | Amine-free post-CMP composition and using method thereof |
PCT/US2011/057287 WO2013058770A1 (en) | 2011-10-21 | 2011-10-21 | Non-amine post-cmp composition and method of use |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201180075099.6A Division CN103958640B (en) | 2011-10-21 | 2011-10-21 | Without compoistion and method of use after amine CMP |
Publications (1)
Publication Number | Publication Date |
---|---|
CN105869997A true CN105869997A (en) | 2016-08-17 |
Family
ID=48141217
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201610274374.1A Pending CN105869997A (en) | 2011-10-21 | 2011-10-21 | Amine-free post-CMP composition and using method thereof |
CN201180075099.6A Active CN103958640B (en) | 2011-10-21 | 2011-10-21 | Without compoistion and method of use after amine CMP |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201180075099.6A Active CN103958640B (en) | 2011-10-21 | 2011-10-21 | Without compoistion and method of use after amine CMP |
Country Status (4)
Country | Link |
---|---|
EP (1) | EP2768920A4 (en) |
KR (1) | KR101914817B1 (en) |
CN (2) | CN105869997A (en) |
WO (1) | WO2013058770A1 (en) |
Families Citing this family (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2014089196A1 (en) | 2012-12-05 | 2014-06-12 | Advanced Technology Materials, Inc. | Compositions for cleaning iii-v semiconductor materials and methods of using same |
US10472567B2 (en) | 2013-03-04 | 2019-11-12 | Entegris, Inc. | Compositions and methods for selectively etching titanium nitride |
EP3004287B1 (en) | 2013-06-06 | 2021-08-18 | Entegris, Inc. | Compositions and methods for selectively etching titanium nitride |
KR102338526B1 (en) | 2013-07-31 | 2021-12-14 | 엔테그리스, 아이엔씨. | AQUEOUS FORMULATIONS FOR REMOVING METAL HARD MASK AND POST-ETCH RESIDUE WITH Cu/W COMPATIBILITY |
SG11201601158VA (en) | 2013-08-30 | 2016-03-30 | Advanced Tech Materials | Compositions and methods for selectively etching titanium nitride |
SG11201603122XA (en) | 2013-10-21 | 2016-05-30 | Fujifilm Electronic Materials | Cleaning formulations for removing residues on surfaces |
KR102134577B1 (en) * | 2013-11-12 | 2020-07-16 | 주식회사 동진쎄미켐 | Composition for post cmp cleaning |
CN105873691B (en) | 2013-12-06 | 2018-04-20 | 富士胶片电子材料美国有限公司 | For removing the cleaning composite of the residue on surface |
TWI654340B (en) | 2013-12-16 | 2019-03-21 | 美商恩特葛瑞斯股份有限公司 | Ni:NiGe:Ge SELECTIVE ETCH FORMULATIONS AND METHOD OF USING SAME |
EP3084809A4 (en) | 2013-12-20 | 2017-08-23 | Entegris, Inc. | Use of non-oxidizing strong acids for the removal of ion-implanted resist |
KR102290209B1 (en) | 2013-12-31 | 2021-08-20 | 엔테그리스, 아이엔씨. | Formulations to selectively etch silicon and germanium |
TWI659098B (en) | 2014-01-29 | 2019-05-11 | 美商恩特葛瑞斯股份有限公司 | Post chemical mechanical polishing formulations and method of use |
US11127587B2 (en) | 2014-02-05 | 2021-09-21 | Entegris, Inc. | Non-amine post-CMP compositions and method of use |
EP3774680A4 (en) | 2018-03-28 | 2021-05-19 | FUJIFILM Electronic Materials U.S.A, Inc. | Cleaning compositions |
CN115612573B (en) * | 2022-09-05 | 2023-10-13 | 圣戈班汇杰(杭州)新材料有限公司 | Adhesive removing agent formula for curing silicone adhesive and application method of adhesive removing agent formula |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN1387556A (en) * | 1999-11-04 | 2002-12-25 | 卡伯特微电子公司 | Use of CsOH in dielectric CMP slurry |
CN102135735A (en) * | 2002-06-07 | 2011-07-27 | 安万托特性材料股份有限公司 | Microelectronic cleaning and arc remover compositions |
Family Cites Families (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2000044034A1 (en) * | 1999-01-25 | 2000-07-27 | Speedfam-Ipec Corporation | Methods and cleaning solutions for post-chemical mechanical polishing |
BR0311827A (en) * | 2002-06-07 | 2005-03-29 | Mallinckrodt Baker Inc | Microelectronic cleaning compositions containing oxidants and organic solvents |
US6887597B1 (en) * | 2004-05-03 | 2005-05-03 | Prestone Products Corporation | Methods and composition for cleaning and passivating fuel cell systems |
KR101444468B1 (en) * | 2005-10-05 | 2014-10-30 | 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 | Oxidizing aqueous cleaner for the removal of post-etch residues |
KR20080059442A (en) | 2005-10-13 | 2008-06-27 | 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 | Metals compatible photoresist and/or sacrificial antireflective coatiing removal composition |
US20070225186A1 (en) * | 2006-03-27 | 2007-09-27 | Matthew Fisher | Alkaline solutions for post CMP cleaning processes |
SG175559A1 (en) * | 2006-09-25 | 2011-11-28 | Advanced Tech Materials | Compositions and methods for the removal of photoresist for a wafer rework application |
JP2009069505A (en) | 2007-09-13 | 2009-04-02 | Tosoh Corp | Cleaning solution and cleaning method for removing resist |
AU2010218426A1 (en) * | 2009-02-25 | 2011-10-20 | Avantor Performance Materials, Inc. | Multipurpose acidic, organic solvent based microelectronic cleaning composition |
US8754021B2 (en) * | 2009-02-27 | 2014-06-17 | Advanced Technology Materials, Inc. | Non-amine post-CMP composition and method of use |
US7846265B1 (en) * | 2009-10-13 | 2010-12-07 | Xerox Corporation | Media path universal cleaning fluid composition |
-
2011
- 2011-10-21 EP EP11874382.2A patent/EP2768920A4/en not_active Withdrawn
- 2011-10-21 KR KR1020147013154A patent/KR101914817B1/en active IP Right Grant
- 2011-10-21 CN CN201610274374.1A patent/CN105869997A/en active Pending
- 2011-10-21 WO PCT/US2011/057287 patent/WO2013058770A1/en active Application Filing
- 2011-10-21 CN CN201180075099.6A patent/CN103958640B/en active Active
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN1387556A (en) * | 1999-11-04 | 2002-12-25 | 卡伯特微电子公司 | Use of CsOH in dielectric CMP slurry |
CN102135735A (en) * | 2002-06-07 | 2011-07-27 | 安万托特性材料股份有限公司 | Microelectronic cleaning and arc remover compositions |
Also Published As
Publication number | Publication date |
---|---|
CN103958640A (en) | 2014-07-30 |
WO2013058770A1 (en) | 2013-04-25 |
KR20140082816A (en) | 2014-07-02 |
EP2768920A1 (en) | 2014-08-27 |
EP2768920A4 (en) | 2015-06-03 |
KR101914817B1 (en) | 2018-12-28 |
CN103958640B (en) | 2016-05-18 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN105869997A (en) | Amine-free post-CMP composition and using method thereof | |
US9340760B2 (en) | Non-amine post-CMP composition and method of use | |
TWI726859B (en) | Post chemical mechanical polishing formulations and method of use | |
TWI703210B (en) | Post chemical mechanical polishing formulations and method of use | |
JP5647517B2 (en) | Novel antioxidants for post-CMP cleaning formulations | |
TWI659098B (en) | Post chemical mechanical polishing formulations and method of use | |
US7922823B2 (en) | Compositions for processing of semiconductor substrates | |
US20170096624A1 (en) | New antioxidants for post-cmp cleaning formulations | |
CN104508072A (en) | Post-CMP removal using compositions and method of use | |
WO2008036823A2 (en) | Uric acid additive for cleaning formulations | |
TWI558810B (en) | Non-amine post-cmp composition and method of use |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
CB02 | Change of applicant information |
Address after: Massachusetts, USA Applicant after: Entergris Co. Address before: Massachusetts, USA Applicant before: MYKROLIS Corp. |
|
COR | Change of bibliographic data | ||
RJ01 | Rejection of invention patent application after publication |
Application publication date: 20160817 |
|
RJ01 | Rejection of invention patent application after publication |