CN103958640A - Nonamine post-CMP composition and method of use - Google Patents

Nonamine post-CMP composition and method of use Download PDF

Info

Publication number
CN103958640A
CN103958640A CN201180075099.6A CN201180075099A CN103958640A CN 103958640 A CN103958640 A CN 103958640A CN 201180075099 A CN201180075099 A CN 201180075099A CN 103958640 A CN103958640 A CN 103958640A
Authority
CN
China
Prior art keywords
acid
cleaning compositions
residue
weight
combination
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201180075099.6A
Other languages
Chinese (zh)
Other versions
CN103958640B (en
Inventor
杰弗里·A·巴尼斯
刘俊
张鹏
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Advanced Technology Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials Inc filed Critical Advanced Technology Materials Inc
Priority to CN201610274374.1A priority Critical patent/CN105869997A/en
Publication of CN103958640A publication Critical patent/CN103958640A/en
Application granted granted Critical
Publication of CN103958640B publication Critical patent/CN103958640B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/044Hydroxides or bases
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/06Hydroxides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5009Organic solvents containing phosphorus, sulfur or silicon, e.g. dimethylsulfoxide
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5022Organic solvents containing oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2003Alcohols; Phenols
    • C11D3/2041Dihydric alcohols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2003Alcohols; Phenols
    • C11D3/2065Polyhydric alcohols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2068Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/34Organic compounds containing sulfur
    • C11D3/3454Organic compounds containing sulfur containing sulfone groups, e.g. vinyl sulfones
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/261Alcohols; Phenols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/263Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Organic Chemistry (AREA)
  • Wood Science & Technology (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)

Abstract

The invention relates to a cleaning composition and process for cleaning post-chemical mechanical polishing (CMP) residue and contaminants from a microelectronic device having the residue and contaminants thereon. The cleaning composition is substantially devoid of amine and ammonium-containing compounds, e.g., quaternary ammonium bases. The composition achieves highly efficacious cleaning of the post-CMP residue and contaminant material from the surface of the microelectronic device without compromising the low-k dielectric material or the copper interconnect material.

Description

Without compoistion and method of use after amine CMP
Technical field
The present invention relates generally to the composition that cleans described residue and/or pollutent on the microelectronic device for thering is from it residue and/or pollutent.
Background technology
Microelectronic device wafer is used to form unicircuit.Described microelectronic device wafer comprises substrate as silicon, the zone map of substrate is had to the differing materials of insulation, conduction or semiconduction character with deposition.
In order to obtain appropriate patterning, must remove the excess material using in the process that forms each layer on substrate.In addition, for manufacturing function and reliable circuit, the microelectronic die surface of importantly preparing smooth or plane before following process.Therefore, need to remove and/or some surface of polish microelectronic device wafer.
Chemically machinery polished or complanation (" CMP ") are wherein material removed from the surface of microelectronic device wafer and carried out the process on polishing (more specifically complanation) as described in surface as ground with chemical process as oxidation or the coupling of chelating by physical method.With its most basic form, CMP comprises and uses slurry if the solution of abrasive material and active chemical is to polishing pad, and the surface of polishing microelectronic device wafer is to complete removal, complanation and polishing process.The removal or the polishing process unsatisfactory that are formed by pure physical action or pure chemical action, but need both synergistic combination to remove to realize rapidly and uniformly.In the manufacture of unicircuit, CMP slurry should preferentially be removed the film of the composite bed that comprises metal and other materials, thereby the surface that can generate elevation plane is for photoetching or patterning, etching and processing film subsequently.
Recently, copper is day by day in unicircuit metal interconnected.Be usually used in microelectronic device manufacture in the metallized copper inlaying process of circuit, must be removed and flattened layer comprises the copper layer with the about thickness of 1~1.5mm and the copper crystal seed layer with the thickness of approximately 0.05~0.15 μ m.These copper layers pass through typically approximately thick spacer material layer and dielectric material surface separate, and this prevents that copper is diffused in oxide dielectric material.A key that obtains excellent homogeneity after polishing in wafer surface is to use has optionally CMP slurry of appropriate removal to various materials.
The above-mentioned process operation that comprises wafer substrates surface preparation, deposition, plating, etching and chemically machinery polished needs clean operation to ensure that microelectronic device products is not containing any pollutent in many aspects, and not so described pollutent will deleteriously affect product function or even make it cannot be used for its predetermined function.The particle of these pollutents is often less than 0.3 μ m.
A particular problem is in this respect to stay the residue on microelectronic device substrate after CMP processing.This class residue comprises CMP material and corrosion inhibition immunomodulator compounds as benzotriazole (BTA).If be not removed, these residues may cause that copper cash damages or makes copper metallization seriously coarse, and cause the bad adhesion of the after-applied layer of CMP in device substrate.The serious coarse of copper metallization is a problem especially, because excessive coarse copper can cause the bad electric property of microelectronic device products.
Microelectronic device is produced another common residue generative process and is comprised gas phase plasma etching, it is in order to upper to layer below by the design transfer of the photo-resist coating of developing, and described layer below can be made up of hard mask layer, interlayer dielectric (ILD) layer and etch stop layer.May comprise be present on substrate and plasma gas in the gas phase plasma etching of chemical element after residue be typically deposited on back-end process (BEOL) if be removed in structure and not, may hinder silication or contact subsequently to form.Conventional cleaning chemistry product often damage ILD, and absorb in the hole of ILD and increase thus specific inductivity, and/or corroding metal structure.
Summary of the invention
The present invention relates generally to and there is from it the clean described residue of microelectronic device of residue and pollutent and/or composition and the method for pollutent.Cleaning compositions of the present invention is not substantially containing amine and ammonium material.Described residue can comprise residue after CMP, after etching and/or after ashing.
On the one hand, described the cleaning compositions that comprises at least one basic salt, at least one organic solvent, at least one complexing agent and water, wherein said composition is not substantially containing amine and ammonium salt-containing.
On the other hand, described the cleaning compositions being substantially made up of at least one basic salt, at least one organic solvent, at least one complexing agent and water, wherein said composition is not substantially containing amine and ammonium salt-containing.
Another aspect, has described the cleaning compositions being made up of at least one basic salt, at least one organic solvent, at least one complexing agent and water, and wherein said composition is not substantially containing amine and ammonium salt-containing.
Relate on the other hand test kit, it is included in one or more the following reagent that are used to form cleaning compositions in one or more containers, and described one or more reagent are selected from: at least one basic salt; At least one organic solvent; At least one sequestrant; With optional at least one tensio-active agent; Wherein said test kit is applicable to form described composition.
Relate in one aspect to again and there is from it the method that the microelectronic device of residue and pollutent is removed described residue and pollutent, described method comprises makes described microelectronic device contact time enough with cleaning compositions to clean at least in part described residue and pollutent from described microelectronic device, and wherein said cleaning compositions comprises at least one basic salt; At least one organic solvent; At least one sequestrant; Optional at least one tensio-active agent; And water.
By following discloses content and claims, other aspect, feature and advantage will be more apparent.
Embodiment
The present invention relates generally to the microelectronic device that can be used for having from it residue and pollutent and remove the composition of described material.Described composition is particularly useful for removing residue after CMP, after etching or after ashing.
For the ease of mentioning, " microelectronic device ", corresponding to semiconducter substrate, flat-panel monitor, phase transformation storing unit, solar panel and other products of comprising sun power substrate, photocell and Micro Electro Mechanical System (MEMS), it is produced for microelectronics, unicircuit or computer chip and is applied.Sun power substrate includes but not limited to silicon, non-crystalline silicon, polysilicon, silicon single crystal, CdTe, copper indium diselenide, cupric sulfide indium and the gallium arsenide on gallium.Described sun power substrate can be doping or undoped.Should understand term " microelectronic device " and not want to be limited by any way, finally will become any substrate of microelectronic device or micromodule but comprise.
While use in this article, " residue " is corresponding to the particle producing at the microelectronic device production period that includes but not limited to plasma etching, ashing, chemically machinery polished, Wet-type etching and combination thereof.
While use in this article, the chemical that " pollutent " exists corresponding to the byproduct of reaction of the chemical existing in CMP slurry, polishing slurries, in Wet-type etching composition, the byproduct of reaction of Wet-type etching composition and any other material as the by product of CMP process, Wet-type etching, plasma etching or plasma ashing process.
While use in this article, the chemical that " residue after CMP " exists as the particle that contains silicon oxide, in this slurry corresponding to the particle from polishing slurries, the byproduct of reaction of polishing slurries, rich carbon particles, polishing pad particle, the equipment and materials of brushing off-load (brush deloading) particle, structure particle (construction particle), copper, cupric oxide, organic residue and any other material as the by product of CMP process.
As definition in this article, " low-k dielectric material ", corresponding to any material using as dielectric materials in stratiform microelectronic device, wherein said material has the specific inductivity that is less than approximately 3.5.Preferably, described low-k dielectric material comprises low polar material, oxide compound (CDO) glass adulterating such as siliceous organic polymer, containing silicon hybridization organic/inorganic materials, organic silicate glass (OSG), TEOS, fluoro silicate glass (FSG), silicon-dioxide and carbon.Should be appreciated that described low-k dielectric material can have different density and different porositys.
As definition in this article, " complexing agent " comprises art technology person personnel and is interpreted as those compounds of complexing agent, sequestrant and/or sequestering agent.Complexing agent will use atoms metal that composition as herein described removes and/or metal ion Chemical bond or physics admittedly to keep described atoms metal and/or metal ion here with wish.
As in this article definition, term " barrier material " corresponding in the art for sealing metal line as copper-connection (copper interconnect) so that as described in metal if copper is to the minimized any material of the diffusion of dielectric materials.Preferred barrier material comprise tantalum, titanium, ruthenium, hafnium, tungsten, other refractory metals and their nitride and silicide with and combination.
As definition in this article, " post-etch residue " is corresponding to residual material after gas phase plasma etching process is as the processing of BEOL dual-metal inserting or Wet-type etching process.Described post-etch residue can be organic residue, organo-metallic residue, organosilicon residue or in essence inorganic residue if material, carbon back organic materials and etching gas residue are as oxygen and fluorine.
As in this article definition, when " residue after ashing " uses in this article corresponding to oxidation or reduction plasma ashing with residual material after removing the photo-resist of sclerosis and/or the antireflecting coating of bottom (BARC) material.After described ashing, residue can be organic residue, organo-metallic residue, organosilicon residue or inorganic residue in essence.
" substantially do not contain " to be defined as in this article by the gross weight of composition and be less than 2 % by weight, be preferably less than 1 % by weight, be more preferably less than 0.5 % by weight and be most preferably less than 0.1 % by weight.
While use in this article, " approximately " be intended to corresponding to described value ± 5%.
While use in this article, there is from it the clean described residue of microelectronic device of residue and pollutent and " suitability " of pollutent corresponding to removing at least in part described residues/contaminants from this microelectronic device.Cleaning efficiency is evaluated by the minimizing of target compound on microelectronic device.For example, clean front analysis and clean post analysis can use atomic force microscope to carry out.Particle on sample can be registered as pixel coverage.Can apply histogram (for example, Sigma Scan Pro) with a certain intensity as 231~235 filtered pixels and count number of particles.Particle reduces can use following formula calculating:
It should be noted that the method for determining cleaning efficiency only provides as an example, and not want it to be limited.Or, the percentage ratio of the total surface that cleaning efficiency can be considered as being covered by particulate matter.For example, AFM can be programmed to carry out z-plane scanning with qualification the target landform region on a certain height threshold and calculate subsequently the area of the total surface being covered by described target area.The area being covered by described target area after those skilled in the art is clean by easy to understand is less, and the efficiency of cleaning compositions is higher.Preferably, use composition as herein described to remove at least 75% residues/contaminants from microelectronic device, more preferably at least 90%, even more preferably at least 95% and most preferably at least 99% residues/contaminants is removed.
As below more fully described, composition as herein described can embody with various concrete preparations.
In all these based compositions, wherein with regard to the weight percentage scope of the concrete component of composition, it is discussed, described scope comprises 0 lower limit, should be understood that such component may exist or not exist in the various embodiments of described composition, and in the situation that there is described component, they may exist in the concentration that adopts the gross weight of composition of such component to be low to moderate 0.001 % by weight.
Described cleaning compositions comprises following material, is formed or be substantially made up of following material by following material: at least one basic salt, at least one organic solvent, at least one complexing agent, water and optionally at least one tensio-active agent.Preferred described water is deionized water.Described cleaning compositions is particularly useful for residue contamination and pollutent, for example residue and the pollutent from microelectronic device structure after residue, post-etch residue, ashing after CMP.
In one embodiment, described cleaning compositions comprises following material, is formed or be substantially made up of following material by following material: at least one basic salt, at least one organic solvent, at least one complexing agent and water.In another embodiment, described cleaning compositions comprises following material, is formed or be substantially made up of following material by following material: at least one basic salt, at least one organic solvent, at least one complexing agent, water and at least one tensio-active agent.In another embodiment, described cleaning compositions comprises following material, is formed or be substantially made up of following material by following material: at least one basic salt, at least one organic solvent, at least two kinds of complexing agents and water.
No matter which kind of embodiment, described cleaning compositions is all substantially not for example, containing amine and ammonium salt-containing, quaternary ammonium hydroxide.In addition, described composition before use, as preferably there is no at least one in following material before cleaning chemistry product: oxygenant; The source of containing fluorochemical; Abrasive substance; Alkaline earth metal alkali; Crosslinked organic polymer particle; And combination.In addition, described cleaning compositions should not solidify to form polymer/solid, for example photo-resist.For object of the present invention, " amine " (is for example defined as at least one primary amine, secondary amine or tertiary amine, ammonia and/or quaternary phosphonium hydroxides ammonium compound, ammonium hydroxide, alkyl ammonium hydroxide, hydroxide alkylaryl ammonium etc.), its restricted condition is: the material that (i) amide group, (ii) comprise hydroxy-acid group and amido, the tensio-active agent that (iii) comprises amido and (iv) wherein amido be that the material of substituting group (for example, being connected to aryl or heterocyclic moiety) is not regarded as " amine " according to this definition.Amine formula can be by NR 1r 2r 3represent wherein R 1, R 2and R 3can be same to each other or different to each other, and be selected from hydrogen, straight or branched C 1-C 6alkyl (for example, methyl, ethyl, propyl group, butyl, amyl group, hexyl), C 6-C 10aryl (for example, benzyl), straight or branched C 1-C 6alkanol (for example, methyl alcohol, ethanol, propyl alcohol, butanols, amylalcohol, hexanol) and combination thereof, its restricted condition is R 1, R 2and R 3can not be hydrogen entirely.Quaternary phosphonium hydroxides ammonium compound has general formula R 1r 2r 3r 4nOH, wherein R 1, R 2, R 3and R 4be same to each other or different to each other and be hydrogen, C 1-C 6alkyl (for example, methyl, ethyl, propyl group, butyl, amyl group or hexyl) and substituted or unsubstituted C 6-C 10aryl (for example, benzyl); And alkanolamine.
For the object of composition as herein described and method, described at least one basic salt can comprise cesium hydroxide, rubidium hydroxide, potassium hydroxide and combination thereof, preferably cesium hydroxide and/or rubidium hydroxide, even more preferably cesium hydroxide.For example, even if preferably select described at least one basic salt to make composition as herein described also substantially maintain its initial pH after dilution several times, the initial pH ± 2pH unit of pH=of dilution, the more preferably initial pH ± about 1pH unit of pH=of dilution.
Described at least one organic solvent is preferably polyvalent alcohol, sulfone or its combination, described polyvalent alcohol can comprise at least one material that is selected from following material thus: ethylene glycol, propylene glycol, neopentyl glycol, glycerine (also referred to as glycerol), Diethylene Glycol, dipropylene glycol, 1,4-butyleneglycol, 2,3-butyleneglycol, 1,3-pentanediol, 1,4-pentanediol, 1,5-PD, 3-methyl isophthalic acid, 5-pentanediol and combination thereof.Described sulfone can comprise at least one material that is selected from following material: tetramethylene sulfone (tetramethylene sulfone), dimethyl sulfone, diethyl sulfone, two (2-hydroxyethyl) sulfone, methyl sulfolane, ethyl tetramethylene sulfone and combination thereof.Preferably described at least one organic solvent comprises tetramethylene sulfone, glycerine, propylene glycol, ethylene glycol or its arbitrary combination as single solvent.Most preferably described at least one organic solvent is methylene radical sulfone.
Described complexing agent can comprise at least one in following material: ethylenediamine tetraacetic acid (EDTA) (EDTA), 1,2-cyclohexane diamine-N, N, N', N'-tetraacethyl (CDTA), glycine, xitix, iminodiethanoic acid (IDA), nitrilotriacetic acid(NTA), L-Ala, arginine, asparagine, aspartic acid, halfcystine, L-glutamic acid, glutamine, Histidine, Isoleucine, leucine, Methionin, methionine(Met), phenylalanine, proline(Pro), Serine, Threonine, tryptophane, tyrosine, α-amino-isovaleric acid, gallic acid, boric acid, acetic acid, acetoxime, vinylformic acid, hexanodioic acid, trimethyl-glycine, dimethyl glyoxime, formic acid, fumaric acid, glyconic acid, pentanedioic acid, R-Glyceric acid, oxyacetic acid, oxoethanoic acid, m-phthalic acid, methylene-succinic acid, lactic acid, toxilic acid, maleic anhydride, oxysuccinic acid, propanedioic acid, amygdalic acid, 2,4-diacetylmethane, phenylacetic acid, phthalic acid, proline(Pro), propionic acid, pyrocatechol (pyrocatecol), pyromellitic acid, quinic acid, Sorbitol Powder, succsinic acid, tartrate, terephthalic acid, trimellitic acid, trimesic acid, tyrosine, Xylitol, 1,5,9-triazododecane-N, " tri-(methylene phosphonic acids) are (DOTRP) for N', N, Isosorbide-5-Nitrae, 7,10-tetraazacyclododecanand-N, N', N ", " tetra-(methylene phosphonic acids) are (DOTP) for N', nitrilo three (methylene radical) tri methylene phosphonic acid, diethylene triamine penta(methylene phosphonic acid) (DETAP), amino three (methylene phosphonic acids), HEDP (HEDP), two (hexa-methylene) triamine phosphonic acids, Isosorbide-5-Nitrae, " tri-(methylene phosphonic acids) are (NOTP) for 7-7-triazacyclononane-N, N', N, its salt and derivative, and combination.Preferably described at least one complexing agent comprises iminodiethanoic acid, boric acid, gallic acid, HEDP or its any combination as single complexing agent.Most preferably described at least one complexing agent comprises the combination of boric acid, HEDP or boric acid and HEDP.
The illustrative table surface-active agent using in composition as herein described includes but not limited to amphoteric salt, cats product, anion surfactant, fluoroalkyl surfactants, nonionogenic tenside and combination thereof, includes but not limited to 104, cF-21, uR, fSO-100, fSN-100,3M Fluorad fluorochemical surfactant are (, FC-4430 and FC-4432), dioctyl sulfosuccinate, 2,3-dimercapto-1-propanesulfonic acid salt, Witco 1298 Soft Acid, polyoxyethylene glycol, polypropylene glycol, polyoxyethylene glycol or polypropylene glycol ether, carboxylate salt, R 1phenylsulfonic acid or its salt (wherein, R 1for straight or branched C 8-C 18alkyl), amphipathic fluoride polymkeric substance, polyoxyethylene glycol, polypropylene glycol, polyoxyethylene glycol or polypropylene glycol ether, carboxylate salt, Witco 1298 Soft Acid, polyacrylate polymers, dinonyl phenyl polyoxyethylene, the polysiloxane polymer of polysiloxane or modification, the alkyne diol of alkyne diol or modification, the alkylammonium salt of alkylammonium salt or modification, and comprise at least one the combination in following material: above-mentioned tensio-active agent, sodium lauryl sulphate, zwitterionics, aerosol-OT (AOT) and fluoro analogue thereof, alkylammonium, perfluoropolyether surfactants, 2-sulfosuccinate, based on phosphatic tensio-active agent, tensio-active agent based on sulphur and the polymkeric substance based on acetylacetic ester.In a preferred embodiment, described tensio-active agent comprises alkyl benzene sulphonate (ABS), more preferably Witco 1298 Soft Acid.
The pH of cleaning compositions as herein described is greater than 7, preferably in approximately 8~approximately 14 scope, more preferably in approximately 8~approximately 13 scope.
In a preferred embodiment, described cleaning compositions comprises following material, is formed or be substantially made up of following material by following material: at least one basic salt, at least one organic solvent, at least two kinds of complexing agents and water.For example, described cleaning compositions comprises following material, is formed or be substantially made up of following material by following material: CsOH, at least one organic solvent, at least two kinds of complexing agents and water.In another embodiment, described cleaning compositions comprises following material, is formed or be substantially made up of following material by following material: CsOH, sulfone, at least two kinds of complexing agents and water.In another embodiment, described cleaning compositions comprises following material, is formed or be substantially made up of following material by following material: CsOH, sulfone, phosphonic acids and complexing agent and water that at least one is other.
In particularly preferred embodiments, described cleaning compositions comprises following material, is formed or be substantially made up of following material by following material: (a) cesium hydroxide, glycerine, iminodiethanoic acid and water; (b) cesium hydroxide, glycerine, boric acid and water; (c) cesium hydroxide, propylene glycol, gallic acid and water; (d) cesium hydroxide, ethylene glycol, iminodiethanoic acid and water; (e) cesium hydroxide, propylene glycol, boric acid and water; (f) cesium hydroxide, HEDP, tetramethylene sulfone, boric acid and water.In each case, described composition does not contain substantially: amine and ammonium salt-containing, for example quaternary ammonium hydroxide; Oxygenant; The source of fluoride; Abrasive substance; Alkaline earth metal alkali; And combination.
The example of composition as herein described is selected from preparation A-R:
Preparation A:4.0 % by weight CsOH (50%), 12 % by weight ethylene glycol, 0.8 % by weight IDA, 83.2 % by weight water, concentrated pH=12.22, the pH (30:1)=10.36 of dilution
Preparation B:7.1 % by weight CsOH (50%), 5 % by weight ethylene glycol, 1.6 % by weight IDA, 86.3 % by weight water, concentrated pH=11.88, the pH (30:1)=10.27 of dilution
Formulation C: 5.7 % by weight CsOH (50%), 12 % by weight ethylene glycol, 0.8 % by weight IDA, 1 % by weight xitix, 80.5 % by weight water, concentrated pH=11.41, the pH (30:1)=9.89 of dilution
Preparation D:9.1 % by weight CsOH (50%), 12 % by weight ethylene glycol, 1.6 % by weight IDA, 1 % by weight xitix, 76.3 % by weight water, concentrated pH=11.16, the pH (30:1)=10.1 of dilution
Preparation E:3.9 % by weight CsOH (50%), 5.0 % by weight glycerine, 0.8 % by weight IDA, 90.3 % by weight water, concentrated pH=12.0, the pH (30:1)=10.16 of dilution
Preparation F:4.0 % by weight CsOH (50%), 12.0 % by weight glycerine, 0.8 % by weight IDA, 83.2 % by weight water, concentrated pH=11.1, the pH (30:1)=9.5 of dilution
Preparation G:7.1 % by weight CsOH (50%), 5.0 % by weight glycerine, 1.6 % by weight IDA, 86.3 % by weight water, concentrated pH=11.5, the pH (30:1)=10.29 of dilution
Preparation H:5.7 % by weight CsOH (50%), 12.0 % by weight glycerine, 0.8 % by weight IDA, 1.0 % by weight xitix, 80.5 % by weight water, concentrated pH=10.8, the pH (30:1)=9.61 of dilution
Preparation I:8.8 % by weight CsOH (50%), 5.0 % by weight glycerine, 1.6 % by weight IDA, 1.0 % by weight xitix, 83.6 % by weight water, concentrated pH=12.3, the pH (30:1)=10.64 of dilution
Preparation J:7.4 % by weight CsOH (50%), 12.0 % by weight glycerine, 1.6 % by weight IDA, 79.0 % by weight water, concentrated pH=10.7, the pH (30:1)=9.81 of dilution
Formulation K: 6.3 % by weight CsOH (50%), 4.8 % by weight propylene glycol, 2 % by weight gallic acids, 86.9 % by weight water, concentrated pH=9.71
Preparation L:6.6 % by weight CsOH (50%), 10 % by weight propylene glycol, 2 % by weight gallic acids, 81.4 % by weight water, concentrated pH=10.32
Preparation M:15.7 % by weight CsOH (50%), 4.8 % by weight propylene glycol, 5 % by weight gallic acids, 74.5 % by weight water, concentrated pH=10.14
Preparation N:16.2 % by weight CsOH (50%), 4.8 % by weight propylene glycol, 5 % by weight gallic acids, 1 % by weight xitix, 73 % by weight water, concentrated pH=9.28
Preparation O:2.1 % by weight CsOH (50%), 8.5 % by weight glycerine, 0.4 % by weight iminodiethanoic acid, 89.0 % by weight water
Preparation P:2.5 % by weight CsOH (50%), 12 % by weight ethylene glycol, 0.6 % by weight iminodiethanoic acid, 84.9 % by weight water
Preparation Q:4 % by weight CsOH (50%), 12 % by weight glycerine, 3.3 % by weight boric acid, 80.7 % by weight water, concentrated pH=7.17, the pH (100:1)=8.54 of dilution
Preparation R:4 % by weight CsOH (50%), 4.8 % by weight propylene glycol, 3.3 % by weight boric acid, 87.9 % by weight water, concentrated pH=8.4, the pH (100:1)=8.59 of dilution
Preparation S:3 % by weight CsOH, 1.2 % by weight HEDP, 9 % by weight tetramethylene sulfones, 0.25 % by weight boric acid, 86.55 % by weight water
In enriched material, the concentration of component is preferably as follows:
Component Preferred weight % More preferably % by weight
Basic salt (undiluted) Approximately 1~approximately 9 % by weight Approximately 1~approximately 5 % by weight
Organic solvent Approximately 4~approximately 12 % by weight Approximately 7~approximately 11 % by weight
Complexing agent Approximately 0.1~approximately 4 % by weight Approximately 0.5~approximately 2 % by weight
Water Approximately 75~approximately 99 % by weight Approximately 82~approximately 91.5 % by weight
About the amount of composition, the weight percent of each component is preferably as follows: basic salt: complexing agent is about 0.1:1~about 10:1, is preferably about 0.5:1~about 4:1 and most preferably is about 1:1~about 3:1; And organic solvent: complexing agent is about 0.1:1~about 25:1, is preferably about 1:1~about 20:1, and most preferably is about 2:1~about 15:1.
The weight percentage ranges of described component will contain all possible concentrated or dilution embodiment of described composition.About this, in one embodiment, provide concentrated cleaning compositions, it can be diluted to use as cleaning soln.Concentrated composition or " enriched material " advantageously allow user as CMP process engineer in use by as described in enriched material be diluted to intensity and the pH of expectation.The extent of dilution of described concentrated cleaning compositions can be within the scope of about 1:1~about 2500:1, be preferably about 5:1~about 1500:1 and most preferably be about 10:1~about 1000:1, wherein said cleaning compositions dilutes as deionized water with solvent when for instrument or before being about to for instrument.It will be apparent to those skilled in the art that the scope of component weight percent relative to each other will remain unchanged after dilution.
Composition as herein described can be for including but not limited to that post-etch residue is removed, removing residues surface preparation after ashing, after electroplating after clean and CMP in the application of removing residues.
Another preferred embodiment in, cleaning compositions as herein described also comprises residue and/or pollutent.Importantly, described residue and pollutent can dissolve and/or be suspended in described composition.Preferred described residue comprises residue after residue after CMP, post-etch residue, ashing, pollutent or its combination.For example, described cleaning compositions can comprise following material, be formed or be substantially made up of following material by following material: at least one basic salt, at least one organic solvent, at least one complexing agent, water, optionally at least one tensio-active agent and residue and/or pollutent.
Described cleaning compositions is easily prepared by adding simply corresponding composition and being mixed into uniform state.In addition, described composition can by be easily formulated as single packaged preparation in use or use before mix many parts preparation, for example, the various piece of described many parts preparation can be mixed at instrument place or in the storage tank of instrument upstream.The concentration of corresponding composition can extensively change with the concrete multiple of described composition, rarer or denseer, and should be appreciated that composition as herein described can diversely and alternatively comprise the composition consistent with disclosure herein arbitrary combination, form or substantially formed by the arbitrary combination of described composition by the arbitrary combination of described composition.
Therefore, relate on the other hand test kit, it is included in one or more components of the applicable formation composition as herein described in one or more containers.Described test kit can be included at least one basic salt, at least one organic solvent, at least one complexing agent, optionally at least one tensio-active agent, and optional water in one or more containers, to adding man-hour or using some place and supplementary feed combination.The container of described test kit must be applicable to storing and transporting described removal composition, for example container (Advanced Technology Materials Inc (Advanced Technology Materials, Inc.), Danbury, Conn., USA).
Described one or more containers of the component that contains described removal composition preferably comprise the component flow making in described one or more containers and are communicated with so that blending assigned unit.For example, about container, the outside that gaseous tension can be applied to the lining in described one or more containers is to impel at least a portion of inclusion of described lining to be discharged from and to make it possible to thus that fluid is communicated with so that blending distributing.Or, gaseous tension can be applied to the headspace of conventional pressurisable container, maybe can use pump to be communicated with can realize fluid.In addition, described optimum system choosing comprises the distribution openings for the removal composition of blending being assigned to process tool.
Preferably with basic unreactiveness, free from foreign meter, flexible and elastic polymeric film material as high density polyethylene(HDPE) manufacture for as described in the lining of one or more containers.The processing of desirable lining material does not need coextrusion or barrier layer, and not containing any pigment, UV inhibitor or the processing aid that may adversely affect the purity requirement of wanting to be arranged in the component in lining.The inventory of desirable lining material comprises the film that comprises pure (additive-free) polyethylene, pure tetrafluoroethylene (PTFE), polypropylene, urethane, polyvinylidene dichloride, polyvinyl chloride, polyacetal, polystyrene, polyacrylonitrile, polybutene etc.The preferred thickness of such lining material is in approximately 5 mils (0.005 inch)~approximately 30 mils (0.030 inch) scope, and for example thickness is 20 mils (0.020 inches).
About test kit container, by the disclosure of following patent and patent application with its separately form in full by reference to being incorporated herein: the U.S. Patent number 7 that is entitled as " making the particle in ultrarapture liquid produce minimized equipment and method (APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS) ", 188,644; Be entitled as the U.S. Patent number 6 of " pocket type fluid storage and distribution container system (RETURNABLE AND REUSABLE; BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM) in recyclable and reusable bucket ", 698,619; The Application No. 60/916,966 that is entitled as " for the system and method (SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION) of material blending and distribution " that John E.Q.Hughes submitted on May 9th, 2007; With the PCT/US08/63276 that be entitled as " for the system and method (SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION) of material blending and distribution " of advanced techniques Materials Co., Ltd in submission on May 9th, 2008.
In the time being applied to microelectronics production operation, cleaning compositions as herein described is usefully for example, for the surface cleaning residue from microelectronic device (, residue after CMP) and/or pollutent.Importantly, described cleaning compositions does not damage metal interconnected on device surface of low-k dielectric material or corrosion.In addition, described cleaning compositions can easily not removed silicon or silicone materials.Preferred described cleaning compositions is removed at least 85%, more preferably at least 90%, even more preferably at least 95% and most preferably at least 99% the residue existing on device before removing residues.
After CMP in residue and pollutent cleaning applications, described cleaning compositions can be with various conventional burnishers as mega sonic wave uses together with brush scrubbing, includes but not limited to Verteq single-chip mega sonic wave Goldfinger, OnTrak systems DDS (bilateral washer), SEZ or other single-chip spray irrigations (single wafer spray rinse), Applied Materials Mirra-Mesa tM/ Reflexion tM/ Reflexion LK tMcriticize formula with mega sonic wave and wash desktop system (Megasonic batch wet bench system).
The process of clean these residues of the microelectronic device of residue and/or pollutent and/or pollutent after using composition as herein described for residue, post-etch residue, ashing after thering is CMP from it, described cleaning compositions typically with described device approximately 20 DEG C~approximately 90 DEG C, preferably at the temperature in the scope of approximately 20 DEG C~approximately 50 DEG C, contact approximately 5 seconds~approximately 10 minutes, preferably approximately 1 second~20 minutes, preferred time of approximately 15 seconds~approximately 5 minutes.Such duration of contact and temperature are illustrative, and can adopt any other suitable time and temperature condition, described condition in the broad practice of described method effectively from described device residues/contaminants clean described CMP at least in part." at least in part clean " and " removal substantially " is all corresponding to remove at least 85%, more preferably at least 90%, even more preferably at least 95% and most preferably at least 99% the residue existing on device before removing residues.
As expecting in the appointment end-use application of composition as herein described and effectively, after realizing desired cleaning action, described cleaning compositions can easily be removed from the device of previously using it.Preferably, rinse solution comprises deionized water.Subsequently, described device can use nitrogen or Rotary drying cyclic drying.
The advantage of the compositions and methods of the invention includes but not limited to from surface, substantially to remove particle, it is organic from surface, substantially to remove and metal residue, passive metal as copper surface, substantially do not change porous low-k dielectric materials and low metallic surface roughening.In addition, described composition is preferably environmental protection.
Relate in one aspect to again the microelectronic device of the improvement of making according to method as herein described and the product that contains described microelectronic device.
Relate on the other hand the cleaning compositions of recirculation, wherein said cleaning compositions can recirculation until residue and/or pollutant load reach as those skilled in the art easily determine as described in the maximum that can hold of cleaning compositions.
Relate in one aspect to again and use cleaning compositions as herein described, production comprises the method for the goods of microelectronic device, described method comprises makes described microelectronic device contact time enough with cleaning compositions, remove described residue and pollutent to there is from it the microelectronic device of residue and pollutent, and described microelectronic device is incorporated in described goods.
On the other hand, described the method that has from it the microelectronic device of residue and pollutent after CMP and remove residue and pollutent after described CMP, described method comprises:
With microelectronic device described in the polishing of CMP slurry;
Make described microelectronic device contact time enough with cleaning compositions, with residue and pollutent from described microelectronic device is removed CMP, to form the composition that contains residue after CMP, described cleaning compositions comprises following material, is formed or be substantially made up of following material by following material: at least one basic salt, at least one organic solvent, at least one complexing agent, optionally at least one tensio-active agent and water; With
Make described microelectronic device with described in contain residue after CMP composition Continuous Contact time enough to realize the substantially clean of described microelectronic device,
Wherein said cleaning compositions does not contain substantially: amine and ammonium salt-containing, for example quaternary ammonium hydroxide; Oxygenant; The source of containing fluorochemical; Abrasive substance; Alkaline earth metal alkali; And combination.
Relate on the other hand the goods of production, the material that it comprises cleaning compositions, microelectronic device wafer and is selected from residue, pollutent and combination thereof, wherein said cleaning compositions comprises at least one basic salt, at least one organic solvent, at least one complexing agent, optionally at least one tensio-active agent and water, and wherein said residue comprises at least one in residue after residue after CMP, post-etch residue and ashing.
Although diversely disclose the present invention with reference to illustrated embodiment and feature in this article, but should be appreciated that above-described embodiment and feature not want to limit the present invention, and on the basis of this paper disclosure, other change, improvement and other embodiments will be apparent to those of ordinary skill in the art.Therefore needing broad interpretation of the present invention is to have contained all change, improvement and optional embodiments like this, in the spirit and scope of claims.

Claims (20)

1. cleaning compositions, comprises at least one basic salt, at least one organic solvent, at least one complexing agent and water, and wherein said composition is not substantially containing amine and ammonium salt-containing.
2. the cleaning compositions of claim 1, wherein said at least one basic salt comprises the material that is selected from cesium hydroxide, rubidium hydroxide, potassium hydroxide and combination thereof.
3. the cleaning compositions of claim 1, wherein said at least one basic salt comprises cesium hydroxide.
4. the cleaning compositions of aforementioned claim any one, wherein said at least one organic solvent comprises glycol, sulfone or its combination.
5. the cleaning compositions of claim 4, wherein said at least one organic solvent comprises and is selected from following material: ethylene glycol, propylene glycol, neopentyl glycol, glycerine, Diethylene Glycol, dipropylene glycol, 1,4-butyleneglycol, 2,3-butyleneglycol, 1,3-pentanediol, 1,4-pentanediol, 1,5-pentanediol, 3-methyl isophthalic acid, 5-pentanediol, tetramethylene sulfone (tetramethylene sulfone), dimethyl sulfone, diethyl sulfone, two (2-hydroxyethyl) sulfone, methyl sulfolane, ethyl tetramethylene sulfone and combination thereof.
6. the cleaning compositions of claim 4, wherein said at least one organic solvent comprises the material that is selected from ethylene glycol, propylene glycol, glycerine, tetramethylene sulfone and combination thereof.
7. the cleaning compositions of aforementioned claim any one, wherein said at least one complexing agent comprises and is selected from following material: ethylenediamine tetraacetic acid (EDTA) (EDTA), 1,2-cyclohexane diamine-N, N, N', N'-tetraacethyl (CDTA), glycine, xitix, iminodiethanoic acid (IDA), nitrilotriacetic acid(NTA), L-Ala, arginine, asparagine, aspartic acid, halfcystine, L-glutamic acid, glutamine, Histidine, Isoleucine, leucine, Methionin, methionine(Met), phenylalanine, proline(Pro), Serine, Threonine, tryptophane, tyrosine, α-amino-isovaleric acid, gallic acid, boric acid, acetic acid, acetoxime, vinylformic acid, hexanodioic acid, trimethyl-glycine, dimethyl glyoxime, formic acid, fumaric acid, glyconic acid, pentanedioic acid, R-Glyceric acid, oxyacetic acid, oxoethanoic acid, m-phthalic acid, methylene-succinic acid, lactic acid, toxilic acid, maleic anhydride, oxysuccinic acid, propanedioic acid, amygdalic acid, 2,4-diacetylmethane, phenylacetic acid, phthalic acid, proline(Pro), propionic acid, pyrocatechol, pyromellitic acid, quinic acid, Sorbitol Powder, succsinic acid, tartrate, terephthalic acid, trimellitic acid, trimesic acid, tyrosine, Xylitol, 1,5,9-triazododecane-N, " tri-(methylene phosphonic acids) are (DOTRP) for N', N, Isosorbide-5-Nitrae, 7,10-tetraazacyclododecanand-N, N', N ", " tetra-(methylene phosphonic acids) are (DOTP) for N', nitrilo three (methylene radical) tri methylene phosphonic acid, diethylene triamine penta(methylene phosphonic acid) (DETAP), amino three (methylene phosphonic acids), HEDP (HEDP), two (hexa-methylene) triamine phosphonic acids, Isosorbide-5-Nitrae, " tri-(methylene phosphonic acids) are (NOTP) for 7-7-triazacyclononane-N, N', N, its salt and derivative, and combination.
8. the cleaning compositions of claim 7, wherein said at least one complexing agent comprises iminodiethanoic acid (IDA), gallic acid, boric acid, HEDP or its combination.
9. the cleaning compositions of aforementioned claim any one, wherein said composition does not contain substantially: oxygenant; The source of containing fluorochemical; Abrasive substance; Alkaline earth metal alkali; Crosslinked organic polymer particle; And combination.
10. the cleaning compositions of claim 1, wherein said composition is selected from: (a) cesium hydroxide, glycerine, iminodiethanoic acid and water; (b) cesium hydroxide, glycerine, boric acid and water; (c) cesium hydroxide, propylene glycol, gallic acid and water; (d) cesium hydroxide, ethylene glycol, iminodiethanoic acid and water; (e) cesium hydroxide, propylene glycol, boric acid and water; (f) cesium hydroxide, HEDP, tetramethylene sulfone, boric acid and water.
The cleaning compositions of 11. aforementioned claim any one, it also comprises residue and pollutent, wherein said residue comprises residue or its combination after residue after CMP, post-etch residue, ashing.
The cleaning compositions of 12. aforementioned claim any one, wherein said composition dilutes in the scope of about 10:1~about 1000:1.
13. the cleaning compositions of aforementioned claim any one, wherein said cleaning compositions does not solidify formation polymer/solid.
The cleaning compositions of 14. aforementioned claim any one, it also comprises at least one tensio-active agent.
The cleaning compositions of 15. aforementioned claim any one, wherein pH is in approximately 8~approximately 14 scope.
16. test kits, it is included in one or more the following reagent that are used to form cleaning compositions in one or more containers, and described one or more reagent are selected from: at least one basic salt; At least one organic solvent; At least one sequestrant; With optional at least one tensio-active agent; Wherein said test kit is applicable to form the composition of claim 1~15.
The method that 17. microelectronic devices from it with residue and pollutent are removed described residue and pollutent, described method comprises makes described microelectronic device contact time enough with the cleaning compositions of claim 1~15 any one, to clean at least in part described residue and pollutent from described microelectronic device.
The method of 18. claims 17, wherein said residue comprises residue or its combination after residue after CMP, post-etch residue, ashing.
The method of 19. claims 17 or 18, wherein said contact comprises the following condition that is selected from: the time is approximately 15 seconds~approximately 5 minutes; Temperature is within the scope of approximately 20 DEG C~approximately 50 DEG C; And combination.
The method of 20. claim 17~19 any one, also comprises in use or uses before with cleaning compositions described in solvent cut.
CN201180075099.6A 2011-10-21 2011-10-21 Without compoistion and method of use after amine CMP Active CN103958640B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201610274374.1A CN105869997A (en) 2011-10-21 2011-10-21 Amine-free post-CMP composition and using method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2011/057287 WO2013058770A1 (en) 2011-10-21 2011-10-21 Non-amine post-cmp composition and method of use

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201610274374.1A Division CN105869997A (en) 2011-10-21 2011-10-21 Amine-free post-CMP composition and using method thereof

Publications (2)

Publication Number Publication Date
CN103958640A true CN103958640A (en) 2014-07-30
CN103958640B CN103958640B (en) 2016-05-18

Family

ID=48141217

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201180075099.6A Active CN103958640B (en) 2011-10-21 2011-10-21 Without compoistion and method of use after amine CMP
CN201610274374.1A Pending CN105869997A (en) 2011-10-21 2011-10-21 Amine-free post-CMP composition and using method thereof

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201610274374.1A Pending CN105869997A (en) 2011-10-21 2011-10-21 Amine-free post-CMP composition and using method thereof

Country Status (4)

Country Link
EP (1) EP2768920A4 (en)
KR (1) KR101914817B1 (en)
CN (2) CN103958640B (en)
WO (1) WO2013058770A1 (en)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014089196A1 (en) 2012-12-05 2014-06-12 Advanced Technology Materials, Inc. Compositions for cleaning iii-v semiconductor materials and methods of using same
EP2964725B1 (en) 2013-03-04 2021-06-23 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
KR102338550B1 (en) 2013-06-06 2021-12-14 엔테그리스, 아이엔씨. Compositions and methods for selectively etching titanium nitride
EP3027709A4 (en) 2013-07-31 2017-03-29 Entegris, Inc. AQUEOUS FORMULATIONS FOR REMOVING METAL HARD MASK AND POST-ETCH RESIDUE WITH Cu/W COMPATIBILITY
SG11201601158VA (en) 2013-08-30 2016-03-30 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
EP3060642B1 (en) 2013-10-21 2019-11-06 FujiFilm Electronic Materials USA, Inc. Cleaning formulations for removing residues on surfaces
KR102134577B1 (en) * 2013-11-12 2020-07-16 주식회사 동진쎄미켐 Composition for post cmp cleaning
US9562211B2 (en) 2013-12-06 2017-02-07 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
TWI654340B (en) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge SELECTIVE ETCH FORMULATIONS AND METHOD OF USING SAME
WO2015095726A1 (en) 2013-12-20 2015-06-25 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
WO2015103146A1 (en) 2013-12-31 2015-07-09 Advanced Technology Materials, Inc. Formulations to selectively etch silicon and germanium
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
IL277275B2 (en) 2018-03-28 2023-11-01 Fujifilm Electronic Mat Usa Inc Cleaning compositions
CN115612573B (en) * 2022-09-05 2023-10-13 圣戈班汇杰(杭州)新材料有限公司 Adhesive removing agent formula for curing silicone adhesive and application method of adhesive removing agent formula

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1387556A (en) * 1999-11-04 2002-12-25 卡伯特微电子公司 Use of CsOH in dielectric CMP slurry
CN102135735A (en) * 2002-06-07 2011-07-27 安万托特性材料股份有限公司 Microelectronic cleaning and arc remover compositions

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000044034A1 (en) * 1999-01-25 2000-07-27 Speedfam-Ipec Corporation Methods and cleaning solutions for post-chemical mechanical polishing
CN102061228B (en) * 2002-06-07 2013-02-13 安万托特性材料股份有限公司 Microelectronic cleaning compositions containing oxidizers and organic solvents
US6887597B1 (en) * 2004-05-03 2005-05-03 Prestone Products Corporation Methods and composition for cleaning and passivating fuel cell systems
KR101444468B1 (en) * 2005-10-05 2014-10-30 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Oxidizing aqueous cleaner for the removal of post-etch residues
KR20080059442A (en) 2005-10-13 2008-06-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Metals compatible photoresist and/or sacrificial antireflective coatiing removal composition
US20070225186A1 (en) * 2006-03-27 2007-09-27 Matthew Fisher Alkaline solutions for post CMP cleaning processes
EP2082024A4 (en) * 2006-09-25 2010-11-17 Advanced Tech Materials Compositions and methods for the removal of photoresist for a wafer rework application
JP2009069505A (en) 2007-09-13 2009-04-02 Tosoh Corp Cleaning solution and cleaning method for removing resist
MY152051A (en) * 2009-02-25 2014-08-15 Avantor Performance Mat Inc Multipurpose acidic, organic solvent based microelectronic cleaning composition
US8754021B2 (en) * 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
US7846265B1 (en) * 2009-10-13 2010-12-07 Xerox Corporation Media path universal cleaning fluid composition

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1387556A (en) * 1999-11-04 2002-12-25 卡伯特微电子公司 Use of CsOH in dielectric CMP slurry
CN102135735A (en) * 2002-06-07 2011-07-27 安万托特性材料股份有限公司 Microelectronic cleaning and arc remover compositions

Also Published As

Publication number Publication date
EP2768920A4 (en) 2015-06-03
CN103958640B (en) 2016-05-18
KR101914817B1 (en) 2018-12-28
EP2768920A1 (en) 2014-08-27
WO2013058770A1 (en) 2013-04-25
CN105869997A (en) 2016-08-17
KR20140082816A (en) 2014-07-02

Similar Documents

Publication Publication Date Title
CN103958640A (en) Nonamine post-CMP composition and method of use
US9340760B2 (en) Non-amine post-CMP composition and method of use
TWI726859B (en) Post chemical mechanical polishing formulations and method of use
USRE46427E1 (en) Antioxidants for post-CMP cleaning formulations
CN101720352B (en) For removing the new antioxidant of formula after CPM
TWI659098B (en) Post chemical mechanical polishing formulations and method of use
CN104508072A (en) Post-CMP removal using compositions and method of use
CN102197124A (en) Copper cleaning and protection formulations
TW201504424A (en) Compositions and methods for removing ceria particles from a surface
WO2008036823A2 (en) Uric acid additive for cleaning formulations
TWI558810B (en) Non-amine post-cmp composition and method of use

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
ASS Succession or assignment of patent right

Owner name: ANGES INC.

Free format text: FORMER OWNER: ADVANCED TECHNOLOGY MATERIALS, INC.

Effective date: 20150416

C41 Transfer of patent application or patent right or utility model
TA01 Transfer of patent application right

Effective date of registration: 20150416

Address after: Massachusetts, USA

Applicant after: MYKROLIS Corp.

Address before: American Connecticut

Applicant before: Advanced Technology Materials, Inc.

C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: Massachusetts, USA

Patentee after: Entergris Co.

Address before: Massachusetts, USA

Patentee before: MYKROLIS Corp.

TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20210707

Address after: Gyeonggi Do Korea Suwon

Patentee after: Samsung Electronics Co.,Ltd.

Address before: Massachusetts, USA

Patentee before: ENTEGRIS, Inc.