KR101914817B1 - Non-amine post-cmp composition and method of use - Google Patents

Non-amine post-cmp composition and method of use Download PDF

Info

Publication number
KR101914817B1
KR101914817B1 KR1020147013154A KR20147013154A KR101914817B1 KR 101914817 B1 KR101914817 B1 KR 101914817B1 KR 1020147013154 A KR1020147013154 A KR 1020147013154A KR 20147013154 A KR20147013154 A KR 20147013154A KR 101914817 B1 KR101914817 B1 KR 101914817B1
Authority
KR
South Korea
Prior art keywords
acid
cleaning composition
water
contaminants
glycol
Prior art date
Application number
KR1020147013154A
Other languages
Korean (ko)
Other versions
KR20140082816A (en
Inventor
제프리 에이 바네스
준 리우
펑 장
Original Assignee
엔테그리스, 아이엔씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엔테그리스, 아이엔씨. filed Critical 엔테그리스, 아이엔씨.
Publication of KR20140082816A publication Critical patent/KR20140082816A/en
Application granted granted Critical
Publication of KR101914817B1 publication Critical patent/KR101914817B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/044Hydroxides or bases
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/06Hydroxides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5009Organic solvents containing phosphorus, sulfur or silicon, e.g. dimethylsulfoxide
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5022Organic solvents containing oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2003Alcohols; Phenols
    • C11D3/2041Dihydric alcohols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2003Alcohols; Phenols
    • C11D3/2065Polyhydric alcohols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2068Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/34Organic compounds containing sulfur
    • C11D3/3454Organic compounds containing sulfur containing sulfone groups, e.g. vinyl sulfones
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/261Alcohols; Phenols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/263Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Organic Chemistry (AREA)
  • Wood Science & Technology (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)

Abstract

화학적 기계적 폴리싱(CMP)-후 잔여물 및 오염물질이 상부에 있는 마이크로전자 장치로부터 이러한 잔여물 및 오염물질을 세정하기 위한 세정 조성물 및 방법이 개시된다. 상기 세정 조성물에는 아민 및 암모늄-함유 화합물, 예를 들어, 4차 암모늄 염기가 실질적으로 존재하지 않는다. 이 조성물은 낮은-k 유전 물질 또는 구리 상호접속 물질을 포함하지 않고도 마이크로전자 장치의 표면으로부터의 CMP-후 잔여물 및 오염물질의 매우 효과적인 세정을 달성한다.A cleaning composition and method for cleaning such residues and contaminants from a microelectronic device on top of chemical mechanical polishing (CMP) -containers and contaminants is disclosed. The cleaning composition is substantially free of amine and ammonium-containing compounds, such as quaternary ammonium bases. This composition achieves highly effective cleaning of CMP-after-residue and contaminants from the surface of microelectronic devices without including low-k dielectric materials or copper interconnect materials.

Description

비-아민 CMP-후 조성물 및 사용 방법{NON-AMINE POST-CMP COMPOSITION AND METHOD OF USE}NON-AMINE POST-CMP COMPOSITION AND METHOD OF USE < RTI ID = 0.0 >

본 발명은 일반적으로, 잔여물 및/또는 오염물질이 상부에 있는 마이크로전자 장치로부터 이들을 세정하기 위한 조성물에 관한 것이다.The present invention generally relates to compositions for cleaning them from microelectronic devices where residues and / or contaminants are on top.

마이크로전자 장치 웨이퍼(wafer)는 집적 회로를 형성하기 위해 사용된다. 마이크로전자 장치 웨이퍼는 기판, 예컨대 실리콘을 포함하고, 이 영역으로 절연성, 전도성 또는 반전도성 특성을 갖는 상이한 물질의 침착을 위해 패턴화된다.Microelectronic device wafers are used to form integrated circuits. The microelectronic device wafer includes a substrate, e.g., silicon, and is patterned for deposition of different materials having insulating, conductive, or semi-conductive properties in this region.

정확한 패턴을 수득하기 위해, 기판 위에 층을 형성하는데에 사용되는 과량의 물질이 제거되어야 한다. 추가로, 기능적인 신뢰가능한 회로를 제작하기 위해, 후속적인 가공 이전에 편평하거나 평면인 마이크로전자 웨이퍼 표면을 제조하는 것이 중요하다. 이와 같이, 마이크로전자 장치 웨이퍼의 특정 표면을 제거하고/하거나 폴리싱(polishing)할 필요가 있다.To obtain the correct pattern, the excess material used to form the layer on the substrate must be removed. In addition, it is important to fabricate flat or planar microelectronic wafer surfaces before subsequent fabrication to fabricate functional reliable circuits. As such, it is necessary to remove and / or polish the specific surface of the microelectronic device wafer.

화학적 기계적 폴리싱 또는 평탄화("CMP": Chemical Mechanical Polishing or Planarization)는, 마이크로전자 장치 웨이퍼의 표면으로부터 물질을 제거하고, 물리적 공정, 예컨대 마모를 화학적 공정, 예컨대 산화 또는 킬레이트화와 결합시켜 표면을 폴리싱하는(더욱 구체적으로 평탄화하는) 공정이다. 그의 가장 기본적인 형태에서, CMP는 마이크로전자 장치 웨이퍼의 표면을 완충시키는 폴리싱 패드에 슬러리, 예를 들어, 마모 및 활성 화학물질의 용액을 적용하여 제거, 평탄화, 및 폴리싱 공정을 달성함과 관련된다. 제거 또는 폴리싱 공정에 있어서 신속하고 균일한 제거를 달성하기 위해서는 순전한 물리적 작용 또는 순전한 화학적 작용으로 구성되는 경우가 둘의 상승적 조합에 비해 바람직하지 않다. 집적 회로의 제작에서, CMP 슬러리는 또한 금속 및 다른 물질의 착체 층을 포함하는 필름을 우선적으로 제거하여, 후속적 포토리소그래피(photolithography), 또는 패턴화, 에칭(etching) 및 박막 가공을 위해 매우 평평한 표면이 생성될 수 있도록 하여야 한다.Chemical mechanical polishing or planarization (" CMP ") is a process that removes material from the surface of a microelectronic device wafer and combines physical processes, such as abrasion, with chemical processes such as oxidation or chelation, (More specifically, planarization). In its most basic form, CMP involves applying a slurry, e.g., a solution of abrasive and active chemicals, to a polishing pad that buffers the surface of a microelectronic device wafer to achieve removal, planarization, and polishing processes. In order to achieve rapid and uniform removal in the removal or polishing process, the case of pure or pure chemical action is not preferable compared to the synergistic combination of the two. In the fabrication of integrated circuits, CMP slurries can also be used to preferentially remove films comprising a layer of metal and other materials to form a highly planar, flat surface for subsequent photolithography, So that the surface can be generated.

최근에, 구리는 집적 회로에서 금속 상호접속부(interconnect)를 위해 점점 더 사용되고 있다. 마이크로전자 장치 제작에서 회로의 금속화를 위해 통상적으로 사용되는 구리 다마신(damascene) 공정에서, 제거되고 평탄화되어야 하는 층은 약 1 내지 1.5 ㎛의 두께를 갖는 구리 층, 및 약 0.05 내지 0.15 ㎛의 두께를 갖는 구리 시드(seed) 층을 포함한다. 이들 구리 층은 전형적으로 약 50 내지 300 Å 두께의 차단 물질 층에 의해 유전 물질 표면으로부터 분리되고, 이는 구리가 산화물 유전 물질로 확산되는 것을 방지한다. 폴리싱 이후 웨이퍼 표면을 가로질러 양호한 균일성을 수득하기 위한 한가지 해결책은 각각의 물질에 대한 정확한 제거 선택성을 갖는 CMP 슬러리를 사용하는 것이다.Recently, copper has been increasingly used for metal interconnects in integrated circuits. In a copper damascene process commonly used for metallization of circuits in microelectronic fabrication, the layer to be removed and planarized is a copper layer having a thickness of about 1 to 1.5 [mu] m, and a copper layer of about 0.05 to 0.15 [mu] m And a copper seed layer having a thickness. These copper layers are typically separated from the dielectric material surface by a layer of barrier material about 50-300 A thick, which prevents copper from diffusing into the oxide dielectric material. One solution to obtain good uniformity across the wafer surface after polishing is to use a CMP slurry with accurate removal selectivity for each material.

웨이퍼 기판 표면 제조, 침착, 도금, 에칭 및 화학적 기계적 폴리싱을 비롯한 선행 가공 작업은, 다양하게는 마이크로전자 장치 제품이 제품의 기능에 해로운 영향을 미치거나 그의 의도된 기능에 대해 이를 쓸모없게 만드는 오염물질을 갖지 않도록 하는 세정 작업을 필요로 한다. 종종, 이들 오염물질의 입자는 0.3 ㎛ 미만보다 작다. Prior processing operations, including wafer substrate surface preparation, deposition, plating, etching, and chemical-mechanical polishing, are commonly used to remove contaminants The cleaning operation is required. Often, the particles of these contaminants are smaller than less than 0.3 microns.

이와 관련하여 하나의 특별한 문제는 CMP 가공 이후 마이크로전자 장치 기판 상에 남는 잔여물이다. 이러한 잔여물로는 CMP 물질 및 부식 저해제 화합물, 예컨대 벤조트리아졸(BTA)이 포함된다. 제거되지 않는다면, 이들 잔여물은 구리 선에 손상을 일으키거나 구리 금속 피복을 심각하게 거칠게 할 뿐만 아니라, 장치 기판 위에 CMP-후 적용된 층의 불량한 접착을 초래할 수 있다. 구리 금속 피복의 심각한 거칠음은 특별히 문제가 되는데, 이는 과도하게 거친 구리가 마이크로전자 장치 제품의 불량한 전기적 성능을 초래할 수 있기 때문이다. One particular problem in this regard is the residue remaining on the microelectronic device substrate after the CMP process. Such residues include CMP materials and corrosion inhibitor compounds such as benzotriazole (BTA). If not removed, these residues may cause damage to the copper wire or severely roughen the copper metal sheath, as well as result in poor adhesion of the CMP-applied layer on the device substrate. The severe roughness of copper metal sheathing is particularly problematic because excessively coarse copper can lead to poor electrical performance of microelectronic device products.

마이크로전자 장치 제작에 흔한 또 다른 잔여물-생성 공정은 현상된 포토레지스트 코팅물의 패턴을 하부층에 전달시키는 기체-상 플라즈마 에칭과 관련되고, 이는 하드마스크(hardmask), 층간 유전체(ILD: interlevel dielectric), 및 에칭 정지 층으로 구성될 수 있다. 기판 위 및 플라즈마 기체내에 존재하는 화학 원소를 포함할 수 있는 기체 상 플라즈마 에칭-후 잔여물은, 전형적으로 BEOL(back end of the line) 구조물 위에 침착되고, 제거되지 않는다면, 후속적인 규화물화 또는 접촉부 형성을 방해할 수 있다. 종래의 세정 화학물질은 종종 ILD를 손상시키고, ILD의 기공내로 흡수되어 유전 상수를 증가시키고/시키거나 금속 구조물을 부식시킨다.Another residue-generating process, which is common in microelectronic fabrication, involves gas-phase plasma etching that transfers a pattern of a developed photoresist coating to the underlying layer, which includes a hardmask, an interlevel dielectric (ILD) , And an etch stop layer. The gaseous plasma etch-after residue, which may include chemical elements present on the substrate and in the plasma gas, is typically deposited on a back end of the line structure and, if not removed, Can be prevented. Conventional cleaning chemicals often damage the ILD and are absorbed into the pores of the ILD to increase the dielectric constant and / or to corrode the metal structure.

본 발명은 일반적으로 잔여물 및 오염물질이 상부에 있는 마이크로전자 장치로부터 이러한 잔여물 및/또는 오염물질을 세정하기 위한 조성물 및 방법에 관한 것이다. 본 발명의 세정 조성물에는 아민 및 암모늄 종이 실질적으로 존재하지 않는다. 잔여물은 CMP-후, 에칭-후, 및/또는 회분화(ash)-후 잔여물을 포함할 수 있다. The present invention generally relates to compositions and methods for cleaning such residues and / or contaminants from microelectronic devices with residues and contaminants on top. The cleaning composition of the present invention is substantially free of amine and ammonium species. The residue may comprise CMP-after, after-etch, and / or ash-after-residue.

하나의 양태에서, 적어도 1종의 염기성 염, 적어도 1종의 유기 용매, 적어도 1종의 착화제, 및 물을 포함하고, 아민 및 암모늄-함유 염이 실질적으로 존재하지 않는 세정 조성물이 기재된다.In one embodiment, a cleaning composition is disclosed which comprises at least one basic salt, at least one organic solvent, at least one complexing agent, and water, wherein substantially no amine and ammonium-containing salts are present.

또 다른 양태에서, 적어도 1종의 염기성 염, 적어도 1종의 유기 용매, 적어도 1종의 착화제, 및 물로 본질적으로 구성되고, 아민 및 암모늄-함유 염이 실질적으로 존재하지 않는 세정 조성물이 기재된다.In another embodiment, a cleaning composition is described which consists essentially of at least one basic salt, at least one organic solvent, at least one complexing agent, and water, wherein substantially no amine and ammonium-containing salts are present .

또 다른 양태에서, 적어도 1종의 염기성 염, 적어도 1종의 유기 용매, 적어도 1종의 착화제, 및 물로 구성되고, 아민 및 암모늄-함유 염이 실질적으로 존재하지 않는 세정 조성물이 기재된다.In another embodiment, cleaning compositions comprising at least one basic salt, at least one organic solvent, at least one complexing agent, and water, wherein substantially no amine and ammonium-containing salt are present, are described.

또 다른 양태는 하나 이상의 용기내에, 세정 조성물을 형성하는 다음의 시약중 하나 이상을 포함하는 키트에 관한 것이고, 이러한 하나 이상의 시약은 적어도 1종의 염기성 염; 적어도 1종의 유기 용매; 적어도 1종의 킬레이트화제; 및 임의적으로 적어도 1종의 계면활성제로 구성된 군에서 선택되고; 여기서 키트는 조성물을 형성하도록 구성된다.Another aspect relates to a kit comprising one or more of the following reagents to form a cleansing composition in one or more containers, wherein the one or more reagents comprises at least one basic salt; At least one organic solvent; At least one chelating agent; And optionally at least one surfactant; Wherein the kit is configured to form a composition.

또다른 양태는 잔여물 및 오염물질이 상부에 있는 마이크로전자 장치로부터 잔여물 및 오염물질을 제거하는 방법에 관한 것이고, 이러한 방법은 마이크로전자 장치로부터 상기 잔여물 및 오염물질을 적어도 부분적으로 세정하기에 충분한 시간 동안 마이크로전자 장치를 세정 조성물과 접촉시키는 단계를 포함하고, 여기서 세정 조성물은 적어도 1종의 염기성 염; 적어도 1종의 유기 용매; 적어도 1종의 킬레이트화제; 임의적으로 적어도 1종의 계면활성제; 및 물을 포함한다. Another aspect relates to a method for removing residues and contaminants from a microelectronic device having residues and contaminants thereon, the method comprising at least partially cleaning the residues and contaminants from the microelectronic device Contacting the microelectronic device with the cleaning composition for a sufficient time, wherein the cleaning composition comprises at least one basic salt; At least one organic solvent; At least one chelating agent; Optionally at least one surfactant; And water.

다른 양태, 특징 및 이점은 이후의 개시내용 및 첨부된 특허청구범위로부터 더욱 충분히 분명해질 것이다.Other aspects, features, and advantages will become more fully apparent from the ensuing disclosure and appended claims.

본 발명은 잔여물 및 오염물질이 상부에 있는 마이크로전자 장치로부터 이러한 물질(들)을 제거하기에 유용한 조성물에 관한 것이다. 이러한 조성물은 CMP-후, 에칭-후, 및/또는 회분화-후의 잔여물의 제거에 특히 유용하다. The present invention relates to compositions useful for removing such material (s) from microelectronic devices with residues and contaminants on top. Such compositions are particularly useful for removal of residues after CMP-, after-etching, and / or after sintering.

참고의 용이성을 위해, "마이크로전자 장치"는 마이크로전자, 집적 회로, 또는 컴퓨터 칩 분야에 사용하기 위해 제작되는 반도체 기판, 평면판 디스플레이, 상 변화 메모리 장치(phase change memory device), 솔라 패널(solar panel) 및 다른 제품, 예컨대 솔라셀용 기판, 광전지, 및 마이크로전자기계 시스템(MEMS: microelectromechanical system)에 상응한다. 솔라셀용 기판으로는, 제한되지 않지만, 실리콘, 비결정질 실리콘, 다중결정질 실리콘, 단일결정질 실리콘, CdTe, 구리 인듐 셀레나이드, 구리 인듐 설파이드, 및 갈륨상의 갈륨 아르세나이드가 포함된다. 솔라셀용 기판은 도핑되거나 도핑되지 않을 수 있다. 용어 "마이크로전자 장치"는 어떠한 방식으로도 제한하는 것을 의미하지 않고, 궁극적으로 마이크로전자 장치 또는 마이크로전자 조립체가 될 임의의 기판을 포함함을 알아야 한다.For ease of reference, " microelectronic devices " refer to semiconductor substrates, flat panel displays, phase change memory devices, solar panels, and the like, all of which are fabricated for use in microelectronics, panel and other products such as substrates for solar cells, photovoltaics, and microelectromechanical systems (MEMS). Substrates for solar cells include, but are not limited to, silicon, amorphous silicon, multicrystalline silicon, monocrystalline silicon, CdTe, copper indium selenide, copper indium sulfide, and gallium arsenide on gallium. The substrate for solar cell may or may not be doped. The term " microelectronic device " is not meant to be limiting in any way, and should be understood to include any substrate that will ultimately be a microelectronic device or microelectronic assembly.

본원에 사용될 경우, "잔여물"은, 제한되지 않지만, 플라즈마 에칭, 회분화, 화학적 기계적 폴리싱(polishing), 습식 에칭, 및 이들의 조합을 비롯한 마이크로전자 장치의 제작 동안 발생되는 입자에 상응한다. As used herein, "residue" refers to particles generated during fabrication of a microelectronic device, including, but not limited to, plasma etching, ashing, chemical mechanical polishing, wet etching, and combinations thereof.

본원에 사용될 경우, "오염물질"은 CMP 슬러리에 존재하는 화학물질, 폴리싱 슬러리의 반응 부산물, 습식 에칭 조성물에 존재하는 화학물질, 습식 에칭 조성물의 반응 부산물, 및 CMP 공정, 습식 에칭, 플라즈마 에칭 또는 플라즈마 회분화 공정의 부산물인 임의의 다른 물질에 상응한다.As used herein, " contaminant " refers to a chemical present in a CMP slurry, a reaction by-product of a polishing slurry, a chemical present in the wet etching composition, a reaction by-product of the wet etch composition, and a CMP process, Corresponding to any other material that is a by-product of the plasma crystallization process.

본원에 사용될 경우, "CMP-후 잔여물"은 폴리싱 슬러리로부터의 입자, 예를 들어, 실리카-함유 입자, 슬러리에 존재하는 화학물질, 폴리싱 슬러리의 반응 부산물, 탄소-풍부 입자, 폴리싱 패드 입자, 브러쉬 탈부하(deloading) 입자, 구성 입자의 설비 물질, 구리, 산화 구리, 유기 잔여물, 및 CMP 공정의 부산물인 임의의 다른 물질에 상응한다.As used herein, the term " post-CMP residue " refers to particles from a polishing slurry, such as silica-containing particles, chemicals present in the slurry, reaction by-products of the polishing slurry, carbon- Brushing deloading particles, constituent materials of constituent particles, copper, copper oxide, organic residues, and any other material that is a by-product of the CMP process.

본원에 정의될 경우, "낮은-k 유전 물질"은 층상화된 마이크로전자 장치에서 유전 물질로서 사용되는 임의의 물질에 상응하고, 여기서 이러한 물질은 약 3.5 미만의 유전 상수를 갖는다. 바람직하게는, 낮은-k 유전 물질로는 낮은-극성 물질, 예컨대 실리콘-함유 유기 중합체, 실리콘-함유 하이브리드 유기/무기 물질, 오가노실리케이트 유리(OSG), TEOS, 플루오르화 실리케이트 유리(FSG), 이산화규소, 및 탄소-도핑된 산화물(CDO) 유리가 포함된다. 낮은-k 유전 물질은 다양한 밀도 및 다양한 공극률을 가질 수 있음을 인식해야 한다.As defined herein, a " low-k dielectric material " corresponds to any material used as a dielectric material in a layered microelectronic device, where such material has a dielectric constant of less than about 3.5. Preferably, low-k dielectric materials include low-polarity materials such as silicon-containing organic polymers, silicon-containing hybrid organic / inorganic materials, organosilicate glass (OSG), TEOS, fluorosilicate glass (FSG) Silicon dioxide, and carbon-doped oxide (CDO) glasses. It should be appreciated that low-k dielectric materials can have varying densities and varying porosity.

본원에 정의되는 바와 같이, "착화제"로는 착화제, 킬레이트화제 및/또는 금속이온 봉쇄제인 것으로 당분야의 숙련가가 알고 있는 화합물이 포함된다. 착화제는 본원에 기재된 조성물을 사용하여 제거되는 금속 원자 및/또는 금속 이온과 화학적으로 조합되거나 물리적으로 이를 보유할 것이다.As defined herein, " complexing agents " include compounds known to those skilled in the art to be complexing agents, chelating agents and / or sequestering agents. The complexing agent will chemically combine or physically retain the metal atoms and / or metal ions that are removed using the compositions described herein.

본원에 정의되는 바와 같이, 용어 "차단 물질"은 금속 선, 예를 들어, 구리 상호접속부를 밀봉하기 위해, 상기 금속, 예를 들어 구리의 유전 물질로의 확산을 최소화하기 위해 당분야에 사용되는 임의의 물질에 상응한다. 바람직한 차단 층 물질로는 탄탈륨, 티탄, 루테늄, 하프늄, 텅스텐, 다른 내화성 금속 및 이들의 질화물 및 규화물, 및 이들의 조합물이 포함된다.As defined herein, the term " barrier material " is used in the art to minimize diffusion of the metal, e. G. Copper, into the dielectric material to seal the metal wire, e. G. It corresponds to any material. Preferred barrier layer materials include tantalum, titanium, ruthenium, hafnium, tungsten, other refractory metals and their nitrides and silicides, and combinations thereof.

본원에 정의되는 바와 같이, "에칭-후 잔여물"은 기체-상 플라즈마 에칭 공정, 예를 들어, BEOL 2중 다마신 가공, 또는 습식 에칭 공정 이후에 남는 물질에 상응한다. 에칭-후 잔여물은 천연적으로 유기, 유기금속, 유기규소, 또는 무기성일 수 있고, 예를 들면, 실리콘-함유 물질, 탄소-기제 유기 물질, 및 에칭 기체 잔여물, 예컨대 산소 및 불소일 수 있다.As defined herein, " post-etch residue " corresponds to a material that remains after a gas-phase plasma etch process, such as a BEOL dual damascene process, or a wet etch process. The post-etch residue can be naturally organic, organometallic, organosilicon, or inorganic and can be, for example, a silicon-containing material, a carbon-based organic material, and an etch gas residue such as oxygen and fluorine have.

본원에 정의되는 바와 같이, "회분화-후 잔여물"은, 본원에 사용될 경우, 경화된 포토레지스트 및/또는 바닥 반사방지 코팅(BARC: bottom anti-reflective coating) 물질을 제거하기 위해 산화적 또는 환원적 플라즈마 회분화 이후에 남아 있는 물질에 상응한다. 회분화-후 잔여물은 천연적으로 유기, 유기금속, 유기규소, 또는 무기성일 수 있다. As defined herein, " post-differentiation residue ", as used herein, refers to an oxidative or < RTI ID = 0.0 > Corresponding to the remaining material after the reductive plasma crystallization. The post-differentiation residues can be naturally organic, organometallic, organosilicon, or inorganic.

"실질적으로 존재하지 않는"은, 조성물의 총 중량을 기준으로, 2 중량% 미만, 바람직하게는 1 중량% 미만, 더 바람직하게는 0.5 중량% 미만, 가장 바람직하게는 0.1 중량% 미만으로 정의된다.&Quot; Substantially non-existent " is defined as less than 2 wt%, preferably less than 1 wt%, more preferably less than 0.5 wt%, most preferably less than 0.1 wt%, based on the total weight of the composition .

본원에 사용될 경우, "약"은 언급된 값의 ± 5%에 상응하는 것으로 의도된다.As used herein, " about " is intended to correspond to +/- 5% of the stated value.

본원에 사용될 경우, 잔여물 및 오염물질이 상부에 있는 마이크로전자 장치로부터 잔여물 및 오염물질을 세정하기 위한 "적합성"은 마이크로전자 장치로부터 상기 잔여물/오염물질의 적어도 일부를 제거하는 것과 상응한다. 세정 효능은 마이크로전자 장치 위의 대상의 감소에 의해 등급화된다. 예를 들면, 세정 분석-이전 및 세정 분석-이후는 원자력 현미경을 사용하여 수행될 수 있다. 샘플 상의 입자는 픽셀(pixel)의 범위로서 기록될 수 있다. 히스토그램(histogram)[예를 들어, 시그마 스캔 프로(Sigma Scan Pro)]은 특정 강도, 예를 들어, 231 내지 235의 픽셀, 및 계수된 입자의 수를 걸러내기 위해 적용될 수 있다. 입자 감소는 하기 식을 사용하여 계산될 수 있다: 세정 효능 = [(세정전 대상의 수 - 세정후 대상의 수)/세정전 대상의 수]×100. 특히 세정 효능의 결정 방법은 예를 들면 한가지만 제공되는데, 이로 제한하려는 것은 아니다. 다르게는, 세정 효능은 미립자 물질에 의해 덮혀진 전체 표면의 백분율로서 고려될 수 있다. 예를 들면, AFM은 특정의 높이 역치보다 높은 지형학적 관심 영역을 식별하기 위해 z-평면 스캔을 수행하고, 이어서 상기 관심 영역에 의해 덮혀진 총 표면적을 계산하도록 프로그래밍화된다. 당분야의 숙련가라면, 세정후 상기 관심 영역에 의해 덮혀진 면적이 적을 수록 세정 조성물이 더 효과적임을 쉽게 이해할 것이다. 바람직하게는, 본원에 기재된 조성물을 사용하는 마이크로전자 장치로부터 잔여물/오염물질의 75% 이상이 제거되고, 더욱 바람직하게는 잔여물/오염물질의 90% 이상, 더욱 더 바람직하게는 95% 이상, 가장 바람직하게는 99% 이상이 제거된다.As used herein, " fitness " for cleaning residues and contaminants from microelectronic devices with residues and contaminants on top corresponds to removing at least a portion of the residue / contaminants from the microelectronic device . The cleaning efficacy is graded by the reduction of the object on the microelectronic device. For example, a cleaning analysis-transfer and a cleaning-after-analysis can be performed using an atomic force microscope. Particles on the sample can be recorded as a range of pixels. A histogram (e.g., Sigma Scan Pro) can be applied to filter out specific intensities, for example, 231-235 pixels, and the number of counted particles. The particle reduction can be calculated using the following equation: Cleaning efficacy = [(number of objects before cleaning - number of objects after cleaning) / number of objects before cleaning] × 100. In particular, methods for determining the cleaning efficacy are provided, for example, but not by way of limitation. Alternatively, the cleaning efficacy can be considered as a percentage of the total surface covered by the particulate material. For example, the AFM is programmed to perform a z-plane scan to identify a geographical area of interest higher than a certain height threshold, and then to calculate the total surface area covered by the area of interest. It will be readily apparent to one of ordinary skill in the art that the less area covered by the area of interest after cleaning, the more effective the cleaning composition is. Preferably, at least 75% of the residue / contaminant is removed from the microelectronic device using the composition described herein, more preferably at least 90%, even more preferably at least 95% of the residue / contaminant , And most preferably at least 99%.

본원에 기재된 조성물은, 이후 더 자세히 기재되는 바와 같이, 다양한 특정 제제로 구현될 수 있다. The compositions described herein may be embodied in a variety of specific formulations, as will be described in greater detail below.

조성물의 특정 성분들이 제로 하한치를 포함하는 중량 백분율 범위에 대하여 논의되는 모든 이러한 조성물에서, 이러한 성분들은 조성물의 다양한 구체적인 실시태양에 존재하거나 존재하지 않을 수 있고, 이러한 성분들이 존재할 경우 이들은 이러한 성분들이 사용되는 조성물의 총 중량을 기준으로 0.001 중량% 만큰 낮은 농도로 존재할 수 있음을 알것이다.In all such compositions in which certain components of the composition are discussed with respect to a weight percent range including zero lower limits, such components may or may not be present in the various specific embodiments of the composition, and when such components are present, Lt; RTI ID = 0.0 > 0.001% < / RTI > by weight based on the total weight of the composition.

세정 조성물은, 적어도 1종의 염기성 염, 적어도 1종의 유기 용매, 적어도 1종의 착화제, 물, 및 임의적으로 적어도 1종의 계면활성제를 포함하거나, 이들로 구성되거나, 이들로 본질적으로 구성된다. 바람직하게는 물은 탈이온화된다. 세정 조성물은 잔여물 및 오염물질, 예를 들어, CMP-후 잔여물, 에칭-후 잔여물, 회분화-후 잔여물, 및 오염물질을 마이크로전자 장치 구조물로부터 세정하기에 특히 유용하다.The cleaning composition comprises, consists of, consists essentially of, or consists essentially of, at least one basic salt, at least one organic solvent, at least one complexing agent, water, and optionally at least one surfactant do. Preferably the water is deionized. The cleaning composition is particularly useful for cleaning residues and contaminants, such as CMP-post-residues, post-etch residues, post-differentiation residues, and contaminants from microelectronic device structures.

하나의 실시태양에서, 세정 조성물은 적어도 1종의 염기성 염, 적어도 1종의 유기 용매, 적어도 1종의 착화제, 및 물을 포함하거나, 이들로 구성되거나, 이들로 본질적으로 구성된다. 또 다른 실시태양에서, 세정 조성물은 적어도 1종의 염기성 염, 적어도 1종의 유기 용매, 적어도 1종의 착화제, 물, 및 적어도 1종의 계면활성제를 포함하거나, 이들로 구성되거나, 이들로 본질적으로 구성된다. 또 다른 실시태양에서, 세정 조성물은 적어도 1종의 염기성 염, 적어도 1종의 유기 용매, 적어도 2종의 착화제, 및 물을 포함하거나, 이들로 구성되거나, 이들로 본질적으로 구성된다. In one embodiment, the cleaning composition comprises, consists essentially of, or consists essentially of at least one basic salt, at least one organic solvent, at least one complexing agent, and water. In another embodiment, the cleaning composition comprises, consists of, or consists of at least one basic salt, at least one organic solvent, at least one complexing agent, water, and at least one surfactant Lt; / RTI > In another embodiment, the cleaning composition comprises, consists essentially of, or consists essentially of at least one basic salt, at least one organic solvent, at least two complexing agents, and water.

실시태양과 무관하게, 세정 조성물에는 아민 및 암모늄-함유 염, 예를 들어, 4차 암모늄 염기가 실질적으로 존재하지 않는다. 또한, 사용 전 조성물, 예를 들어, 세정 화학물질에는 바람직하게는 산화제; 플루오라이드-함유 공급원; 연마제 물질; 알칼리 토금속 염기; 가교결합된 중합체 입자; 및 이들의 조합물중 적어도 하나 이상이 실질적으로 존재하지 않는다. 또한, 세정 조성물은 중합체성 고체, 예를 들면, 포토레지스트를 형성하기 위해 고화되지 않아야 한다. 본 발명의 목적을 위해, "아민"은 적어도 1종의 1차, 2차, 또는 3차 아민, 암모늄, 및/또는 4차 수산화 암모늄 화합물(예를 들어, 수산화 암모늄, 수산화 알킬암모늄, 수산화 알킬아릴암모늄 등)로서 정의되지만, 단 (i) 아민 기, (ii) 카복실산 기 및 아민 기 둘 다를 포함하는 종, (iii) 아민 기를 포함하는 계면활성제, 및 (iv) 아민 기가 치환체인 종(예를 들어, 아릴 및 헤테로환식 잔기에 결합됨)은 이러한 정의에 따른 "아민"으로 고려되지 않는다. 아민 식은 NR1R2R3로 표시되고, 여기서 R1, R2 및 R3은 동일하거나 서로 상이할 수 있고, 수소, 직쇄 또는 분지쇄 C1-C6 알킬(예를 들어, 메틸, 에틸, 프로필, 부틸, 펜틸, 헥실), C6-C10 아릴(예를 들어, 벤질), 직쇄 또는 분지쇄 C1-C6 알칸올(예를 들어, 메탄올, 에탄올, 프로판올, 부탄올, 펜탄올, 헥산올), 및 이들의 조합물로 구성된 군에서 선택되지만, 단 R1, R2 및 R3은 모두 수소일 수 없다. 4차 수산화 암모늄 화합물은 일반식 R1R2R3R4NOH를 갖고, 여기서 R1, R2, R3 및 R4는 동일하거나 서로 상이할 수 있고, 수소, C1-C6 알킬 기(예를 들어, 메틸, 에틸, 프로필, 부틸, 펜틸 또는 헥실), 및 치환되거나 치환되지 않은 C6-C10 아릴 기(예를 들어 벤질); 및 알카놀아민이다. Regardless of the embodiment, the cleaning composition is substantially free of amine and ammonium-containing salts, such as quaternary ammonium bases. Also, the composition before use, for example, the cleaning chemistry, preferably contains an oxidizing agent; A fluoride-containing source; Abrasive materials; Alkaline earth metal base; Crosslinked polymer particles; And combinations thereof, are substantially absent. Also, the cleaning composition should not be solidified to form a polymeric solid, e.g., a photoresist. For purposes of the present invention, an "amine" is a compound that is a mixture of at least one primary, secondary, or tertiary amine, ammonium, and / or a quaternary ammonium hydroxide compound (eg, ammonium hydroxide, alkylammonium hydroxide, (Ii) a species containing both a carboxylic acid group and an amine group, (iii) a surfactant containing an amine group, and (iv) a species in which the amine group is a substituent (e.g., For example, bonded to aryl and heterocyclic moieties, are not considered "amines" according to this definition. The amine formula is represented by NR 1 R 2 R 3 wherein R 1 , R 2 and R 3 may be the same or different and are selected from hydrogen, straight or branched C 1 -C 6 alkyl (eg, methyl, ethyl (E.g., methyl, ethyl, propyl, butyl, pentyl, hexyl), C 6 -C 10 aryl (e.g., benzyl), straight or branched C 1 -C 6 alkanols , Hexanol), and combinations thereof, provided that R 1 , R 2 and R 3 can not all be hydrogen. The quaternary ammonium hydroxide compound has the general formula R 1 R 2 R 3 R 4 NOH wherein R 1 , R 2 , R 3 and R 4 may be the same or different and are selected from the group consisting of hydrogen, C 1 -C 6 alkyl (E.g., methyl, ethyl, propyl, butyl, pentyl or hexyl), and substituted or unsubstituted C 6 -C 10 aryl groups (e.g., benzyl); And alkanolamines.

본원에 기재된 조성물 및 방법의 목적을 위해, 적어도 1종의 염기성 염은 수산화 세슘, 수산화 루비듐, 수산화 칼륨, 및 이들의 조합물, 바람직하게는 수산화 세슘 및/또는 수산화 루비듐, 더욱 더 바람직하게는 수산화 세슘을 포함한다. 바람직하게는, 적어도 1종의 염기성 염은, 본원에 기재된 조성물이 실질적으로 그의 초기 pH를, 심지어 수 배의 희석 이후에도 유지하도록, 예를 들어, 희석된 pH = 초기 pH ± 2 pH 단위, 더 바람직하게는 희석된 pH = 초기 pH ± 약 1 pH 단위이도록 선택된다.For purposes of the compositions and methods described herein, the at least one basic salt is selected from the group consisting of cesium hydroxide, rubidium hydroxide, potassium hydroxide, and combinations thereof, preferably cesium hydroxide and / or rubidium hydroxide, Cesium. Preferably, the at least one basic salt is formulated so that the composition described herein retains substantially its initial pH, even after several dilutions, for example, diluted pH = initial pH ± 2 pH units, more preferably Is selected such that diluted pH = initial pH +/- about 1 pH unit.

적어도 1종의 유기 용매는 바람직하게는 폴리올, 설폰, 또는 이들의 조합물이고, 여기서 폴리올은 에틸렌 글리콜, 프로필렌 글리콜, 네오펜틸 글리콜, 글리세린(글리세롤로서 또한 공지됨), 디에틸렌 글리콜, 디프로필렌 글리콜, 1,4-부탄디올, 2,3-부틸렌 글리콜, 1,3-펜탄디올, 1,4-펜탄디올, 1,5-펜탄디올, 3-메틸-1,5-펜탄디올, 및 이들의 조합물로 구성된 군에서 선택되는 적어도 1종을 포함할 수 있다. 설폰은 테트라메틸렌 설폰(설폴란), 디메틸 설폰, 디에틸 설폰, 비스(2-하이드록시에틸) 설폰, 메틸 설폴란, 에틸 설폴란, 및 이들의 조합물로 구성된 군에서 선택되는 적어도 1종을 포함할 수 있다. 바람직하게는, 적어도 1종의 유기 용매는 테트라메틸렌 설폰, 글리세린, 프로필렌 글리콜, 에틸렌 글리콜을 단일 용매로서 또는 이의 임의의 조합물로서 포함한다. 가장 바람직하게는, 적어도 1종의 유기 용매는 메틸렌 설폰이다. The at least one organic solvent is preferably a polyol, a sulfone, or a combination thereof wherein the polyol is selected from the group consisting of ethylene glycol, propylene glycol, neopentyl glycol, glycerin (also known as glycerol), diethylene glycol, dipropylene glycol , 1,4-butanediol, 2,3-butylene glycol, 1,3-pentanediol, 1,4-pentanediol, 1,5-pentanediol, 3-methyl- A combination thereof, and the like. The sulfone may be at least one selected from the group consisting of tetramethylene sulfone (sulfolane), dimethyl sulfone, diethyl sulfone, bis (2-hydroxyethyl) sulfone, methyl sulfolane, ethyl sulfolane, . Preferably, the at least one organic solvent comprises tetramethylene sulfone, glycerin, propylene glycol, ethylene glycol as a single solvent or any combination thereof. Most preferably, the at least one organic solvent is methylenesulfone.

착화제는 에틸렌디아민테트라아세트산(EDTA), 1,2-사이클로헥산디아민-N,N,N',N'-테트라아세트산(CDTA), 글리신, 아스코브산, 이미노디아세트산(IDA), 니트릴로트리아세트산, 알라닌, 아르기닌, 아스파라긴, 아스파르트산, 시스테인, 글루탐산, 글루타민, 히스티딘, 이소로이신, 로이신, 라이신, 메티오닌, 페닐알라닌, 프롤린, 세린, 트레오닌, 트립토판, 티로신, 발린, 갈산, 붕산, 아세트산, 아세톤 옥심, 아크릴산, 아디프산, 베테인, 디메틸 글리옥심, 폼산, 푸마르산, 글루콘산, 글루타르산, 글리세르산, 글리콜산, 글리옥실산, 이소프탈산, 이타콘산, 락트산, 말레산, 말레산 무수물, 말산, 말론산, 만델산, 2,4-펜탄디온, 페닐아세트산, 프탈산, 프롤린, 프로피온산, 파이로카테콜, 파이로멜리트산, 퀸산, 솔비톨, 석신산, 타르타르산, 테레프탈산, 트리멜리트산, 트리메스산, 티로신, 자일리톨, 1,5,9-트리아자사이클로도데칸-N,N',N"-트리스(메틸렌포스폰산)(DOTRP), 1,4,7,10-테트라아자사이클로도데칸-N,N',N",N"'-테트라키스(메틸렌포스폰산)(DOTP), 니트릴로트리스(메틸렌)트리포스폰산, 디에틸렌트리아민펜타(메틸렌포스폰산)(DETAP), 아미노트리(메틸렌포스폰산), 1-하이드록시에틸리덴-1,1-디포스폰산(HEDP), 비스(헥사메틸렌)트리아민 포스폰산, 1,4,7-트리아자사이클로노난-N,N',N"-트리스(메틸렌포스폰산)(NOTP), 이의 염 및 유도체, 및 이들의 조합물중 적어도 하나를 포함할 수 있다. 바람직하게는, 적어도 1종의 착화제는 이미노디아세트산, 붕산, 갈산, HEDP를 단일 착화제로서 또는 이의 임의의 조합물로서 포함한다. 가장 바람직하게는, 적어도 1종의 착화제는 붕산, HEDP, 또는 붕산 및 HEDP의 조합물을 포함한다. The complexing agent may be selected from the group consisting of ethylenediaminetetraacetic acid (EDTA), 1,2-cyclohexanediamine-N, N, N ', N'-tetraacetic acid (CDTA), glycine, ascorbic acid, iminodiacetic acid But are not limited to, acetic acid, alanine, arginine, asparagine, aspartic acid, cysteine, glutamic acid, glutamine, histidine, isoleucine, leucine, lysine, methionine, phenylalanine, proline, serine, threonine, tryptophan, tyrosine, , Acrylic acid, adipic acid, betaine, dimethylglyoxime, formic acid, fumaric acid, gluconic acid, glutaric acid, glyceric acid, glycolic acid, glyoxylic acid, isophthalic acid, itaconic acid, lactic acid, Or a salt thereof with an organic acid such as malic acid, malonic acid, mandelic acid, 2,4-pentanedione, phenylacetic acid, phthalic acid, proline, propionic acid, pyrocatechol, pyromellitic acid, quinic acid, sorbitol, succinic acid, tartaric acid, terephthalic acid, The N, N " -tris (methylenephosphonic acid) (DOTRP), 1,4,7,10-tetraazacyclododecane (Methylenephosphonic acid) (DOTP), nitrilotris (methylene) triphosphonic acid, diethylenetriamine penta (methylenephosphonic acid) (DETAP), aminotri (Methylenephosphonic acid), 1-hydroxyethylidene-1,1-diphosphonic acid (HEDP), bis (hexamethylene) triaminephosphonic acid, 1,4,7-triazacyclononane- , N " -tris (methylenephosphonic acid) (NOTP), salts and derivatives thereof, and combinations thereof. Preferably, the at least one complexing agent comprises iminodiacetic acid, boric acid, gallic acid, HEDP as a single complexing agent or any combination thereof. Most preferably, the at least one complexing agent comprises a combination of boric acid, HEDP, or boric acid and HEDP.

본원에 기재된 조성물에 사용하기 위한 예시적인 계면활성제로는, 제한되지 않지만, 양친매성 염, 양이온성 계면활성제, 음이온성 계면활성제, 플루오로알킬 계면활성제, 비이온성 계면활성제, 및 이들의 조합물, 예컨대 제한되지 않지만, 서포닐(SURFONYL: 등록상표) 104, 트리톤(TRITON: 등록상표) CF-21, 조닐(ZONYL: 등록상표) UR, 조닐(등록상표) FSO-100, 조닐(등록상표) FSN-100, 3M 플루오래드(Fluorad) 플루오로계면활성제(즉, FC-4430 및 FC-4432), 디옥틸설포석시네이트 염, 2,3-디머캅토-1-프로판설폰산 염, 도데실벤젠설폰산, 폴리에틸렌 글리콜, 폴리프로필렌 글리콜, 폴리에틸렌 또는 폴리프로필렌 글리콜 에테르, 카복실산 염, R1 벤젠 설폰산 또는 이의 염(여기서, R1은 직쇄 또는 분지쇄 C8-C18 알킬 기), 양친매성 플루오로중합체, 폴리에틸렌 글리콜, 폴리프로필렌 글리콜, 폴리에틸렌 또는 폴리프로필렌 글리콜 에테르, 카복실산 염, 도데실벤젠설폰산, 폴리아크릴레이트 중합체, 디노닐페닐 폴리옥시에틸렌, 실리콘 또는 변형된 실리콘 중합체, 아세틸렌계 디올 또는 변형된 아세틸렌계 디올, 알킬암모늄 또는 변형된 알킬암모늄 염, 뿐만 아니라 적어도 1종의 전술된 계면활성제를 포함하는 조합물, 소디움 도데실 설페이트, 쯔비터이온성(zwitterionic) 계면활성제, 에어로졸-OT(AOT) 및 이의 플루오르화된 유사체, 알킬 알모늄, 퍼플루오로폴리에테르 계면활성제, 2-설포석시네이트 염, 포스페이트-기제 계면활성제, 황-기제 계면활성제, 및 아세토아세테이트-기제 중합체가 포함된다. 하나의 바람직한 실시태양에서, 계면활성제는 알킬 벤젠 설폰산, 더 바람직하게는 도데실벤젠설폰산을 포함한다.Exemplary surfactants for use in the compositions described herein include, but are not limited to, amphipathic salts, cationic surfactants, anionic surfactants, fluoroalkyl surfactants, nonionic surfactants, and combinations thereof, But are not limited to, SURFONYL 104, TRITON CF-21, ZONYL TM UR, ZONYL FSO-100, ZONYL FSN, -100, 3M Fluorad fluorosurfactants (i.e. FC-4430 and FC-4432), dioctylsulfosuccinate salts, 2,3-dimercapto-1-propanesulfonate, dodecylbenzenesulfonate with acid, polyethylene glycol, polypropylene glycol, polyethylene or polypropylene glycol ethers, carboxylic acid salts, R 1 benzene sulfonic acid or a salt thereof (wherein, R 1 is straight-chain or branched-chain C 8 -C 18 alkyl group), amphiphilic fluoro Polymers, polyethylene glycols, polypropylene Recycled, polyethylene or polypropylene glycol ethers, carboxylic acid salts, dodecylbenzene sulfonic acid, polyacrylate polymers, dinonylphenyl polyoxyethylene, silicone or modified silicone polymers, acetylenic diols or modified acetylenic diols, alkylammonium or Modified alkyl ammonium salts as well as combinations comprising at least one of the foregoing surfactants, sodium dodecyl sulfate, zwitterionic surfactants, aerosol -OT (AOT) and fluorinated analogues thereof, alkyl Perfluoropolyether surfactants, 2-sulfosuccinate salts, phosphate-based surfactants, sulfur-based surfactants, and acetoacetate-based polymers. In one preferred embodiment, the surfactant comprises an alkyl benzene sulfonic acid, more preferably dodecyl benzene sulfonic acid.

본원에 기재된 세정 조성물의 pH는 7 보다 크고, 바람직하게는 약 8 내지 약 14의 범위, 더 바람직하게는 약 8 내지 약 13의 범위이다. The pH of the cleaning composition described herein is greater than 7, preferably in the range of from about 8 to about 14, more preferably in the range of from about 8 to about 13.

한 바람직한 실시태양에서, 세정 조성물은 적어도 1종의 염기성 염, 적어도 1종의 유기 용매, 적어도 2개의 착화제, 및 물을 포함하거나, 이들로 구성되거나, 이들로 본질적으로 구성된다. 예를 들면, 세정 조성물은 CsOH, 적어도 1종의 유기 용매, 적어도 2개의 착화제, 및 물을 포함하거나, 이들로 구성되거나, 이들로 본질적으로 구성된다. 또 다른 실시태양에서, 세정 조성물은 CsOH, 설폰, 적어도 두개의 착화제, 및 물을 포함하거나, 이들로 구성되거나, 이들로 본질적으로 구성된다. 또 다른 실시태양에서, 세정 조성물은 CsOH, 설폰, 포스폰산, 및 적어도 1종의 추가의 착화제, 및 물을 포함하거나, 이들로 구성되거나, 이들로 본질적으로 구성된다. In one preferred embodiment, the cleaning composition comprises, consists essentially of, or consists essentially of at least one basic salt, at least one organic solvent, at least two complexing agents, and water. For example, the cleaning composition comprises, consists essentially of, or consists of CsOH, at least one organic solvent, at least two complexing agents, and water. In another embodiment, the cleaning composition comprises, consists essentially of, or consists of CsOH, sulfone, at least two complexing agents, and water. In another embodiment, the cleaning composition comprises, consists essentially of, or consists of CsOH, sulfone, phosphonic acid, and at least one additional complexing agent, and water.

특히 바람직한 실시태양에서, 세정 조성물은 (a) 수산화 세슘, 글리세린, 이미노디아세트산 및 물, (b) 수산화 세슘, 글리세린, 붕산 및 물, (c) 수산화 세슘, 프로필렌 글리콜, 갈산 및 물, (d) 수산화 세슘, 에틸렌 글리콜, 이미노디아세트산 및 물, (e) 수산화 세슘, 프로필렌 글리콜, 붕산, 및 물, 및 (f) 수산화 세슘, HEDP, 테트라메틸렌 설폰, 붕산, 및 물을 포함하거나, 이들로 구성되거나, 이들로 본질적으로 구성된다. 각각의 경우에, 조성물에는 아민 및 암모늄-함유 염, 예를 들어, 4급 암모늄 염기; 산화제; 플루오라이드-함유 공급원; 연마제 물질; 알칼리 토금속 염기; 및 이들의 조합물이 실질적으로 존재하지 않는다.In a particularly preferred embodiment, the cleaning composition comprises (a) cesium hydroxide, glycerin, iminodiacetic acid and water, (b) cesium hydroxide, glycerin, boric acid and water, (c) cesium hydroxide, propylene glycol, (E) cesium hydroxide, propylene glycol, boric acid, and water, and (f) cesium hydroxide, HEDP, tetramethylenesulfone, boric acid, and water, Or consist essentially of these. In each case, the composition includes an amine and an ammonium-containing salt such as a quaternary ammonium base; Oxidant; A fluoride-containing source; Abrasive materials; Alkaline earth metal base; And combinations thereof are substantially absent.

본원에 기재된 조성물의 예는 제제 A 내지 R로 구성된 군에서 선택된다. Examples of compositions described herein are selected from the group consisting of Formulations A through R.

제제 A: 4.0 중량% CsOH(50%), 12 중량% 에틸렌 글리콜, 0.8 중량% IDA, 83.2 중량% 물, pH 농축됨 = 12.22, pH 희석됨(30:1) = 10.36 Formulation A: 4.0 wt% CsOH (50%), 12 wt% ethylene glycol, 0.8 wt% IDA, 83.2 wt% water, pH concentrated = 12.22, pH diluted (30: 1) = 10.36

제제 B: 7.1 중량% CsOH(50%), 5 중량% 에틸렌 글리콜, 1.6 중량% IDA, 86.3 중량% 물, pH 농축됨 = 11.88, pH 희석됨(30:1) = 10.27 Formulation B: 7.1 wt% CsOH (50%), 5 wt% ethylene glycol, 1.6 wt% IDA, 86.3 wt% water, pH concentrated = 11.88, pH diluted (30: 1) = 10.27

제제 C: 5.7 중량% CsOH(50%), 12 중량% 에틸렌 글리콜, 0.8 중량% IDA, 1 중량% 아스코브산, 80.5중량% 물, pH 농축됨 = 11.41, pH 희석됨(30:1) = 9.89 Formulation C: 5.7 wt% CsOH (50%), 12 wt% ethylene glycol, 0.8 wt% IDA, 1 wt% ascorbic acid, 80.5 wt% water, pH concentrated = 11.41, pH diluted (30: 9.89

제제 D: 9.1 중량% CsOH(50%), 12 중량% 에틸렌 글리콜, 1.6 중량% IDA, 1 중량% 아스코브산, 76.3 중량% 물, pH 농축됨 = 11.16, pH 희석됨(30:1) = 10.1 Formulation D: 9.1 wt% CsOH (50%), 12 wt% ethylene glycol, 1.6 wt% IDA, 1 wt% ascorbic acid, 76.3 wt% water, pH concentrated = 11.16, pH diluted (30: 10.1

제제 E: 3.9 중량% CsOH(50%), 5.0 중량% 글리세린, 0.8 중량% IDA, 90.3 중량% 물, pH 농축됨 = 12.0, pH 희석됨(30:1) = 10.16 Formulation E: 3.9 wt% CsOH (50%), 5.0 wt% glycerin, 0.8 wt% IDA, 90.3 wt% water, pH concentrated = 12.0, pH diluted (30: 1) = 10.16

제제 F: 4.0 중량% CsOH(50%), 12.0 중량% 글리세린, 0.8 중량% IDA, 83.2 중량% 물, pH 농축됨 = 11.1, pH 희석됨(30:1) = 9.5 Formulation F: 4.0% by weight CsOH (50%), 12.0% by weight glycerin, 0.8% by weight IDA, 83.2% by weight Water, pH concentrated = 11.1, pH diluted (30:

제제 G: 7.1 중량% CsOH(50%), 5.0 중량% 글리세린, 1.6 중량% IDA, 86.3 중량% 물, pH 농축됨 = 11.5, pH 희석됨(30:1) = 10.29 Formulation G: 7.1% by weight CsOH (50%), 5.0% by weight glycerin, 1.6% by weight IDA, 86.3% by weight water, pH concentrated = 11.5, pH diluted (30:

제제 H: 5.7 중량% CsOH(50%), 12.0 중량% 글리세린, 0.8 중량% IDA, 1.0 중량% 아스코브산, 80.5 중량% 물, pH 농축됨 = 10.8, pH 희석됨(30:1) = 9.61 Formulation H: 5.7 wt% CsOH (50%), 12.0 wt% glycerin, 0.8 wt% IDA, 1.0 wt% ascorbic acid, 80.5 wt% water, pH concentrated = 10.8, pH diluted (30: 1) = 9.61

제제 I: 8.8 중량% CsOH(50%), 5.0 중량% 글리세린, 1.6 중량% IDA, 1.0 중량% 아스코브산, 83.6 중량% 물, pH 농축됨 = 12.3, pH 희석됨(30:1) = 10.64 Formulation I: 8.8 wt% CsOH (50%), 5.0 wt% glycerin, 1.6 wt% IDA, 1.0 wt% ascorbic acid, 83.6 wt% water, pH concentrated = 12.3, pH diluted (30:

제제 J: 7.4 중량% CsOH(50%), 12.0 중량% 글리세린, 1.6 중량% IDA, 79.0 중량% 물, pH 농축됨 = 10.7, pH 희석됨(30:1) = 9.81 Formulation J: 7.4 wt% CsOH (50%), 12.0 wt% glycerin, 1.6 wt% IDA, 79.0 wt% water, pH concentrated = 10.7, pH diluted (30: 1) = 9.81

제제 K: 6.3 중량% CsOH(50%), 4.8 중량% 프로필렌 글리콜, 2 중량% 갈산, 86.9 중량% 물, pH 농축됨 = 9.71 Preparation K: 6.3 wt% CsOH (50%), 4.8 wt% Propylene glycol, 2 wt% Glycine, 86.9 wt% Water, pH Concentrated = 9.71

제제 L: 6.6 중량% CsOH(50%), 10 중량% 프로필렌 글리콜, 2 중량% 갈산, 81.4 중량% 물, pH 농축됨 = 10.32 Formulation L: 6.6 wt% CsOH (50%), 10 wt% propylene glycol, 2 wt% gallic acid, 81.4 wt% water, pH concentrated = 10.32

제제 M: 15.7 중량% CsOH(50%), 4.8 중량% 프로필렌 글리콜, 5 중량% 갈산, 74.5 중량% 물, pH 농축됨 = 10.14 Formulation M: 15.7 wt% CsOH (50%), 4.8 wt% propylene glycol, 5 wt% gallic acid, 74.5 wt% water, pH concentrated = 10.14

제제 N: 16.2 중량% CsOH(50%), 4.8 중량% 프로필렌 글리콜, 5 중량% 갈산, 1 중량% 아스코브산, 73 중량% 물, pH 농축됨 = 9.28 Formulation N: 16.2 wt% CsOH (50%), 4.8 wt% propylene glycol, 5 wt% gallic acid, 1 wt% ascorbic acid, 73 wt% water, pH concentrated = 9.28

제제 O: 2.1 중량% CsOH(50%), 8.5 중량% 글리세린, 0.4 중량% 이미노디아세트산, 89.0 중량% 물Formulation O: 2.1 wt% CsOH (50%), 8.5 wt% glycerin, 0.4 wt% iminodiacetic acid, 89.0 wt% water

제제 P: 2.5 중량% CsOH(50%), 12 중량% 에틸렌 글리콜, 0.6 중량% 이미노디아세트산, 84.9 중량% 물 Formulation P: 2.5 wt% CsOH (50%), 12 wt% ethylene glycol, 0.6 wt% iminodiacetic acid, 84.9 wt% water

제제 Q: 4 중량% CsOH(50%), 12 중량% 글리세린, 3.3 중량% 붕산, 80.7 중량% 물, pH 농축됨 = 7.17, pH 희석됨(100:1) = 8.54 Formulation Q: 4 wt% CsOH (50%), 12 wt% glycerin, 3.3 wt% boric acid, 80.7 wt% water, pH concentrated = 7.17, pH diluted (100:

제제 R: 4 중량% CsOH(50%), 4.8 중량% 프로필렌 글리콜, 3.3 중량% 붕산, 87.9 중량% 물, pH 농축됨 = 8.4, pH 희석됨(100:1) = 8.59 Formulation R: 4 wt% CsOH (50%), 4.8 wt% propylene glycol, 3.3 wt% boric acid, 87.9 wt% water, pH concentrated = 8.4, pH diluted (100:

제제 S: 3 중량% CsOH, 1.2 중량% HEDP, 9 중량% 테트라메틸렌 설폰, 0.25 중량% 붕산, 86.55 중량% 물Preparation S: 3 wt% CsOH, 1.2 wt% HEDP, 9 wt% tetramethylene sulfone, 0.25 wt% boric acid, 86.55 wt% water

농축물중 성분들의 농도는 바람직하게는 다음과 같다:The concentrations of the components in the concentrate are preferably as follows:

Figure 112014046007602-pct00001
Figure 112014046007602-pct00001

조성적 양에 관하여, 각각의 성분의 중량% 비율은 바람직하게는 다음과 같다: 약 0.1:1 내지 약 10:1의 염기성 염 대 착화제, 바람직하게는 약 0.5:1 내지 약 4:1, 가장 바람직하게는 약 1:1 내지 약 3:1; 및 약 0.1:1 내지 약 25:1의 유기 용매 대 착화제, 바람직하게는 약 1:1 내지 약 20:1, 가장 바람직하게는 약 2:1 내지 약 15:1이다.With respect to the amount of composition, the weight percentages of each component are preferably: from about 0.1: 1 to about 10: 1 basic salt complexing agent, preferably from about 0.5: 1 to about 4: 1, Most preferably from about 1: 1 to about 3: 1; And from about 0.1: 1 to about 25: 1, and preferably from about 1: 1 to about 20: 1, and most preferably from about 2: 1 to about 15: 1.

성분들의 중량 퍼센트 비율의 범위는 조성물의 모든 가능한 농축되거나 희석된 실시태양을 커버할 것이다. 끝으로, 하나의 실시태양에서, 세정 조성물로 사용하기 위해 희석될 수 있는 농축된 세정 조성물이 제공된다. 농축된 조성물, 또는 "농축물"은 유리하게는 사용자, 예를 들어, CMP 공정 엔지니어가 농축물을 사용 시점에 원하는 강도 및 pH로 희석시키는 것을 허용한다. 농축된 세정 조성물의 희석은 약 1:1 내지 약 2500:1, 바람직하게는 약 5:1 내지 약 1500:1, 가장 바람직하게는 약 10:1 내지 약 1000:1의 범위일 수 있고, 여기서 세정 조성물은 장비에서 또는 장비에 들어가기 직전에 용매, 예를 들어, 탈이온수에 의해 희석된다. 당분야의 숙련가라면 희석 후 일정 성분의 또 다른 성분에 대한 중량 퍼센트 비율의 범위가 변치않고 유지되어야 함을 이해한 것이다.The range of weight percentages of the components will cover all possible enriched or diluted embodiments of the composition. Finally, in one embodiment, there is provided a concentrated cleaning composition which can be diluted for use as a cleaning composition. The concentrated composition, or " concentrate " advantageously allows a user, e.g., a CMP process engineer, to dilute the concentrate to the desired strength and pH at the point of use. Dilution of the concentrated cleaning composition may range from about 1: 1 to about 2500: 1, preferably from about 5: 1 to about 1500: 1, and most preferably from about 10: 1 to about 1000: 1, The cleaning composition is diluted with a solvent, for example, deionized water, just before entering the equipment or equipment. It will be understood by one of ordinary skill in the art that the range of weight percent relative to another component of a given ingredient after dilution should be maintained unchanged.

본원에 기재된 조성물은 적용분야, 제한되지 않지만, 에칭-후 잔여물 제거, 회분화-후 잔여물 제거 표면 제조, 도금-후 세정 및 CMP-후 잔여물 제거에 있어서 유용성을 가질 수 있다.The compositions described herein may have utility in the field of application, including, but not limited to, post-etch residue removal, residue post-differentiation removal surface preparation, post-plating cleaning, and post-CMP residue removal.

역시 또 다른 바람직한 실시태양에서, 본원에 기재된 세정 조성물은 잔여물 및/또는 오염물질을 추가로 포함한다. 중요하게는, 잔여물 및 오염물질은 조성물에 용해되고/되거나 현탁될 수 있다. 바람직하게는, 잔여물은 CMP-후 잔여물, 에칭-후 잔여물, 회분화-후 잔여물, 오염물질, 또는 이들의 조합물을 포함한다. 예를 들면, 세정 조성물은 적어도 1종의 염기성 염, 적어도 1종의 유기 용매, 적어도 1종의 착화제, 물, 임의적으로 적어도 1종의 계면활성제, 및 잔여물 및/또는 오염물질을 포함하거나, 이들로 구성되거나, 이들로 본질적으로 구성될 수 있다. In yet another preferred embodiment, the cleaning composition described herein further comprises residues and / or contaminants. Significantly, residues and contaminants can be dissolved and / or suspended in the composition. Preferably, the residue comprises CMP-after-residue, post-etch residue, post-differentiation residue, contaminant, or a combination thereof. For example, the cleaning composition may comprise at least one basic salt, at least one organic solvent, at least one complexing agent, water, optionally at least one surfactant, and residuals and / or contaminants , Or may consist essentially of these.

세정 조성물은, 개별 구성성분들을 단순히 첨가하고 균질한 조건이 되도록 혼합함으로써 쉽게 제제화될 수 있다. 게다가, 조성물은 단일-패키지 제제, 또는 사용 시점에 또는 사용 시점 이전에 혼합되는 다중-부분 제제로서 쉽게 제제화될 수 있고, 예를 들어, 다중-부분 제제의 개별 부분은 장비에서 혼합될 수 있거나 장비의 저장 탱크 상류에서 혼합될 수 있다. 개별 구성성분들의 농도는 조성물의 특정 배수로 매우 다양할 수 있고, 즉 더 희석되거나 더 농축될 수 있고, 본원에 기재된 조성물은 다양하게 및 대안으로 본원의 개시내용과 일치하는 구성성분들의 임의의 조합물을 포함하거나, 이들로 구성되거나, 이들로 본질적으로 구성될 수 있다. The cleaning composition can be easily formulated by simply adding the individual components and mixing to homogeneous conditions. In addition, the compositions may be readily formulated as single-packaged formulations, or as multi-part formulations to be mixed at the time of use or prior to use, for example, individual portions of the multi-part formulation may be mixed in the equipment, Lt; RTI ID = 0.0 > upstream < / RTI > The concentration of the individual components can vary widely, i.e., to be more diluted or more concentrated, and the compositions described herein can be variously and alternatively, any combination of the components consistent with the disclosure herein Or may consist essentially of, < RTI ID = 0.0 > and / or < / RTI >

따라서, 또 다른 양태는 하나 이상의 용기중에, 본원에 기재된 조성물을 형성하도록 맞춰진 하나 이상의 성분들을 포함하는 키트에 관한 것이다. 키트는, 하나 이상의 용기중에, 적어도 1종의 염기성 염, 적어도 1종의 유기 용매, 적어도 1종의 착화제, 임의적으로 적어도 1종의 계면활성제, 및 임의적으로 물을, 제작시 또는 사용 시점에 추가의 물과 합하기 위해 포함할 수 있다. 키트의 용기는 상기 제거 조성물을 저장하고 선적(shipping)하기에 적합할 수 있고, 예를 들면, 나우팩(NOWPak: 등록상표) 용기[어드밴스드 테크놀로지 머티리얼스 인크.(Advanced Technology Materials, Inc.), 미국 코넥티컷주 댄버리 소재]이다. Accordingly, another aspect relates to a kit comprising one or more ingredients in one or more containers adapted to form the compositions described herein. The kits may comprise at least one basic salt, at least one organic solvent, at least one complexing agent, optionally at least one surfactant, and optionally water, in one or more containers, And may be included to combine with additional water. The container of the kit may be adapted to store and ship the removal composition and may include, for example, a NOWPak (R) container (Advanced Technology Materials, Inc., Danbury, Connecticut, USA].

제거 조성물의 성분들을 포함하는 하나 이상의 용기는 바람직하게는 블렌딩 및 분배를 위해 상기 하나 이상의 용기중의 성분들을 유체 소통되도록 하는 수단을 포함한다. 예를 들면, 나우팩(등록상표) 용기에 있어서, 기체 압력이 상기 하나 이상의 용기에서 라이너(liner)에 적용되어 라이너의 내용물중 적어도 일부가 방출되도록 하고, 이에 따라 블렌딩 및 분배를 위한 유체 소통을 가능하게 한다. 다르게는, 기체 압력은 종래의 가압성 용기의 두부(head) 공간에 적용될 수 있거나 펌프가 사용되어 유체 소통을 가능하게 한다. 또한, 이러한 시스템은 바람직하게는 블렌딩된 제거 조성물을 공정 장비에 분배하기 위한 분배 포트를 포함한다.The one or more vessels comprising the components of the stripping composition preferably comprise means for fluid communication of the components in the one or more vessels for blending and dispensing. For example, in a NOWPAC (registered trade mark) container, gas pressure is applied to a liner in the one or more containers to cause at least a portion of the contents of the liner to be released, thereby providing fluid communication for blending and dispensing . Alternatively, the gas pressure may be applied to the head space of a conventional pressurized vessel, or a pump may be used to enable fluid communication. In addition, such a system preferably includes a dispensing port for dispensing the blended removal composition to process equipment.

실질적으로 화학적으로 불활성인, 불순물-부재의, 가용성 및 탄력성 중합체 필름 물질, 예컨대 고밀도 폴리에틸렌은, 상기 하나 이상의 용기를 위한 라이너를 제작하기 위해 사용된다. 바람직한 라이너 물질은 공압출 또는 차단 층을 필요로 하지 않고, 라이너에 위치된 성분들에 대한 순도 요건에 해로운 영향을 줄 수 있는 임의의 안료, UV 저해제, 또는 가공제 없이 처리된다. 바람직한 라이너 물질의 목록으로는 버진(virgin)(첨가제-부재) 폴리에틸렌, 버진 폴리테트라플루오로에틸렌(PTFE), 폴리프로필렌, 폴리우레탄, 폴리비닐리덴 클로라이드, 폴리비닐클로라이드, 폴리아세탈, 폴리스티렌, 폴리아크릴로니트릴, 폴리부틸렌 등을 포함하는 필름들이 포함된다. 이러한 라이너 물질의 바람직한 두께는 약 5 밀(0.005 인치) 내지 약 30 밀(0.030 인치)의 범위이고, 예를 들면 20 밀(0.020 인치)의 두께이다. A substantially chemically inert, impurity-free, soluble and resilient polymeric film material, such as high density polyethylene, is used to make the liner for the one or more containers. Preferred liner materials are processed without any pigments, UV inhibitors, or processing agents that may have a detrimental effect on the purity requirements for the components located in the liner without requiring a coextrusion or barrier layer. A preferred list of liner materials includes virgin (additive-free) polyethylene, virgin polytetrafluoroethylene (PTFE), polypropylene, polyurethane, polyvinylidene chloride, polyvinyl chloride, polyacetal, polystyrene, Rhenitrile, polybutylene, and the like. The preferred thickness of such a liner material is in the range of about 5 mils (0.005 inches) to about 30 mils (0.030 inches) and is, for example, 20 mils (0.020 inches) thick.

키트용 용기에 관하여, 하기 특허 및 특허 출원의 개시내용은 본원에 참고로 이들 각각의 전체가 인용된다: "초순수 액체에서 입자의 생성을 최소화하기 위한 장치 및 방법(APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS)"이란 명칭의 미국 특허 제7,188,644호; "반환가능하고 재사용가능한, 백-인-드럼 유체 저장 및 분배 용기 시스템(RETURNABLE AND REUSABLE, BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM)"이란 명칭의 미국 특허 제6,698,619호; "물질 블렌딩 및 분배를 위한 시스템 및 방법(SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION)"이라는 명칭으로 2007년 5월 9일자로 출원된 존 이.큐. 휴스(John E.Q. Hughes)의 미국 특허 출원 제60/916,966호; "물질 블렌딩 및 분배를 위한 시스템 및 방법(SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION)"이라는 명칭으로 2008년 5월 9일자로 출원된 어드밴스드 테크놀로지 머티리얼스 인크.의 PCT/US08/63276호.With regard to containers for kits, the disclosures of the following patents and patent applications are incorporated herein by reference in their entirety: " APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF " US Patent No. 7,188,644 entitled " PARTICLES IN ULTRAPURE LIQUIDS "; U. S. Patent No. 6,698, 619 entitled " RETURNABLE AND REUSABLE, BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM " &Quot; SYSTEM AND METHODS FOR MATERIAL BLENDING & DISTRIBUTION " filed on May 9, 2007, U.S. Patent Application No. 60 / 916,966 to John E. Q. Hughes; PCT / US08 / 63276, filed on May 9, 2008, entitled " SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION ".

마이크로전자 제조 작업에 적용될 경우, 본원에 기재된 세정 조성물은 마이크로전자 장치의 표면으로부터 잔여물(예를 들어, CMP-후 잔여물) 및/또는 오염물질을 세정하는데에 유용하게 사용된다. 중요하게는, 세정 조성물은 낮은-k 유전 물질을 손상시키거나 디바이스 표면 상의 금속 상호접속부를 부식시키지 않는다. 더욱이, 세정 조성물은 실리콘 또는 실리콘 물질을 쉽게 제거하지 않는다. 바람직하게는 세정 조성물은 잔여물 제거 이전에 장치 상에 존재하는 잔여물의 85% 이상, 더 바람직하게는 90% 이상, 더욱 더 바람직하게는 95% 이상, 가장 바람직하게는 99% 이상을 제거한다. When applied to microelectronic manufacturing operations, the cleaning compositions described herein are usefully used to clean residues (e.g., CMP-after-residues) and / or contaminants from the surface of a microelectronic device. Importantly, the cleaning composition does not damage the low-k dielectric material or corrode the metal interconnects on the device surface. Moreover, the cleaning composition does not easily remove silicon or silicon material. Preferably, the cleaning composition removes at least 85%, more preferably at least 90%, even more preferably at least 95%, and most preferably at least 99% of the residues present on the device prior to removal of the residue.

CMP-후 잔여물 및 오염물질 세정 분야에서, 세정 조성물은 매우 다양한 종래의 세정 장비, 예컨대 메가소닉(megasonics) 및 브러쉬 스크러빙(brush scrubbing), 예컨대 제한되지 않지만, 베르테크 싱글 웨이퍼 메가소닉 골드핑거(Verteq single wafer megasonic Goldfinger), 온트랙 시스템스(OnTrak systems) DDS(이중면 스크러버), SEZ 또는 다른 단일 웨이퍼 분사 세척, 어플라이드 머티리얼스 미라-메사(Applied Materials Mirra-Mesa: 등폭상표)/리플렉시온(Reflexion: 등록상표)/리플렉시온 LK(등록상표) 및 메가소닉 배치 습식 벤치 시스템과 함께 사용될 수 있다.In the field of CMP-post-residue and contaminant cleaning, the cleaning composition can be applied to a wide variety of conventional cleaning equipment, such as megasonics and brush scrubbing, including but not limited to VERTEC single wafer megasonic gold fingers Verteq single wafer megasonic Goldfinger, OnTrak systems DDS, SEZ or other single wafer spray cleaning, Applied Materials Mirra-Mesa (trademark) / Reflexion Reflexion: registered trademark) / Reflexion LK (registered trademark) and megasonic batch batch wet bench systems.

CMP-후 잔여물, 에칭-후 잔여물, 회분화-후 잔여물 및/또는 오염물질이 상부에 있는 마이크로전자 장치로부터 이들을 세정하기 위해 본원에 기재된 조성물을 사용함에 있어서, 세정 조성물은 전형적으로 약 5 초 내지 약 10 분, 바람직하게는 약 1 초 내지 20 분, 바람직하게는 약 15 초 내지 약 5 분의 시간 동안 약 20℃ 내지 약 90℃, 바람직하게는 약 20℃ 내지 약 50℃ 범위의 온도에서 장치와 접촉된다. 이러한 접촉 시간 및 온도는 예시적이고, 방법의 광범위한 실행내에서 CMP-후 잔여물/오염물질을 장치로부터 적어도 부분적으로 세정하기 위해 효과적인 임의의 다른 적합한 시간 및 온도 조건이 이용될 수 있다. "적어도 부분적으로 세정" 및 "실질적인 제거"는 둘다 잔여물 제거 이전에 장치에 존재하는 잔여물의 85% 이상, 더 바람직하게는 90% 이상, 더욱 더 바람직하게는 95% 이상, 가장 바람직하게는 99% 이상의 제거에 상응한다.In using the compositions described herein to clean them from CMP-post-residue, post-etch residue, post-distillation residue and / or contaminant microelectronic devices on top, the cleaning composition typically comprises about Deg.] C to about 90 [deg.] C, preferably from about 20 [deg.] C to about 50 [deg.] C for a time of from about 5 seconds to about 10 minutes, preferably from about 1 second to about 20 minutes, Lt; RTI ID = 0.0 > temperature. Such contact times and temperatures are exemplary and any other suitable time and temperature conditions effective to at least partially clean the CMP-residue / contaminants from the apparatus within a wide range of implementations of the method may be employed. At least partially cleaned " and " substantial removal " both require at least 85%, more preferably at least 90%, even more preferably at least 95%, most preferably at least 99% of the residues present in the device prior to residue removal. % ≪ / RTI >

원하는 세정 작용을 달성한 후, 세정 조성물은, 본원에 기재된 조성물의 소정의 최종 사용 적용시 요망되고 효과적인 경우, 이것이 이전에 적용된 장치로부터 쉽게 제거될 수 있다. 바람직하게는, 세척 용액은 탈이온수를 포함한다. 이후, 장치는 질소 또는 회전-건조 사이클을 사용하여 건조될 수 있다. After achieving the desired cleaning action, the cleaning composition can be easily removed from previously applied equipment if desired and effective at the desired end use application of the compositions described herein. Preferably, the cleaning solution comprises deionized water. The apparatus can then be dried using a nitrogen or spin-dry cycle.

본 발명의 조성물 및 방법의 이점으로는, 제한되지 않지만, 표면으로부터의 입자의 실질적인 제거, 표면, 부동화 금속, 예를 들어 구리 표면으로부터의 유기 및 금속성 잔여물의 실질적인 제거, 실질적으로 변형되지 않은 다공질의 낮은-k 유전성, 및 낮은 금속 표면 조도가 포함된다. 또한, 조성물은 바람직하게는 환경적으로 친화적이다.Advantages of the compositions and methods of the present invention include, but are not limited to, substantial removal of particles from the surface, substantial removal of organic and metallic residues from the surface, immobilized metals, e.g., copper surfaces, Low-k dielectric, and low metal surface roughness. In addition, the composition is preferably environmentally friendly.

역시 또 다른 양태는 본원에 기재된 방법에 따라 제조된 개선된 마이크로전자 장치, 및 이러한 마이크로전자 장치를 포함하는 제품에 관한 것이다.Yet another aspect relates to an improved microelectronic device made according to the methods described herein, and to an article of manufacture comprising such a microelectronic device.

또 다른 양태는 재생 세정 조성물에 관한 것이고, 여기서 세정 조성물은, 당분야의 숙련가에 의해 쉽게 결정되는 바와 같이, 세정 조성물이 수용할 수 있는 최대량으로 잔여물 및/또는 오염물질 적재량이 도달될 때까지 재생될 수 있다.Another aspect relates to a regenerative cleaning composition, wherein the cleaning composition can be applied to the cleaning composition until the residue and / or contaminant load reaches the maximum amount that the cleaning composition can accommodate, as readily determined by those skilled in the art Can be reproduced.

추가의 양태는 마이크로전자 장치를 포함하는 제품을 제작하는 방법에 관한 것이고, 이러한 방법은 잔여물 및 오염물질이 상부에 있는 마이크로전자 장치로부터 잔여물 및 오염물질을 세정하기에 충분한 시간 동안 마이크로전자 장치를 세정 조성물과 접촉시키는 단계; 및 본원에 기재된 세정 조성물을 사용하여 상기 마이크로전자 장치를 상기 제품내로 혼입하는 단계를 포함한다. A further aspect relates to a method of fabricating a product comprising a microelectronic device, the method comprising the steps of: providing a microelectronic device for a period of time sufficient to clean residues and contaminants from the microelectronic device Contacting the cleaning composition with a cleaning composition; And incorporating the microelectronic device into the article using the cleaning composition described herein.

또 다른 양태에서, CMP-후 잔여물 및 오염물질이 상부에 있는 마이크로전자 장치로부터 이를 제거하는 방법이 기재되고, 이러한 방법은 마이크로전자 장치를 CMP 슬러리로 폴리싱하는 단계; 마이크로전자 장치로부터 CMP-후 잔여물 및 오염물질을 제거하기에 충분한 시간 동안 적어도 1종의 염기성 염, 적어도 1종의 유기 용매, 적어도 1종의 착화제, 임의적으로 적어도 1종의 계면활성제, 및 물을 포함하거나, 이들로 구성되거나, 이들로 본질적으로 구성된 세정 조성물과 마이크로전자 장치를 접촉시켜 CMP-후 잔여물-함유 조성물을 형성하는 단계; 및 마이크로전자 장치의 실질적 세정에 영향을 주기에 충분한 시간 동안 마이크로전자 장치를 CMP-후 잔여물-함유 조성물과 연속적으로 접촉시키는 단계를 포함하고, 여기서 세정 조성물에는 아민 및 암모늄-함유 염, 예를 들어, 4차 암모늄 염기; 산화제; 플루오라이드-함유 공급원; 연마제 물질; 알칼리 토금속 염기; 및 이들의 조합물이 실질적으로 존재하지 않는다. In another aspect, a method is disclosed for removing CMP-after-residue and contaminants from a microelectronic device thereon, the method comprising: polishing the microelectronic device with a CMP slurry; At least one basic salt, at least one organic solvent, at least one complexing agent, optionally at least one surfactant, and at least one surfactant for a period of time sufficient to remove CMP- Contacting the microelectronic device with a cleaning composition comprising, consisting essentially of, or consisting essentially of water to form a CMP-after-residue-containing composition; And continuously contacting the microelectronic device with the residue-containing composition after CMP for a period of time sufficient to effect substantial cleaning of the microelectronic device, wherein the cleaning composition comprises an amine and an ammonium- For, quaternary ammonium base; Oxidant; A fluoride-containing source; Abrasive materials; Alkaline earth metal base; And combinations thereof are substantially absent.

또 다른 양태는 세정 조성물, 마이크로전자 장치 웨이퍼, 및 잔여물, 오염물질 및 이들의 조합물로 구성된 군에서 선택된 물질을 포함하는 제품에 관한 것이고, 여기서 세정 조성물은 적어도 1종의 염기성 염, 적어도 1종의 유기 용매, 적어도 1종의 착화제, 임의적으로 적어도 1종의 계면활성제, 및 물을 포함하고, 잔여물은 CMP-후 잔여물, 에칭-후 잔여물 및 회분화-후 잔여물중 적어도 하나를 포함한다. Another aspect relates to an article of manufacture comprising a cleaning composition, a microelectronic device wafer, and a material selected from the group consisting of residues, contaminants, and combinations thereof, wherein the cleaning composition comprises at least one basic salt, at least one At least one complexing agent, optionally at least one surfactant, and water, wherein the remainder comprises at least one of CMP-post-residue, post-etch-residue, and post-sintering- One.

본 발명은 예시적인 실시태양 및 특징부에 관하여 본원에 다양하게 개시되었지만, 상기 기재된 실시태양 및 특징부는 본 발명을 제한하고자 하는 것이 아니고, 다른 변형, 변경 및 다른 실시태양은 본원의 개시내용에 기초하여 당분야의 숙련가에게 그 자체로 제안될 것이다. 따라서 본 발명은 이후 제시되는 특허청구범위의 취지 및 범주내에 모든 이러한 변형, 변경 및 대안의 실시태양을 내포하는 것으로 넓게 해석되어야 한다. While the present invention has been variously described herein with reference to exemplary embodiments and features, it is to be understood that the above-described embodiments and features are not intended to limit the invention, and other variations, modifications, and other embodiments may be made without departing from the teachings of the present disclosure And will be proposed to those skilled in the art per se. Accordingly, the invention should be broadly construed as embracing all such variations, modifications and alternative embodiments within the spirit and scope of the appended claims.

Claims (20)

1종 이상의 염기성 염, 1종 이상의 유기 용매, 0.1 중량% 내지 4 중량%의 양으로 존재하는 1종 이상의 착화제, 및 물을 포함하고,
1종 이상의 염기성 염이 수산화 세슘, 수산화 루비듐, 및 이들의 조합물로 구성된 군에서 선택되고, 1 중량% 내지 9 중량%의 양으로 존재하고,
아민, 암모늄-함유 염, 알칼리 토금속 염기, 또는 이들의 조합물이 2 중량% 미만으로 존재하는, 세정 조성물.
At least one basic salt, at least one organic solvent, at least one complexing agent present in an amount of from 0.1 wt% to 4 wt%, and water,
At least one basic salt is selected from the group consisting of cesium hydroxide, rubidium hydroxide, and combinations thereof, and is present in an amount of from 1 wt% to 9 wt%
Amine, an ammonium-containing salt, an alkaline earth metal base, or a combination thereof is present in an amount of less than 2% by weight.
삭제delete 제1항에 있어서,
1종 이상의 염기성 염이 수산화 세슘을 포함하는, 세정 조성물.
The method according to claim 1,
Wherein the at least one basic salt comprises cesium hydroxide.
제1항 또는 제3항에 있어서,
1종 이상의 유기 용매가 글리콜, 설폰 또는 이들의 조합물을 포함하는, 세정 조성물.
The method according to claim 1 or 3,
Wherein the at least one organic solvent comprises glycol, sulfone, or combinations thereof.
제4항에 있어서,
1종 이상의 유기 용매가 에틸렌 글리콜, 프로필렌 글리콜, 네오펜틸 글리콜, 글리세린, 디에틸렌 글리콜, 디프로필렌 글리콜, 1,4-부탄디올, 2,3-부틸렌 글리콜, 1,3-펜탄디올, 1,4-펜탄디올, 1,5-펜탄디올, 3-메틸-1,5-펜탄디올, 테트라메틸렌 설폰(설폴란), 디메틸 설폰, 디에틸 설폰, 비스(2-하이드록시에틸) 설폰, 메틸 설폴란, 에틸 설폴란, 및 이들의 조합물로 구성된 군에서 선택된 종을 포함하는, 세정 조성물.
5. The method of claim 4,
Wherein the at least one organic solvent is selected from the group consisting of ethylene glycol, propylene glycol, neopentyl glycol, glycerin, diethylene glycol, dipropylene glycol, 1,4-butanediol, 2,3-butylene glycol, (2-hydroxyethyl) sulfone, methylsulfolane (methylsulfolane), diethylsulfone, diethylsulfone, diethylsulfone, , Ethylsulfolane, and combinations thereof. ≪ Desc / Clms Page number 13 >
제4항에 있어서,
1종 이상의 유기 용매가 에틸렌 글리콜, 프로필렌 글리콜, 글리세린, 테트라메틸렌 설폰, 및 이들의 조합물로 구성된 군에서 선택된 종을 포함하는, 세정 조성물.
5. The method of claim 4,
Wherein the at least one organic solvent comprises a species selected from the group consisting of ethylene glycol, propylene glycol, glycerin, tetramethylene sulfone, and combinations thereof.
제1항 또는 제3항에 있어서,
1종 이상의 착화제가 에틸렌디아민테트라아세트산(EDTA), 1,2-사이클로헥산디아민-N,N,N',N'-테트라아세트산(CDTA), 글리신, 아스코브산, 이미노디아세트산(IDA), 니트릴로트리아세트산, 알라닌, 아르기닌, 아스파라긴, 아스파르트산, 시스테인, 글루탐산, 글루타민, 히스티딘, 이소로이신, 로이신, 라이신, 메티오닌, 페닐알라닌, 프롤린, 세린, 트레오닌, 트립토판, 티로신, 발린, 갈산, 붕산, 아세트산, 아세톤 옥심, 아크릴산, 아디프산, 베테인(betaine), 디메틸 글리옥심, 폼산, 푸마르산, 글루콘산, 글루타르산, 글리세르산, 글리콜산, 글리옥실산, 이소프탈산, 이타콘산, 락트산, 말레산, 무수 말레산, 말산, 말론산, 만델산, 2,4-펜탄디온, 페닐아세트산, 프탈산, 프롤린, 프로피온산, 파이로카테콜, 파이로멜리트산, 퀸산, 솔비톨, 석신산, 타르타르산, 테레프탈산, 트리멜리트산, 트리메스산, 티로신, 자일리톨, 1,5,9-트리아자사이클로도데칸-N,N',N"-트리스(메틸렌포스폰산)(DOTRP), 1,4,7,10-테트라아자사이클로도데칸-N,N',N",N"'-테트라키스(메틸렌포스폰산)(DOTP), 니트릴로트리스(메틸렌)트리포스폰산, 디에틸렌트리아민펜타(메틸렌포스폰산)(DETAP), 아미노트리(메틸렌포스폰산), 1-하이드록시에틸리덴-1,1-디포스폰산(HEDP), 비스(헥사메틸렌)트리아민 포스폰산, 1,4,7-트리아자사이클로노난-N,N',N"-트리스메틸렌포스폰산(NOTP), 이의 염, 및 이들의 조합물로 구성된 군에서 선택된 종을 포함하는, 세정 조성물.
The method according to claim 1 or 3,
At least one complexing agent is selected from the group consisting of ethylenediaminetetraacetic acid (EDTA), 1,2-cyclohexanediamine-N, N, N ', N'-tetraacetic acid (CDTA), glycine, ascorbic acid, iminodiacetic acid (IDA) But are not limited to, nitrilotriacetic acid, alanine, arginine, asparagine, aspartic acid, cysteine, glutamic acid, glutamine, histidine, isoleucine, leucine, lysine, methionine, phenylalanine, proline, serine, threonine, tryptophan, tyrosine, valine, But are not limited to, acetone oxime, acrylic acid, adipic acid, betaine, dimethylglyoxime, formic acid, fumaric acid, gluconic acid, glutaric acid, glyceric acid, glycolic acid, glyoxylic acid, isophthalic acid, Examples of the acid addition salts include salts of organic acids such as acetic acid, anhydrous maleic acid, malic acid, malonic acid, mandelic acid, 2,4-pentanedione, phenylacetic acid, phthalic acid, proline, propionic acid, pyrocatechol, pyromellitic acid, quinic acid, sorbitol, succinic acid, , N, N ', N''- tris (methylenephosphonic acid) (DOTRP), 1,4,7,10-tetramethylcyclohexanecarboxylic acid, (DOTP), nitrilotris (methylene) triphosphonic acid, diethylenetriamine penta (methylenephosphonic acid) (methylenephosphonic acid) DETAP), aminotri (methylenephosphonic acid), 1-hydroxyethylidene-1,1-diphosphonic acid (HEDP), bis (hexamethylene) triaminephosphonic acid, 1,4,7-triazacyclononane Wherein the cleaning composition comprises species selected from the group consisting of N, N ', N " -trismethylene phosphonic acid (NOTP), salts thereof, and combinations thereof.
제7항에 있어서,
1종 이상의 착화제가 이미노디아세트산(IDA), 갈산, 붕산, HEDP, 또는 이들의 조합물을 포함하는, 세정 조성물.
8. The method of claim 7,
Wherein the at least one complexing agent comprises iminodiacetic acid (IDA), gallic acid, boric acid, HEDP, or a combination thereof.
삭제delete 제1항에 있어서,
(a) 수산화 세슘, 글리세린, 이미노디아세트산 및 물, (b) 수산화 세슘, 글리세린, 붕산 및 물, (c) 수산화 세슘, 프로필렌 글리콜, 갈산 및 물, (d) 수산화 세슘, 에틸렌 글리콜, 이미노디아세트산 및 물, (e) 수산화 세슘, 프로필렌 글리콜, 붕산, 및 물, 및 (f) 수산화 세슘, HEDP, 테트라메틸렌 설폰, 붕산, 및 물로 구성된 군에서 선택되는 세정 조성물.
The method according to claim 1,
(b) cesium hydroxide, glycerin, boric acid and water; (c) cesium hydroxide, propylene glycol, gallic acid and water, (c) cesium hydroxide, ethylene glycol, Wherein the cleaning composition is selected from the group consisting of acetic acid and water, (e) cesium hydroxide, propylene glycol, boric acid, and water, and (f) cesium hydroxide, HEDP, tetramethylene sulfone, boric acid, and water.
삭제delete 제1항 또는 제3항에 있어서,
약 10:1 내지 약 1000:1의 범위로 희석되는 세정 조성물.
The method according to claim 1 or 3,
Wherein the cleaning composition is diluted to a range of about 10: 1 to about 1000: 1.
삭제delete 삭제delete 제1항 또는 제3항에 있어서,
pH가 약 8 내지 약 14의 범위인 세정 조성물.
The method according to claim 1 or 3,
wherein the pH is in the range of about 8 to about 14.
하나 이상의 용기에 세정 조성물을 형성하기 위한 하나 이상의 시약을 포함하고, 상기 하나 이상의 시약은 1종 이상의 염기성 염; 1종 이상의 유기 용매; 및 1종 이상의 착화제를 포함하고, 상기 하나 이상의 시약은 1종 이상의 계면활성제를 추가로 포함하거나 포함하지 않고, 제1항 또는 제3항의 세정 조성물을 형성하도록 사용되는, 키트.At least one reagent for forming a cleaning composition in one or more containers, wherein the at least one reagent comprises at least one basic salt; At least one organic solvent; And one or more complexing agents, wherein the at least one reagent is used to form the cleaning composition of claim 1 or 3, with or without one or more surfactants. 잔여물 및 오염물질이 상부에 있는 마이크로전자 장치로부터 이러한 잔여물 및 오염물질을 적어도 부분적으로 세정하기에 충분한 시간 동안 마이크로전자 장치를 제1항 또는 제3항의 세정 조성물과 접촉시키는 단계를 포함하는, 잔여물 및 오염물질이 상부에 있는 마이크로전자 장치로부터 이러한 잔여물 및 오염물질을 제거하는 방법.Contacting the microelectronic device with the cleaning composition of claim 1 or 3 for a time sufficient to at least partially clean such residues and contaminants from the microelectronic device at the top of the residue and contaminants. A method for removing such residues and contaminants from a microelectronic device having residues and contaminants thereon. 삭제delete 삭제delete 삭제delete
KR1020147013154A 2011-10-21 2011-10-21 Non-amine post-cmp composition and method of use KR101914817B1 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2011/057287 WO2013058770A1 (en) 2011-10-21 2011-10-21 Non-amine post-cmp composition and method of use

Publications (2)

Publication Number Publication Date
KR20140082816A KR20140082816A (en) 2014-07-02
KR101914817B1 true KR101914817B1 (en) 2018-12-28

Family

ID=48141217

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147013154A KR101914817B1 (en) 2011-10-21 2011-10-21 Non-amine post-cmp composition and method of use

Country Status (4)

Country Link
EP (1) EP2768920A4 (en)
KR (1) KR101914817B1 (en)
CN (2) CN105869997A (en)
WO (1) WO2013058770A1 (en)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102118964B1 (en) 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Compositions for cleaning iii-v semiconductor materials and methods of using same
CN105102584B (en) 2013-03-04 2018-09-21 恩特格里斯公司 Composition and method for selective etch titanium nitride
SG11201509933QA (en) 2013-06-06 2016-01-28 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
CN105849245B (en) 2013-10-21 2020-03-13 富士胶片电子材料美国有限公司 Cleaning formulation for removing residues on surfaces
KR102134577B1 (en) * 2013-11-12 2020-07-16 주식회사 동진쎄미켐 Composition for post cmp cleaning
KR20190035959A (en) 2013-12-06 2019-04-03 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. Cleaning formulation for removing residues on surfaces
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
JP6776125B2 (en) 2013-12-20 2020-10-28 インテグリス・インコーポレーテッド Use of non-oxidizing strong acids for removal of ion-implanted resists
WO2015103146A1 (en) 2013-12-31 2015-07-09 Advanced Technology Materials, Inc. Formulations to selectively etch silicon and germanium
TWI659098B (en) 2014-01-29 2019-05-11 美商恩特葛瑞斯股份有限公司 Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
US10752867B2 (en) 2018-03-28 2020-08-25 Fujifilm Electronic Materials U.S.A., Inc. Cleaning compositions
CN115612573B (en) * 2022-09-05 2023-10-13 圣戈班汇杰(杭州)新材料有限公司 Adhesive removing agent formula for curing silicone adhesive and application method of adhesive removing agent formula

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000044034A1 (en) * 1999-01-25 2000-07-27 Speedfam-Ipec Corporation Methods and cleaning solutions for post-chemical mechanical polishing
US6350393B2 (en) * 1999-11-04 2002-02-26 Cabot Microelectronics Corporation Use of CsOH in a dielectric CMP slurry
WO2003104901A2 (en) * 2002-06-07 2003-12-18 Mallinckrodt Baker Inc. Microelectronic cleaning and arc remover compositions
EP1520211A2 (en) * 2002-06-07 2005-04-06 Mallinckrodt Baker, Inc. Microelectronic cleaning compositions containing oxidizers and organic solvents
US6887597B1 (en) * 2004-05-03 2005-05-03 Prestone Products Corporation Methods and composition for cleaning and passivating fuel cell systems
KR101444468B1 (en) * 2005-10-05 2014-10-30 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Oxidizing aqueous cleaner for the removal of post-etch residues
CN101421386B (en) * 2005-10-13 2011-08-10 高级技术材料公司 Metals compatible photoresist and/or sacrificial antireflective coating removal composition
US20070225186A1 (en) * 2006-03-27 2007-09-27 Matthew Fisher Alkaline solutions for post CMP cleaning processes
US20100056410A1 (en) * 2006-09-25 2010-03-04 Advanced Technology Materials, Inc. Compositions and methods for the removal of photoresist for a wafer rework application
JP2009069505A (en) 2007-09-13 2009-04-02 Tosoh Corp Cleaning solution and cleaning method for removing resist
WO2010098899A1 (en) * 2009-02-25 2010-09-02 Mallinckrodt Baker, Inc. Multipurpose acidic, organic solvent based microelectronic cleaning composition
US8754021B2 (en) * 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
US7846265B1 (en) * 2009-10-13 2010-12-07 Xerox Corporation Media path universal cleaning fluid composition

Also Published As

Publication number Publication date
EP2768920A1 (en) 2014-08-27
WO2013058770A1 (en) 2013-04-25
CN105869997A (en) 2016-08-17
CN103958640A (en) 2014-07-30
CN103958640B (en) 2016-05-18
KR20140082816A (en) 2014-07-02
EP2768920A4 (en) 2015-06-03

Similar Documents

Publication Publication Date Title
KR101914817B1 (en) Non-amine post-cmp composition and method of use
US9340760B2 (en) Non-amine post-CMP composition and method of use
US10557107B2 (en) Post chemical mechanical polishing formulations and method of use
TWI498422B (en) New antioxidants for post-cmp cleaning formulations
USRE46427E1 (en) Antioxidants for post-CMP cleaning formulations
KR20170137702A (en) After chemical and mechanical polishing, formulations and methods of use
KR20110086092A (en) Copper cleaning and protection formulations
TWI743026B (en) Non-amine post-cmp compositions and method of use
TWI558810B (en) Non-amine post-cmp composition and method of use

Legal Events

Date Code Title Description
N231 Notification of change of applicant
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant