CN103958640B - Without compoistion and method of use after amine CMP - Google Patents

Without compoistion and method of use after amine CMP Download PDF

Info

Publication number
CN103958640B
CN103958640B CN201180075099.6A CN201180075099A CN103958640B CN 103958640 B CN103958640 B CN 103958640B CN 201180075099 A CN201180075099 A CN 201180075099A CN 103958640 B CN103958640 B CN 103958640B
Authority
CN
China
Prior art keywords
acid
residue
cleasing compositions
weight
combination
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201180075099.6A
Other languages
Chinese (zh)
Other versions
CN103958640A (en
Inventor
杰弗里·A·巴尼斯
刘俊
张鹏
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Mykrolis Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mykrolis Corp filed Critical Mykrolis Corp
Priority to CN201610274374.1A priority Critical patent/CN105869997A/en
Publication of CN103958640A publication Critical patent/CN103958640A/en
Application granted granted Critical
Publication of CN103958640B publication Critical patent/CN103958640B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/044Hydroxides or bases
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/06Hydroxides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5009Organic solvents containing phosphorus, sulfur or silicon, e.g. dimethylsulfoxide
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5022Organic solvents containing oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2003Alcohols; Phenols
    • C11D3/2041Dihydric alcohols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2003Alcohols; Phenols
    • C11D3/2065Polyhydric alcohols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2068Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/34Organic compounds containing sulfur
    • C11D3/3454Organic compounds containing sulfur containing sulfone groups, e.g. vinyl sulfones
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/261Alcohols; Phenols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/263Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Organic Chemistry (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)

Abstract

The present invention relates to have from it Cleasing compositions and the method for clean described residue and pollutant on the microelectronic component of residue and pollutant after chemically mechanical polishing (CMP). Described Cleasing compositions does not contain amine and ammonium-containing compound substantially as quaternary ammonium base. Described composition has been realized residue and contaminated materials from the apparent height of described microelectronic component cleans described CMP effectively, and can not damage low-k dielectric material or copper-connection material.

Description

Without compoistion and method of use after amine CMP
Technical field
The present invention relates generally to the microelectronics device for thering is from it residue and/or pollutantThe composition of clean described residue and/or pollutant on part.
Background technology
Microelectronic device wafer is used to form integrated circuit. Described microelectronic device wafer comprisesSubstrate, as silicon, has insulation, conduction or semiconductive character by the zone map of substrate with depositionDifferent materials.
In order to obtain appropriate patterning, must remove in the process that forms each layer on substrate and makeWith excess material. In addition, for manufacturing function and reliable circuit, importantly rearContinuous first being processed is prepared the microelectronic die surface of smooth or plane. Therefore, need to remove and/orSome surface of polish microelectronic device wafer.
Chemically mechanical polishing or complanation (" CMP ") be wherein by material from microelectronic componentThe surface of wafer remove and by physical method as ground with chemical method as oxidation or the connection of chelatingBe used for the process on the described surface of polishing (more specifically complanation). With its most basic form,CMP comprises and uses slurry if the solution of abrasive material and active chemical is to polishing pad, polishing microelectronicsThe surface of device wafer is to complete removal, complanation and polishing process. By pure physical action orThe removal that pure chemical action forms or polishing process unsatisfactory, but need bothSynergistic combination is removed to realize rapidly and uniformly. In the manufacture of integrated circuit, CMP slurry shouldThis can also preferentially remove the film of the composite bed that comprises metal and other materials, thereby can give birth toBecome the surface of elevation plane to be used for photoetching or patterning, etching and processing film subsequently.
Recently, copper is day by day in integrated circuit metal interconnected. Be usually used in micro-electricityIn sub-device manufacture, in the metallized copper inlaying process of circuit, must be removed also flattenedLayer comprises the thickness that has the copper layer of the about thickness of 1~1.5mm and have approximately 0.05~0.15 μ mCopper crystal seed layer. These copper layers pass through typically approximatelyThick spacer material layer and dielectric materialMaterial surface separates, and this prevents that copper is diffused in oxide dielectric material. After polishing at waferA key that obtains excellent homogeneity on surface is to use has appropriate removal to various materialsOptionally CMP slurry.
Above-mentionedly comprise the preparation of wafer substrates surface, deposition, plating, etching and chemically mechanical polishingProcess operation need in many aspects clean operation to ensure that microelectronic device products is containing any dirtDye thing, not so described pollutant will deleteriously affect product function or even make it be used forIts predetermined function. The particle of these pollutants is often less than 0.3 μ m.
A particular problem is in this respect after CMP processing, to stay microelectronic component liningResidue at the end. This class residue comprises CMP material and corrosion inhibitor compound as benzoTriazole (BTA). If be not removed, these residues may cause that copper cash damages or makesCopper metallization is seriously coarse, and causes bad glues of the after-applied layer of CMP in device substrate. The serious coarse of copper metallization is a problem especially, because excessively coarse copper can cause micro-The bad electric property of electronic device product.
Microelectronic component is produced another common residue generative process and is comprised gas phase plasmaEtching, it is in order to upper to layer below by the design transfer of the photoresist coating of developing, instituteThe layer of stating below can be by hard mask layer, interlayer dielectric (ILD) layer and etch stop layer groupBecome. May comprise be present on substrate and plasma gas in chemical element gas phase etc. fromDaughter post-etch residue be typically deposited on back-end process (BEOL) if in structure and not byRemove, may hinder silication or contact subsequently to form. Conventional cleaning chemistry product often damage ILD,Absorb in the hole of ILD and increase thus dielectric constant, and/or corroding metal structure.
Summary of the invention
The present invention relates generally to the clean institute of microelectronic component from it with residue and pollutantState composition and the method for residue and/or pollutant. Cleasing compositions of the present invention does not contain substantiallyAmine and ammonium material. Described residue can comprise residue after CMP, after etching and/or after ashing.
On the one hand, described and comprised at least one basic salt, at least one organic solvent, at leastA Cleasing compositions for complexing agent and water, wherein said composition is not substantially containing amine and ammonium salt-containing.
On the other hand, described substantially by least one basic salt, at least one organic solvent,The Cleasing compositions of at least one complexing agent and water composition, wherein said composition is not substantially containing amineAnd ammonium salt-containing.
Another aspect, has described by least one basic salt, at least one organic solvent, at leastA Cleasing compositions for complexing agent and water composition, wherein said composition does not substantially contain amine and containsAmmonium salt.
Relate on the other hand kit, it is included in being used to form clearly in one or more containersOne or more following reagent of cleansing composition, described one or more reagent are selected from: at least onePlant basic salt; At least one organic solvent; At least one chelating agent; With optional at least one tableSurface-active agent; Wherein said kit is applicable to form described composition.
Relate in one aspect to again described in the microelectronic component from it with residue and pollutant removesThe method of residue and pollutant, described method comprises makes described microelectronic component and clean combinationThing contact time enough with from described microelectronic component at least in part clean described residue andPollutant, wherein said Cleasing compositions comprises at least one basic salt; At least one is organic moltenAgent; At least one chelating agent; Optional at least one surfactant; And water.
By following discloses content and claims, other aspect, feature and advantage will be moreAdd apparent.
Detailed description of the invention
The present invention relates generally to the microelectronic component that can be used for having from it residue and pollutantRemove the composition of described material. Described composition is particularly useful for removing after CMP, after etchingOr residue after ashing.
For the ease of mentioning, " microelectronic component " corresponding to Semiconductor substrate, flat-panel monitor,Phase transformation storage device, solar panel and comprise solar energy substrate, photocell and microelectromechanicpositioningOther products of system (MEMS), it is produced for microelectronics, integrated circuit or calculatingMachine chip application. Solar energy substrate include but not limited to silicon, non-crystalline silicon, polysilicon, monocrystalline silicon,CdTe, copper indium diselenide, copper sulfide indium and the GaAs on gallium. Described solar energy substrate is passableFor doping or undoped. Should understand term " microelectronic component " not wants by any wayLimited, finally will be become any substrate of microelectronic component or micromodule but comprise.
While use in this article, " residue " is corresponding to including but not limited to plasma erosionThe microelectronic component production period of quarter, ashing, chemically mechanical polishing, Wet-type etching and combination thereofThe particle producing.
While use in this article, " pollutant " corresponding to the chemicals existing in CMP slurry,The byproduct of reaction of polishing slurries, the chemicals existing in Wet-type etching composition, wet type erosionCarve the byproduct of reaction of composition and as CMP process, Wet-type etching, plasma etching orAny other material of the accessory substance of plasma ashing process.
While use in this article, " residue after CMP " is corresponding to the particle from polishing slurriesAs the reaction pair of the particle that contains silica, the chemicals existing in this slurry, polishing slurriesProduct, rich carbon particle, polishing pad particle, brushing off-load (brushdeloading) particle, structureEquipment and materials, copper, cupric oxide, the organic residue of granulation (constructionparticle)Any other material with the accessory substance as CMP process.
As definition in this article, " low-k dielectric material " is corresponding in stratiform microelectronic componentAny material using as dielectric material, wherein said material has that to be less than approximately 3.5 dielectric normalNumber. Preferably, described low-k dielectric material comprises low polar material, such as siliceous organic polymerThing, containing silicon hybridization organic/inorganic materials, organic silicate glass (OSG), TEOS, fluoroOxide (CDO) glass of silicate glass (FSG), silica and carbon doping. Ying LiaoSeparate described low-k dielectric material and can there is different density and different porositys.
As definition in this article, " complexing agent " comprises art technology person personnel and is interpreted as complexingThose compounds of agent, chelating agent and/or screening agent. Complexing agent will use as herein described with wishThe metallic atom that composition is removed and/or metal ion chemical bond or physics are kept described metal admittedly hereAtom and/or metal ion.
As in this article definition, term " barrier material " corresponding in the art for sealingMetal wire as copper-connection (copperinterconnect) so that as described in metal if copper is to dielectric materialThe minimized any material of diffusion. Preferred barrier material comprise tantalum, titanium, ruthenium, hafnium,Tungsten, other refractory metals and their nitride and silicide with and combination.
As definition in this article, " post-etch residue " is corresponding at gas phase plasma etchingProcess is as residual material after the processing of BEOL dual-metal inserting or Wet-type etching process. InstituteStating post-etch residue can be organic residue, organic metal residue, organosilicon residueOr in essence inorganic residue as material, carbon back organic material and etching gas residue asOxygen and fluorine.
As definition in this article, when " residue after ashing " uses in this article corresponding at oxygenChange or reduce plasma ashing to remove the photoresist of sclerosis and/or the anti-reflective coating of bottomResidual material after layer (BARC) material. After described ashing, residue can be organic residueThing, organic metal residue, organosilicon residue or in essence inorganic residue.
" substantially not containing " be defined as in this article by the gross weight of composition be less than 2 % by weight,Preferably be less than 1 % by weight, be more preferably less than 0.5 % by weight and be most preferably less than 0.1 % by weight.
While use in this article, " approximately " be intended to corresponding to described value ± 5%.
While use in this article, the microelectronic component from it with residue and pollutant is clean" adaptability " of described residue and pollutant is corresponding to from this microelectronic component at least in partRemove described residues/contaminants. Cleaning efficiency comes by the minimizing of object on microelectronic componentEvaluate. For example, clean front analysis and clean post analysis can use AFM to enterOK. Particle on sample can be registered as pixel coverage. (for example, can apply histogramSigmaScanPro) with a certain intensity as 231~235 filtered pixels and count number of particles. GrainSub-minimizing can be used following formula to calculate:
It should be noted that the method for determining cleaning efficiency only provides as an example, and not thinkTo be limited it. Or, the summary table that cleaning efficiency can be considered as being covered by particle matterThe percentage of face. For example, AFM can be programmed carry out z-plane scanning to identify a certainTarget landform region on height threshold and calculate subsequently the summary table being covered by described target areaThe area of face. Those skilled in the art will be covered by described target area after should be readily appreciated that and cleaningArea less, the efficiency of Cleasing compositions is higher. Preferably, use combination as herein describedThing is removed at least 75% residues/contaminants from microelectronic component, more preferably at least 90%,Even more preferably at least 95% and most preferably at least 99% residues/contaminants is removed.
As below more fully described, composition as herein described can be with various concrete preparationsEmbody.
In all these based compositions, wherein with regard to the percetage by weight model of the concrete component of compositionEnclose it is discussed, described scope comprises 0 lower limit, should be understood that such component existsIn the various detailed description of the invention of described composition, may exist or not exist, and existingState in the situation of component, they may be to adopt the gross weight of composition of such component lowConcentration to 0.001 % by weight exists.
Described Cleasing compositions comprise following material, by following material form or substantially by belowMaterial composition: at least one basic salt, at least one organic solvent, at least one complexing agent,Water and optional at least one surfactant. Preferred described water is deionized water. Described clean groupCompound is particularly useful for residue contamination and pollutant, for example residual after residue, etching after CMPStay residue and the pollutant from microelectronic component structure after thing, ashing.
In one embodiment, described Cleasing compositions comprise following material, by following materialComposition or substantially formed by following material: at least one basic salt, at least one organic solvent,At least one complexing agent and water. In another embodiment, described Cleasing compositions comprises followingMaterial, form or substantially formed by following material by following material: at least one basic salt,At least one organic solvent, at least one complexing agent, water and at least one surfactant. ?In another embodiment, described Cleasing compositions comprises following material, formed by following material orSubstantially formed by following material: at least one basic salt, at least one organic solvent, at leastTwo kinds of complexing agents and water.
No matter which kind of embodiment, described Cleasing compositions does not all contain amine and ammonium salt-containing, example substantiallyAs quaternary ammonium base. In addition, described composition before use, as before cleaning chemistry product preferably not withAt least one in lower material: oxidant; The source of containing fluoride; Grinding-material; Alkaline earthMetal base; Crosslinked organic polymer particle; And combination. In addition, described Cleasing compositionsShould not solidify to form polymer/solid, for example photoresist. For object of the present invention," amine " is defined as at least one primary amine, secondary amine or tertiary amine, ammonia and/or quaternary ammonium hydroxide chemical combinationThing (for example, ammonium hydroxide, alkyl ammonium hydroxide, hydroxide alkylaryl ammonium etc.), its limitCondition processed is: material that (i) amide group, (ii) comprise hydroxy-acid group and amido,(iii) surfactant that comprises amido and (iv) wherein amido be substituting group (for example, connectReceive aryl or heterocyclic moiety) material be not regarded as " amine " according to this definition. Amine formula canWith by NR1R2R3Represent wherein R1、R2And R3Can be same to each other or different to each other, and be selected from hydrogen,Straight or branched C1-C6Alkyl (for example, methyl, ethyl, propyl group, butyl, amyl group, hexyl),C6-C10Aryl (for example, benzyl), straight or branched C1-C6Alkanol (for example, methyl alcohol, secondAlcohol, propyl alcohol, butanols, amylalcohol, hexanol) and combination, its restrictive condition is R1、R2And R3Can not be hydrogen entirely. Quaternary phosphonium hydroxides ammonium compounds has general formula R1R2R3R4NOH, wherein R1、R2、R3And R4Be same to each other or different to each other and be hydrogen, C1-C6Alkyl (for example, methyl, ethyl, thirdBase, butyl, amyl group or hexyl) and substituted or unsubstituted C6-C10Aryl (for example,Benzyl); And alkanolamine.
For the object of composition as herein described and method, described at least one basic salt is passableComprise cesium hydroxide, rubidium hydroxide, potassium hydroxide and combination thereof, preferably cesium hydroxide and/or hydrogenRubidium oxide, even more preferably cesium hydroxide. Preferably select described at least one basic salt to makeFor example, even if composition as herein described also substantially maintains its initial pH after dilution several times, rareInitial pH ± 2pH the unit of pH=of releasing, the more preferably initial pH of pH=of dilution ±About 1pH unit.
Described at least one organic solvent is preferably polyalcohol, sulfone or its combination, described many thusUnit's alcohol can comprise at least one material that is selected from following material: ethylene glycol, propane diols, new pentaGlycol, glycerine (also referred to as glycerine), diethylene glycol, DPG, BDO, 2,3-Butanediol, 1,3-pentanediol, Isosorbide-5-Nitrae-pentanediol, 1,5-PD, 3-methyl isophthalic acid, 5-pentanediol andCombination. Described sulfone can comprise at least one material that is selected from following material: tetramethylene sulfone (ringFourth sulfone), dimethyl sulfone, diethyl sulfone, two (2-hydroxyethyl) sulfone, methyl sulfolane, secondBase sulfolane and combination thereof. Preferably described at least one organic solvent comprises as single solventTetramethylene sulfone, glycerine, propane diols, ethylene glycol or its any combination. Most preferably at least describedA kind of organic solvent is methylene sulfone.
Described complexing agent can comprise at least one in following material: ethylenediamine tetra-acetic acid(EDTA), 1,2-cyclohexane diamine-N, N, N', N'-tetraacethyl (CDTA), glycine, anti-Bad hematic acid, iminodiacetic acid (IDA), NTA, alanine, arginine, skyAsparagine, asparatate, cysteine, glutamic acid, glutamine, histidine, differentLeucine, leucine, lysine, methionine, phenylalanine, proline, serine, Soviet UnionPropylhomoserin, tryptophan, tyrosine, valine, gallic acid, boric acid, acetic acid, acetoxime,Acrylic acid, adipic acid, betaine, dimethyl glyoxime, formic acid, fumaric acid, gluconic acid,Glutaric acid, glyceric acid, glycolic, glyoxalic acid, M-phthalic acid, itaconic acid, lactic acid, horseCome sour, maleic anhydride, malic acid, malonic acid, mandelic acid, 2,4-pentanedione, phenylacetic acid,Phthalic acid, proline, propionic acid, catechol (pyrocatecol), PMA, KuiBuddhist nun's acid, D-sorbite, butanedioic acid, tartaric acid, terephthalic acid (TPA), trimellitic acid, benzene equal threeAcid, tyrosine, xylitol, 1,5,9-triazododecane-N, N', N " tri-(methylene phosphonic acids)(DOTRP), Isosorbide-5-Nitrae, 7,10-tetraazacyclododecanand-N, N', N ", N' " tetra-(methylene phosphonic acids)(DOTP), nitrilo-three (methylene) tri methylene phosphonic acid, diethylenetriamines five (methylene phosphineAcid) (DETAP), amino three (methylene phosphonic acids), HEDP(HEDP), two (hexa-methylene) triamine phosphonic acids, Isosorbide-5-Nitrae, 7-7-triazacyclononane-N, N', N "Three (methylene phosphonic acids) (NOTP), its salt and derivative, and combination. Preferably extremelyFew a kind of complexing agent comprise as the iminodiacetic acid of single complexing agent, boric acid, gallic acid,HEDP or its any combination. Most preferably described at least one complexing agent comprise boric acid, HEDP orThe combination of boric acid and HEDP.
The illustrative table surface-active agent using in composition as herein described includes but not limited to twoProperty salt, cationic surfactant, anion surfactant, fluoroalkyl surfactants,Non-ionic surface active agent and combination thereof, include but not limited to104、CF-21、UR、FSO-100、FSN-100,3MFluorad fluorine-containing surfactant (, FC-4430 and FC-4432),Dioctyl sulfosuccinate, 2,3-dimercapto-1-propane sulfonic acid salt, DBSA, poly-secondGlycol, polypropylene glycol, polyethylene glycol or polypropylene glycol ether, carboxylate, R1Benzene sulfonic acid or its salt(wherein, R1For straight or branched C8-C18Alkyl), amphipathic fluoride polymer, poly-second twoAlcohol, polypropylene glycol, polyethylene glycol or polypropylene glycol ether, carboxylate, DBSA,The poly-silica of polyacrylate polymers, dinonyl phenyl polyoxyethylene, polysiloxanes or modificationThe alkylammonium salt of acetylenic glycols, alkylammonium salt or the modification of alkane polymer, acetylenic glycols or modification, withAnd comprise at least one the combination in following material: above-mentioned surfactant, dodecyl sulphurAcid sodium, zwitterionic surfactant, aerosol-OT (AOT) and fluoro analog thereof,Alkylammonium, perfluoropolyether surfactants, 2-sulfosuccinate, alive based on phosphatic surfaceProperty agent, the surfactant based on sulphur and the polymer based on acetoacetic ester. In preferred enforcementIn mode, described surfactant comprises alkyl benzene sulphonate, more preferably DBSA.
The pH of Cleasing compositions as herein described is greater than 7, preferably in approximately 8~approximately 14 scope,More preferably in approximately 8~approximately 13 scope.
In a preferred embodiment, described Cleasing compositions comprise following material, by following materialComposition or substantially formed by following material: at least one basic salt, at least one organic solvent,At least two kinds of complexing agents and water. For example, described Cleasing compositions comprise following material, by belowMaterial composition or substantially formed by following material: CsOH, at least one organic solvent, at leastTwo kinds of complexing agents and water. In another embodiment, described Cleasing compositions comprise following material,Form or substantially formed by following material by following material: CsOH, sulfone, at least two kinds of complexingsAgent and water. In another embodiment, described Cleasing compositions comprise following material, by belowMaterial composition or be substantially made up of following material: CsOH, sulfone, phosphonic acids and at least one are in additionComplexing agent and water.
In particularly preferred embodiments, described Cleasing compositions comprise following material, by withLower material forms or is substantially made up of following material: (a) cesium hydroxide, glycerine, imidoBase oxalic acid and water; (b) cesium hydroxide, glycerine, boric acid and water; (c) cesium hydroxide,Propane diols, gallic acid and water; (d) cesium hydroxide, ethylene glycol, iminodiacetic acid andWater; (e) cesium hydroxide, propane diols, boric acid and water; (f) cesium hydroxide, HEDP,Tetramethylene sulfone, boric acid and water. In each case, described composition does not contain substantially: amine andAmmonium salt-containing, for example quaternary ammonium base; Oxidant; The source of fluoride; Grinding-material; Alkaline earth goldBelong to alkali; And combination.
The example of composition as herein described is selected from preparation A-R:
Preparation A:4.0 % by weight CsOH (50%), 12 % by weight ethylene glycol, 0.8 weight%IDA, 83.2 % by weight water, concentrated pH=12.22, the pH (30:1)=10.36 of dilution
Preparation B:7.1 % by weight CsOH (50%), 5 % by weight ethylene glycol, 1.6 % by weight IDA,86.3 % by weight water, concentrated pH=11.88, the pH (30:1)=10.27 of dilution
Formulation C: 5.7 % by weight CsOH (50%), 12 % by weight ethylene glycol, 0.8 % by weightIDA, 1 % by weight ascorbic acid, 80.5 % by weight water, concentrated pH=11.41, dilutionpH(30:1)=9.89
Preparation D:9.1 % by weight CsOH (50%), 12 % by weight ethylene glycol, 1.6 weight%IDA, 1 % by weight ascorbic acid, 76.3 % by weight water, concentrated pH=11.16, dilutionPH (30:1)=10.1
Preparation E:3.9 % by weight CsOH (50%), 5.0 % by weight glycerine, 0.8 % by weight IDA,90.3 % by weight water, concentrated pH=12.0, the pH (30:1)=10.16 of dilution
Preparation F:4.0 % by weight CsOH (50%), 12.0 % by weight glycerine, 0.8 % by weightIDA, 83.2 % by weight water, concentrated pH=11.1, the pH (30:1)=9.5 of dilution
Preparation G:7.1 % by weight CsOH (50%), 5.0 % by weight glycerine, 1.6 % by weight IDA,86.3 % by weight water, concentrated pH=11.5, the pH (30:1)=10.29 of dilution
Preparation H:5.7 % by weight CsOH (50%), 12.0 % by weight glycerine, 0.8 % by weightIDA, 1.0 % by weight ascorbic acid, 80.5 % by weight water, concentrated pH=10.8, dilutionpH(30:1)=9.61
Preparation I:8.8 % by weight CsOH (50%), 5.0 % by weight glycerine, 1.6 % by weight IDA,1.0 % by weight ascorbic acid, 83.6 % by weight water, concentrated pH=12.3, the pH (30:1) of dilution=10.64
Preparation J:7.4 % by weight CsOH (50%), 12.0 % by weight glycerine, 1.6 % by weight IDA,79.0 % by weight water, concentrated pH=10.7, the pH (30:1)=9.81 of dilution
Formulation K: 6.3 % by weight CsOH (50%), 4.8 % by weight propane diols, 2 % by weightGallic acid, 86.9 % by weight water, concentrated pH=9.71
Preparation L:6.6 % by weight CsOH (50%), 10 % by weight propane diols, 2 % by weightGallic acid, 81.4 % by weight water, concentrated pH=10.32
Preparation M:15.7 % by weight CsOH (50%), 4.8 % by weight propane diols, 5 weight% gallic acid, 74.5 % by weight water, concentrated pH=10.14
Preparation N:16.2 % by weight CsOH (50%), 4.8 % by weight propane diols, 5 weight% gallic acid, 1 % by weight ascorbic acid, 73 % by weight water, concentrated pH=9.28
Preparation O:2.1 % by weight CsOH (50%), 8.5 % by weight glycerine, 0.4 % by weightIminodiacetic acid, 89.0 % by weight water
Preparation P:2.5 % by weight CsOH (50%), 12 % by weight ethylene glycol, 0.6 % by weightIminodiacetic acid, 84.9 % by weight water
Preparation Q:4 % by weight CsOH (50%), 12 % by weight glycerine, 3.3 % by weight boronAcid, 80.7 % by weight water, concentrated pH=7.17, the pH (100:1)=8.54 of dilution
Preparation R:4 % by weight CsOH (50%), 4.8 % by weight propane diols, 3.3 % by weightBoric acid, 87.9 % by weight water, concentrated pH=8.4, the pH (100:1)=8.59 of dilution
Preparation S:3 % by weight CsOH, 1.2 % by weight HEDP, 9 % by weight tetramethylene sulfones,0.25 % by weight boric acid, 86.55 % by weight water
In concentrate, the concentration of component is preferably as follows:
Component Preferred weight % More preferably % by weight
Basic salt (undiluted) Approximately 1~approximately 9 % by weight Approximately 1~approximately 5 % by weight
Organic solvent Approximately 4~approximately 12 % by weight Approximately 7~approximately 11 % by weight
Complexing agent Approximately 0.1~approximately 4 % by weight Approximately 0.5~approximately 2 % by weight
Water Approximately 75~approximately 99 % by weight Approximately 82~approximately 91.5 % by weight
About the amount of composition, the percentage by weight of each component is preferably as follows: basic salt: complexing agent isAbout 0.1:1~about 10:1, is preferably about 0.5:1~about 4:1 and most preferably is about 1:1~about 3:1; AndOrganic solvent: complexing agent is about 0.1:1~about 25:1, is preferably about 1:1~about 20:1, and most preferablyFor about 2:1~about 15:1.
The weight percentage ranges of described component will contain all possible concentrated of described compositionOr dilution embodiment. About this, in one embodiment, provide concentrated clean combinationThing, it can be diluted to use as clean solution. Concentrated composition or " concentrate "Advantageously allow user as CMP process engineer in use by as described in concentrate dilution expireThe intensity and the pH that hope. The dilution factor of described concentrated Cleasing compositions can be at about 1:1~approximatelyWithin the scope of 2500:1, be preferably about 5:1~about 1500:1 and most preferably be about 10:1~about 1000:1,Wherein said Cleasing compositions when for instrument or be about to for instrument before with solvent as goneIonized water dilution. It will be apparent to those skilled in the art that component relative to each other after dilutionThe scope of percentage by weight will remain unchanged.
Composition as herein described can be for including but not limited to that post-etch residue is removed, ashRemoving residues surface preparation after changing, after electroplating after clean and CMP in the application of removing residues.
Another preferred embodiment in, Cleasing compositions as herein described also comprises residueAnd/or pollutant. Importantly, described in described residue and pollutant can dissolve and/or be suspended inIn composition. Preferred described residue comprises residue after CMP, post-etch residue, ashingRear residue, pollutant or its combination. For example, described Cleasing compositions can comprise following thingMatter, form or substantially formed by following material by following material: at least one basic salt, extremelyFew a kind of organic solvent, at least one complexing agent, water, optionally at least one surfactant andResidue and/or pollutant.
Described Cleasing compositions holds by adding simply corresponding composition and being mixed into uniform stateThe preparation of changing places. In addition, described composition can be easily formulated as single packaged preparation or be madeMany parts preparation mixing before used time or use, for example, each portion of described many parts preparationDivide and can mix at instrument place or in the storage tank of instrument upstream. The concentration of corresponding composition can be withThe concrete multiple of described composition extensively changes, rarer or denseer, and should be appreciated that described hereinComposition can diversely and alternatively comprise the composition consistent with disclosure hereinAny combination, by any combination of described composition form or substantially by described composition appointMeaning combination composition.
Therefore, relate on the other hand kit, it is included in being applicable in one or more containersForm one or more components of composition as herein described. Described kit can be included in oneAt least one basic salt in individual or multiple containers, at least one organic solvent, at least one networkMixture, optionally at least one surfactant, and optional water, to adding man-hour or usingPoint place and make-up water combination. The container of described kit must be applicable to storing and transporting described removalComposition, for exampleThe container ((Advanced of Advanced Technology Materials IncTechnologyMaterials,Inc.),Danbury,Conn.,USA)。
Described one or more containers of the component that contains described removal composition preferably comprise and makeComponent flow in described one or more container is communicated with so that blending assigned unit. For example,AboutContainer, can be applied to gas pressure in described one or more containersThe outside of lining be discharged from and make thus with at least a portion of the inclusion of impelling described liningObtain and can be communicated with so that blending distribution by fluid. Or, gas pressure can be applied to routineThe headroom of pressurisable container, maybe can use pump to be communicated with can realize fluid. In addition,Described system preferably comprises the distribution for the removal composition of blending being assigned to process toolMouthful.
Preferably use basic chemical inertness, free from foreign meter, flexible and flexible polymeric film materialAs high density polyethylene (HDPE) manufacture for as described in the lining of one or more containers. DesirableThe processing of lining material does not need coextrusion or barrier layer, and not containing may adversely affecting wish clothPut any pigment, UV inhibitor or the processing aid of the purity requirement of the component in lining. CloseThe inventory of the lining material needing comprises and comprises pure (additive-free) polyethylene, pure polytetrafluoroEthene (PTFE), polypropylene, polyurethane, polyvinylidene chloride, polyvinyl chloride, polyacetals,The film of polystyrene, polyacrylonitrile, polybutene etc. The preferred thickness of such lining materialIn approximately 5 mils (0.005 inch)~approximately 30 mils (0.030 inch) scope, for example thickDegree is 20 mils (0.020 inches).
About kit container, by the disclosure of following patent and patent application with it separatelyForm is in full by reference to being incorporated herein: be entitled as and " particle in ultrarapture liquid producedMinimized equipment and method (APPARATUSANDMETHODFORMINIMIZINGTHEGENERATIONOFPARTICLESINULTRAPURELIQUIDS) U.S. Patent number 7,188,644 "; Be entitled as " recyclable and reusablePocket type fluid storage and dispense container system in bucket (RETURNABLEANDREUSABLE,BAG-IN-DRUMFLUIDSTORAGEANDDISPENSINGCONTAINERSYSTEM) U.S. Patent number 6,698,619 "; JohnE.Q.Hughes was at 2007 5What the moon 9 was submitted to is entitled as " for the system and method (SYSTEMS of material blending and distributionANDMETHODSFORMATERIALBLENDINGANDDISTRIBUTION) Application No. 60/916,966 "; Have with advanced techniques materialLimit company being entitled as of submitting on May 9th, 2008 " for the system of material blending and distribution andMethod (SYSTEMSANDMETHODSFORMATERIALBLENDINGANDDISTRIBUTION) PCT/US08/63276 ".
In the time being applied to microelectronics production operation, Cleasing compositions as herein described is usefulGround for from the surface cleaning residue (for example, residue after CMP) of microelectronic component and/Or pollutant. Importantly, described Cleasing compositions does not damage low-k dielectric material or corrosion at devicePart is lip-deep metal interconnected. In addition, described Cleasing compositions can easily not removed silicon or poly-Silicone compositions. Preferred described Cleasing compositions was removed before removing residues and is deposited on deviceAt least 85%, more preferably at least 90%, even more preferably at least 95% and most preferably at least99% residue.
After CMP in residue and pollutant clean applications, described Cleasing compositions can with respectivelyPlant conventional burnisher as mega sonic wave uses together with brush scrubbing, include but not limited to VerteqSingle-chip mega sonic wave Goldfinger, OnTraksystemsDDS (bilateral washer), SEZOr other single-chip spray irrigations (singlewafersprayrinse), AppliedMaterialsMirra-MesaTM/ReflexionTM/ReflexionLKTMCriticize formula with mega sonic wave and wash desktop system(Megasonicbatchwetbenchsystem)。
After using composition as herein described for residue, etching after thering is CMP from itAfter residue, ashing clean these residues of the microelectronic component of residue and/or pollutant and/orIn the process of pollutant, described Cleasing compositions typically with described device approximately 20 DEG C~approximately 90DEG C, preferably at the temperature in the scope of approximately 20 DEG C~approximately 50 DEG C, contact approximately 5 seconds~approximately 10 minutes,The time of preferably approximately 1 second~20 minutes, preferred approximately 15 seconds~approximately 5 minutes. Such time of contactWith temperature be illustrative, and can adopt any other suitable time and temperature conditions, instituteThe condition of stating effectively cleans at least in part institute from described device in the broad practice of described methodState residues/contaminants after CMP. " clean at least in part " and " substantially removing " are all rightYing Yu remove before removing residues, on device, exist at least 85%, more preferably at least90%, even more preferably at least 95% and most preferably at least 99% residue.
As expecting in the appointment final use application of composition as herein described and effectivelyGround, after realizing desired cleaning action, described Cleasing compositions can be from previously usingIts device is easily removed. Preferably, rinse solution comprises deionized water. Subsequently, described devicePart can use nitrogen or Rotary drying cyclic drying.
The advantage of the compositions and methods of the invention includes but not limited to from surface basic except degranulationSon, from surface, substantially remove organic and metal residue, passive metal as copper surface, basicDo not change porous low-k dielectric material and low metal surface roughening. In addition, described composition is excellentElect environmental protection as.
Relate in one aspect to again the microelectronic component of the improvement of making according to method as herein described and containThere is the product of described microelectronic component.
Relate on the other hand the Cleasing compositions of recirculation, wherein said Cleasing compositions can be againCirculation is until residue and/or pollutant burden reach as easily definite in those skilled in the artThe maximum that can hold of described Cleasing compositions.
Relate in one aspect to again and use Cleasing compositions as herein described, produce and comprise microelectronic componentThe method of goods, described method comprises makes described microelectronic component contact foot with Cleasing compositionsThe enough time, remove described residual to there is from it the microelectronic component of residue and pollutantThing and pollutant, and described microelectronic component is incorporated in described goods.
On the other hand, the microelectronics device from it with residue and pollutant after CMP has been describedPart is removed the method for residue and pollutant after described CMP, and described method comprises:
With microelectronic component described in the polishing of CMP slurry;
Make described microelectronic component contact time enough with Cleasing compositions, with from described micro-electricitySub-device is removed residue and pollutant after CMP to form the combination that contains residue after CMPThing, described Cleasing compositions comprise following material, by following material form or substantially by belowMaterial composition: at least one basic salt, at least one organic solvent, at least one complexing agent,Optional at least one surfactant and water; With
Make described microelectronic component with described in contain residue after CMP composition Continuous ContactTime enough to be to realize the substantially clean of described microelectronic component,
Wherein said Cleasing compositions does not contain substantially: amine and ammonium salt-containing, for example quaternary ammonium base; OxidationAgent; The source of containing fluoride; Grinding-material; Alkaline earth metal alkali; And combination.
Relate on the other hand the goods of production, it comprises Cleasing compositions, microelectronic device waferWith the material that is selected from residue, pollutant and combination thereof, wherein said Cleasing compositions comprise toFew a kind of basic salt, at least one organic solvent, at least one complexing agent, optionally at least oneSurfactant and water, and wherein said residue comprises after residue after CMP, etching residualAt least one after thing and ashing in residue.
Although diversely disclose this with reference to illustrated embodiment and feature in this articleBright, but should be appreciated that above-described embodiment and feature not want to limit the present invention, andAnd on the basis of this paper disclosure, other change, improvement and other embodiments are to thisField those of ordinary skill will be apparent. Therefore need broad interpretation of the present invention as having containedThere are changes such, in the spirit and scope of claims, improvement and optional enforcement sideFormula.

Claims (20)

1. Cleasing compositions, comprises at least one basic salt, at least one organic solvent, at leastA kind of complexing agent and water, wherein said at least one basic salt comprises and is selected from cesium hydroxide, hydrogen-oxygenChange the material of rubidium and combination thereof, wherein said composition does not contain amine and ammonium salt-containing, oxidant, containsHave the source, grinding-material, alkaline earth metal alkali of fluoride, crosslinked organic polymer particle andIts combination, and wherein basic salt is 0.1:1~10:1 to the percentage by weight of complexing agent, and haveMachine solvent is 0.1:1~25:1 to the percentage by weight of complexing agent.
2. the Cleasing compositions of claim 1, wherein said at least one basic salt comprises hydrogen-oxygenChange caesium.
3. the Cleasing compositions of claim 1 or 2, wherein said at least one organic solvent bagDraw together polyalcohol, sulfone or its combination.
4. the Cleasing compositions of claim 3, wherein said at least one organic solvent comprises choosingMaterial from following: ethylene glycol, propane diols, neopentyl glycol, glycerine, diethylene glycol, dipropylGlycol, BDO, 2,3-butanediol, 1,3-pentanediol, Isosorbide-5-Nitrae-pentanediol, 1,5-PD,3-methyl isophthalic acid, 5-pentanediol, tetramethylene sulfone (sulfolane), dimethyl sulfone, diethyl sulfone, two(2-hydroxyethyl) sulfone, methyl sulfolane, ethyl sulfolane and combination thereof.
5. the Cleasing compositions of claim 3, wherein said at least one organic solvent comprises choosingFrom the material of ethylene glycol, propane diols, glycerine, tetramethylene sulfone and combination thereof.
6. the Cleasing compositions of claim 3, wherein said polyalcohol is glycol.
7. the Cleasing compositions of claim 1, wherein said at least one complexing agent comprises and being selected fromFollowing material: ethylenediamine tetra-acetic acid (EDTA), 1,2-cyclohexane diamine-N, N, N', N'-tetra-Acetic acid (CDTA), glycine, ascorbic acid, iminodiacetic acid (IDA), nitrilo-Triacetic acid, alanine, arginine, asparagine, asparatate, cysteine, paddyPropylhomoserin, glutamine, histidine, isoleucine, leucine, lysine, methionine, benzeneAlanine, serine, threonine, tryptophan, valine, gallic acid, boric acid, acetic acid,Acetoxime, acrylic acid, adipic acid, betaine, dimethyl glyoxime, formic acid, fumaric acid,Gluconic acid, glutaric acid, glyceric acid, glycolic, glyoxalic acid, M-phthalic acid, itaconic acid,Lactic acid, maleic acid, maleic anhydride, malic acid, malonic acid, mandelic acid, 2,4-pentanedione, benzeneGuanidine-acetic acid, phthalic acid, proline, propionic acid, catechol, PMA, chinic acid,D-sorbite, butanedioic acid, tartaric acid, terephthalic acid (TPA), trimellitic acid, trimesic acid, junketPropylhomoserin, xylitol, 1,5,9-triazododecane-N, N', N " tri-(methylene phosphonic acids)(DOTRP), Isosorbide-5-Nitrae, 7,10-tetraazacyclododecanand-N, N', N ", N' " tetra-(methylene phosphonic acids)(DOTP), nitrilo-three (methylene) tri methylene phosphonic acid, diethylenetriamines five (methylene phosphineAcid) (DETAP), amino three (methylene phosphonic acids), HEDP(HEDP), two (hexa-methylene) triamine phosphonic acids, Isosorbide-5-Nitrae, 7-7-triazacyclononane-N, N', N "Three (methylene phosphonic acids) (NOTP), its salt and derivative, and combination.
8. the Cleasing compositions of claim 7, wherein said at least one complexing agent comprises imidoBase oxalic acid (IDA), gallic acid, boric acid, HEDP or its combination.
9. the Cleasing compositions of claim 7, wherein said at least one complexing agent comprises and being selected fromFollowing material: 1,5,9-triazododecane-N, N', N " tri-(methylene phosphonic acids)(DOTRP), Isosorbide-5-Nitrae, 7,10-tetraazacyclododecanand-N, N', N ", N' " tetra-(methylene phosphonic acids)(DOTP), nitrilo-three (methylene) tri methylene phosphonic acid, diethylenetriamines five (methylene phosphineAcid) (DETAP), amino three (methylene phosphonic acids), HEDP(HEDP), two (hexa-methylene) triamine phosphonic acids, Isosorbide-5-Nitrae, 7-7-triazacyclononane-N, N', N "Three (methylene phosphonic acids) (NOTP), its salt and derivative, and combination.
10. the Cleasing compositions of claim 1, wherein said composition is selected from: (a) hydrogen-oxygenChange caesium, glycerine, iminodiacetic acid and water; (b) cesium hydroxide, glycerine, boric acid and water;(c) cesium hydroxide, propane diols, gallic acid and water; (d) cesium hydroxide, ethylene glycol,Iminodiacetic acid and water; (e) cesium hydroxide, propane diols, boric acid and water; (f) hydrogen-oxygenChange caesium, HEDP, tetramethylene sulfone, boric acid and water.
The Cleasing compositions of 11. claims 1, it also comprises residue and pollutant, whereinDescribed residue comprises residue or its group after residue after CMP, post-etch residue, ashingClose.
The Cleasing compositions of 12. claims 1, wherein said composition is 10:1~1000:1'sDilution in scope.
The Cleasing compositions of 13. claims 1, wherein said Cleasing compositions does not solidify formationPolymer/solid.
The Cleasing compositions of 14. claims 1, it also comprises at least one surfactant.
The Cleasing compositions of 15. claims 1, wherein pH is in 8~14 scope.
The Cleasing compositions of 16. claims 1, wherein said composition is concentrate and baseIn the gross weight of described concentrate, the amount of described at least one basic salt is 1~9 % by weight, described inThe amount of at least one organic solvent is 4~12 % by weight, and the amount of described at least one complexing agent is0.1~4 % by weight.
17. microelectronic components from it with residue and pollutant remove described residue andThe method of pollutant, described method comprise make described microelectronic component and claim 1~16 arbitraryThe Cleasing compositions contact time enough of item, with clear at least in part from described microelectronic componentClean described residue and pollutant.
The method of 18. claims 17, wherein said residue comprise residue after CMP,Residue or its combination after post-etch residue, ashing.
The method of 19. claims 17, wherein said contact comprises the following condition that is selected from: timeBetween be 15 seconds~5 minutes; Temperature is within the scope of 20 DEG C~50 DEG C; And combination.
The method of 20. claims 17, also comprises in use or uses and use solvent dilution beforeDescribed Cleasing compositions.
CN201180075099.6A 2011-10-21 2011-10-21 Without compoistion and method of use after amine CMP Active CN103958640B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201610274374.1A CN105869997A (en) 2011-10-21 2011-10-21 Amine-free post-CMP composition and using method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2011/057287 WO2013058770A1 (en) 2011-10-21 2011-10-21 Non-amine post-cmp composition and method of use

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201610274374.1A Division CN105869997A (en) 2011-10-21 2011-10-21 Amine-free post-CMP composition and using method thereof

Publications (2)

Publication Number Publication Date
CN103958640A CN103958640A (en) 2014-07-30
CN103958640B true CN103958640B (en) 2016-05-18

Family

ID=48141217

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201180075099.6A Active CN103958640B (en) 2011-10-21 2011-10-21 Without compoistion and method of use after amine CMP
CN201610274374.1A Pending CN105869997A (en) 2011-10-21 2011-10-21 Amine-free post-CMP composition and using method thereof

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201610274374.1A Pending CN105869997A (en) 2011-10-21 2011-10-21 Amine-free post-CMP composition and using method thereof

Country Status (4)

Country Link
EP (1) EP2768920A4 (en)
KR (1) KR101914817B1 (en)
CN (2) CN103958640B (en)
WO (1) WO2013058770A1 (en)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102118964B1 (en) 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Compositions for cleaning iii-v semiconductor materials and methods of using same
US10472567B2 (en) 2013-03-04 2019-11-12 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
CN111394100A (en) 2013-06-06 2020-07-10 恩特格里斯公司 Compositions and methods for selectively etching titanium nitride
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
SG10201801575YA (en) 2013-08-30 2018-03-28 Entegris Inc Compositions and methods for selectively etching titanium nitride
US9834746B2 (en) 2013-10-21 2017-12-05 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulations for removing residues on surfaces
KR102134577B1 (en) * 2013-11-12 2020-07-16 주식회사 동진쎄미켐 Composition for post cmp cleaning
KR102573354B1 (en) 2013-12-06 2023-08-30 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. Cleaning formulation for removing residues on surfaces
WO2015095175A1 (en) 2013-12-16 2015-06-25 Advanced Technology Materials, Inc. Ni:nige:ge selective etch formulations and method of using same
TWI662379B (en) 2013-12-20 2019-06-11 美商恩特葛瑞斯股份有限公司 Use of non-oxidizing strong acids for the removal of ion-implanted resist
WO2015103146A1 (en) 2013-12-31 2015-07-09 Advanced Technology Materials, Inc. Formulations to selectively etch silicon and germanium
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
CN111902379B (en) 2018-03-28 2023-02-17 富士胶片电子材料美国有限公司 Cleaning composition
CN115612573B (en) * 2022-09-05 2023-10-13 圣戈班汇杰(杭州)新材料有限公司 Adhesive removing agent formula for curing silicone adhesive and application method of adhesive removing agent formula

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1387556A (en) * 1999-11-04 2002-12-25 卡伯特微电子公司 Use of CsOH in dielectric CMP slurry
CN102135735A (en) * 2002-06-07 2011-07-27 安万托特性材料股份有限公司 Microelectronic cleaning and arc remover compositions

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000044034A1 (en) * 1999-01-25 2000-07-27 Speedfam-Ipec Corporation Methods and cleaning solutions for post-chemical mechanical polishing
WO2003104900A2 (en) * 2002-06-07 2003-12-18 Mallinckrodt Baker Inc. Microelectronic cleaning compositions containing oxidizers and organic solvents
US6887597B1 (en) * 2004-05-03 2005-05-03 Prestone Products Corporation Methods and composition for cleaning and passivating fuel cell systems
KR101444468B1 (en) * 2005-10-05 2014-10-30 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Oxidizing aqueous cleaner for the removal of post-etch residues
EP1945748A4 (en) * 2005-10-13 2009-01-07 Advanced Tech Materials Metals compatible photoresist and/or sacrificial antireflective coating removal composition
US20070225186A1 (en) * 2006-03-27 2007-09-27 Matthew Fisher Alkaline solutions for post CMP cleaning processes
WO2008039730A1 (en) * 2006-09-25 2008-04-03 Advanced Technology Materials, Inc. Compositions and methods for the removal of photoresist for a wafer rework application
JP2009069505A (en) 2007-09-13 2009-04-02 Tosoh Corp Cleaning solution and cleaning method for removing resist
WO2010098899A1 (en) * 2009-02-25 2010-09-02 Mallinckrodt Baker, Inc. Multipurpose acidic, organic solvent based microelectronic cleaning composition
US8754021B2 (en) * 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
US7846265B1 (en) * 2009-10-13 2010-12-07 Xerox Corporation Media path universal cleaning fluid composition

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1387556A (en) * 1999-11-04 2002-12-25 卡伯特微电子公司 Use of CsOH in dielectric CMP slurry
CN102135735A (en) * 2002-06-07 2011-07-27 安万托特性材料股份有限公司 Microelectronic cleaning and arc remover compositions

Also Published As

Publication number Publication date
EP2768920A1 (en) 2014-08-27
CN103958640A (en) 2014-07-30
KR20140082816A (en) 2014-07-02
EP2768920A4 (en) 2015-06-03
CN105869997A (en) 2016-08-17
WO2013058770A1 (en) 2013-04-25
KR101914817B1 (en) 2018-12-28

Similar Documents

Publication Publication Date Title
CN103958640B (en) Without compoistion and method of use after amine CMP
US9340760B2 (en) Non-amine post-CMP composition and method of use
TWI726859B (en) Post chemical mechanical polishing formulations and method of use
TWI659098B (en) Post chemical mechanical polishing formulations and method of use
JP5647517B2 (en) Novel antioxidants for post-CMP cleaning formulations
TWI703210B (en) Post chemical mechanical polishing formulations and method of use
US20160032221A1 (en) Copper cleaning and protection formulations
WO2008036823A2 (en) Uric acid additive for cleaning formulations
TWI558810B (en) Non-amine post-cmp composition and method of use

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
ASS Succession or assignment of patent right

Owner name: ANGES INC.

Free format text: FORMER OWNER: ADVANCED TECHNOLOGY MATERIALS, INC.

Effective date: 20150416

C41 Transfer of patent application or patent right or utility model
TA01 Transfer of patent application right

Effective date of registration: 20150416

Address after: Massachusetts, USA

Applicant after: MYKROLIS Corp.

Address before: American Connecticut

Applicant before: Advanced Technology Materials, Inc.

C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: Massachusetts, USA

Patentee after: Entergris Co.

Address before: Massachusetts, USA

Patentee before: MYKROLIS Corp.

TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20210707

Address after: Gyeonggi Do Korea Suwon

Patentee after: Samsung Electronics Co.,Ltd.

Address before: Massachusetts, USA

Patentee before: ENTEGRIS, Inc.