WO2008036823A2 - Uric acid additive for cleaning formulations - Google Patents

Uric acid additive for cleaning formulations Download PDF

Info

Publication number
WO2008036823A2
WO2008036823A2 PCT/US2007/079044 US2007079044W WO2008036823A2 WO 2008036823 A2 WO2008036823 A2 WO 2008036823A2 US 2007079044 W US2007079044 W US 2007079044W WO 2008036823 A2 WO2008036823 A2 WO 2008036823A2
Authority
WO
WIPO (PCT)
Prior art keywords
composition
acid
microelectronic device
alkanolamine
alcohol
Prior art date
Application number
PCT/US2007/079044
Other languages
French (fr)
Other versions
WO2008036823A3 (en
Inventor
David Angst
Jeffrey A. Barnes
Prerna Sonthalia
Peng Zhang
Original Assignee
Advanced Technology Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials, Inc. filed Critical Advanced Technology Materials, Inc.
Publication of WO2008036823A2 publication Critical patent/WO2008036823A2/en
Publication of WO2008036823A3 publication Critical patent/WO2008036823A3/en
Priority to US12/409,267 priority Critical patent/US8685909B2/en
Priority to US14/224,672 priority patent/US9528078B2/en
Priority to US14/595,758 priority patent/USRE46427E1/en
Priority to US15/383,210 priority patent/US20170096624A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/261Alcohols; Phenols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Definitions

  • the present invention relates to compositions for processing of microelectronic device substrates, including compositions useful for post-chemical mechanical polishing cleaning of microelectronic device wafers.
  • Microelectronic device wafers are used to form integrated circuits.
  • the microelectronic device wafer includes a substrate, such as silicon, into which regions are patterned for deposition of different materials having insulative, conductive or semi- conductive properties.
  • CMP Chemical Mechanical Polishing or Planarization
  • the removal or polishing process it is not desirable for the removal or polishing process to be comprised of purely physical or purely chemical action, but rather the synergistic combination of both in order to achieve fast uniform removal.
  • the CMP slurry should also be able to preferentially remove films that comprise complex layers of metals and other materials so that highly planar surfaces can be produced for subsequent photolithography, or patterning, etching and thin-film processing.
  • copper has been increasingly used for metal interconnects in integrated circuits.
  • the layers that must be removed and planarized include copper layers having a thickness of about 1-1.5 ⁇ m and copper seed layers having a thickness 020732-462.816 CIP PCT (7493)
  • barrier material typically about 50-300 A thick, which prevents diffusion of copper into the oxide dielectric material.
  • residues that are left on the microelectronic device substrate following CMP processing include CMP material and corrosion inhibitor compounds such as benzotriazole (BTA). If not removed, these residues can cause damage to copper lines or severely roughen the copper metallization, as well as cause poor adhesion of post-CMP applied layers on the device substrate. Severe roughening of copper metallization is particularly problematic, since overly rough copper can cause poor electrical performance of the product microelectronic device.
  • Another residue-producing process common to microelectronic device manufacturing involves gas -phase plasma etching to transfer the patterns of developed photoresist coatings to the underlying layers, which may consist of hardmask, interlevel dielectric (ILD), and etch stop layers.
  • Post-gas phase plasma etch residues which may include chemical elements present on the substrate and in the plasma gases, are typically deposited on the back end of the line (BEOL) structures and if not removed, may interfere with subsequent silicidation or contact formation.
  • BEOL back end of the line
  • Conventional cleaning chemistries often damage the ILD, absorb into the pores of the ILD thereby increasing the dielectric constant, and/or corrode the metal structures.
  • microelectronics industry therefore continues to seek improvement in cleaning formulations for copper-metallized substrates, and in compositions for processing of microelectronic device structures, including compositions variously useful for post-etching cleaning, post-ashing cleaning and post-chemical mechanical polishing cleaning of microelectronic device wafers.
  • the present invention relates to compositions for processing of microelectronic device substrates, including compositions variously useful for post-chemical mechanical polishing residue removal from microelectronic device wafers having said residue thereon.
  • the invention relates to removal composition comprising at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, optionally at least one alcohol and optionally at least one additional organic acid antioxidant, wherein said removal composition is suitable for removing post-chemical mechanical polishing residue and contaminants from a microelectronic device having said material thereon.
  • the invention in another aspect, relates to a kit comprising, in one or more containers, one or more of the following reagents for forming an aqueous removal composition, said one or more reagents selected from the group consisting of at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, optionally at least one alcohol and optionally at least one additional organic acid antioxidant, and wherein the kit is adapted to form a composition suitable for removing post-chemical mechanical polishing residue and contaminants from a microelectronic device having said material thereon.
  • the invention relates to a method of removing residue and contaminants from a microelectronic device having said residue and contaminants thereon, said method comprising contacting the microelectronic device with an aqueous removal composition for sufficient time to at least partially clean said residue and contaminants from the microelectronic device, wherein the removal composition includes at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, optionally at least one alcohol and optionally at least one additional organic acid antioxidant.
  • the invention relates to a removal composition
  • a removal composition comprising l-amino-2-propanol, tetramethylammonium hydroxide, uric acid, isopropanol and oxalic acid, wherein said removal composition is suitable for removing post-chemical mechanical polishing residue and contaminants from a microelectronic device having said material thereon.
  • Yet another aspect of the invention relates to a removal composition consisting essentially of at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, at least one alcohol and at least one additional organic acid antioxidant, wherein said removal composition is suitable for removing post-chemical mechanical polishing residue and contaminants from a microelectronic device having said material thereon.
  • Still another aspect of the invention relates to a removal composition consisting of at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, at least 020732-462.816 CIP PCT (7493)
  • the invention relates to a removal composition comprising at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, post-CMP residue and contaminants, optionally at least one alcohol and optionally at least one additional organic acid antioxidant, wherein said removal composition is suitable for removing post-chemical mechanical polishing residue and contaminants from a microelectronic device having said material thereon.
  • Another aspect of the invention relates to a method of removing post-CMP residue and contaminants from a microelectronic device having same thereon, said method comprising:
  • a removal composition comprising at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, optionally at least one alcohol and optionally at least one additional organic acid antioxidant, for a sufficient time to remove post-CMP residue and contaminants from the microelectronic device to the removal composition to form a post-CMP residue- containing removal composition;
  • the present invention relates to a method of manufacturing a microelectronic device, said method comprising contacting the microelectronic device with a removal composition described herein for sufficient time to at least partially clean post-CMP residue and contaminants from the microelectronic device having said residue and contaminants thereon.
  • Yet another aspect of the invention relates to improved microelectronic devices, and products incorporating same, made using the methods of the invention comprising cleaning of post-CMP residue and contaminants from the microelectronic device having said 020732-462.816 CIP PCT (7493)
  • Another aspect of the invention relates to an article of manufacture comprising a removal composition, a microelectronic device, and post-CMP residue and contaminants thereon, wherein the removal composition comprises at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, optionally at least one alcohol and optionally at least one additional organic acid antioxidant.
  • the removal composition comprises at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, optionally at least one alcohol and optionally at least one additional organic acid antioxidant.
  • Still another aspect of the invention relates to a method of cleaning semiconductor tool parts, said method comprising contacting said tool parts with a composition for sufficient time to at least partially clean said tool parts, wherein the composition includes at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, optionally at least one alcohol, and optionally at least one additional organic acid antioxidant.
  • FIG. Ia is a scanning electron micrograph (SEM) of the control wafer (post-CMP) at 6,000 times magnification; showing residues from the CMP process and slurry particles.
  • FIG. Ib is a SEM of the control wafer of Fig. Ia following cleaning with a 20:1 dilution of concentrate A according to the method of the invention.
  • FIG. Ic is a SEM of the control wafer of Fig. Ia following cleaning with a 20:1 dilution of concentrate D according to the method of the invention.
  • FIG. 2a is a Partial Image atomic force micrograph (AFM) of the copper surface following cleaning with a 20:1 dilution of concentrate A according to the method of the invention.
  • AFM Partial Image atomic force micrograph
  • FIG. 2b is a Partial Image atomic force micrograph (AFM) of the copper surface following cleaning with a 20:1 dilution of concentrate D according to the method of the invention.
  • AFM Partial Image atomic force micrograph
  • microelectronic device corresponds to semiconductor substrates, flat panel displays, and microelectromechanical systems (MEMS), manufactured for use in microelectronic, integrated circuit, or computer chip applications.
  • MEMS microelectromechanical systems
  • the term "microelectronic device” is not meant to be limiting in any way and includes any substrate that will eventually become a microelectronic device or microelectronic assembly.
  • the microelectronic device comprises a semiconductor substrate.
  • post-CMP residue corresponds to particles from the polishing slurry, carbon-rich particles, polishing pad particles, brush deloading particles, equipment materials of construction particles, copper, copper oxides, organic residues, and any other materials that are the by-products of the CMP process.
  • contaminants correspond to chemicals present in the CMP slurry, reaction by-products of the polishing slurry, and any other materials that are the byproducts of the CMP process.
  • post-etch residue corresponds to material remaining following gas-phase plasma etching processes, e.g., BEOL dual damascene processing.
  • the post-etch residue may be organic, organometallic, organosilicic, or inorganic in nature, for example, silicon-containing material, carbon-based organic material, and etch gas residue such as oxygen and fluorine.
  • post-ash residue corresponds to material remaining following oxidative or reductive plasma ashing to remove hardened photoresist and/or bottom anti-reflective coating (BARC) materials.
  • the post-ash residue may be organic, organometallic, organosilicic, or inorganic in nature.
  • suitable for cleaning residue and contaminants from a microelectronic device having said residue and contaminants thereon corresponds to at least partial removal of said residue/contaminants from the microelectronic device. Preferably, at least 90% of the residue/contaminants is removed from the microelectronic device using the 020732-462.816 CIP PCT (7493)
  • compositions of the invention more preferably, at least 95%, and most preferably, at least 99% of the residue/contaminants is removed.
  • low-k dielectric material corresponds to any material used as a dielectric material in a layered microelectronic device, wherein the material has a dielectric constant less than about 3.5.
  • the low-k dielectric materials include low-polarity materials such as silicon-containing organic polymers, silicon-containing hybrid organic/inorganic materials, organosilicate glass (OSG), TEOS, fluorinated silicate glass (FSG), silicon dioxide, and carbon-doped oxide (CDO) glass. It is to be appreciated that the low-k dielectric materials may have varying densities and varying porosities.
  • the aqueous compositions of the present invention must possess good metal compatibility, e.g., a low etch rate on the interconnect metal and/or interconnector metal suicide material.
  • Metals of interest include, but are not limited to, copper, tungsten, cobalt, aluminum, tantalum, titanium, ruthenium, and suicides thereof.
  • compositions of the invention may be embodied in a wide variety of specific formulations, as hereinafter more fully described.
  • compositions wherein specific components of the composition are discussed in reference to weight percentage ranges including a zero lower limit, it will be understood that such components may be present or absent in various specific embodiments of the composition, and that in instances where such components are present, they may be present at concentrations as low as 0.001 weight percent, based on the total weight of the composition in which such components are employed.
  • the removal compositions of the invention are aqueous compositions including uric acid and at least one alcohol, which are present in the composition in relative amounts imparting to the composition an effectiveness for cleaning for which the composition is used.
  • the removal compositions of the invention are aqueous compositions including at least one alkanolamine, at least one quaternary ammonium hydroxide, and uric acid.
  • the removal compositions of the invention are aqueous compositions including at least one alkanolamine, at least one quaternary ammonium hydroxide, at least one alcohol, and uric acid.
  • the removal compositions of the invention are aqueous compositions including at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, and at least one additional organic acid antioxidant.
  • the removal compositions of the invention are aqueous compositions including at least one alkanolamine, at least one quaternary ammonium hydroxide, at least one alcohol, uric acid, and at least one additional organic acid antioxidant. 020732-462.816 CIP PCT (7493)
  • the removal compositions of the invention may comprise, consist of, or consist essentially of: (i) at least one alkanolamine and at least one alcohol; (ii) at least one alkanolamine, at least one quaternary ammonium hydroxide, and uric acid; (iii) at least one alkanolamine, at least one quaternary ammonium hydroxide, at least one alcohol, and uric acid; (iv) at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, and at least one additional organic acid antioxidant or (v) at least one alkanolamine, at least one quaternary ammonium hydroxide, at least one alcohol, uric acid, and at least one additional organic acid antioxidant.
  • the specific proportions and amounts of components, in relation to each other, may be suitably varied to provide the desired removal action of the composition for the post-CMP residue and/or processing equipment, as readily determinable within the skill of the art without undue effort.
  • the water is preferably deionized.
  • the range of weight percent ratios of the components of the removal composition is about 0.3 to about 0.8 quaternary ammonium hydroxide(s) relative to alkanolamine(s), preferably about 0.4 to about 0.7, and most preferably about 0.5 to about 0.6; about 0.3 to about 0.8 alcohol(s) (when present) relative to alkanolamine(s), preferably about 0.4 to about 0.7, and most preferably about 0.5 to about 0.6; about 0.01 to about 0.50 uric acid relative to alkanolamine(s), preferably about 0.1 to about 0.45, and most preferably about 0.15 to about 0.4; and about 0.01 to about 0.5 additional organic acid antioxidant(s) (when present) relative to alkanolamine(s), preferably about 0.1 to about 0.4, and most preferably about 0.2 to about 0.3.
  • the removal compositions may be formulated to be substantially devoid of hydrogen peroxide, cyclic ethers, and metal corrosion inhibiting metal halides. "Substantially devoid” is defined herein as less than 2 wt. %, preferably less than 1 wt. %, more preferably less than 0.5 wt. %, and most preferably less than 0.1 wt. %. [0045] It will be appreciated that in general cleaning applications, it is common practice to make highly concentrated forms to be used at extreme dilutions. For example, the removal composition may be diluted at the manufacturer, before use, and/or during use at the fab.
  • Dilution ratios may be in a range from 1 part diluent: 1 part removal composition to 200 parts diluent: 1 part removal composition, preferably 10: 1 to 200:1, and most preferably 20:1 to 200:1.
  • the preferred diluent includes deionized water. It is understood that upon dilution, the weight percent ratios of the components of the removal composition will remain unchanged. 020732-462.816 CIP PCT (7493)
  • the pH of the removal compositions may be varied to produce a composition optimized for the intended end use.
  • the pH will be basic, e.g., greater than about 8.5 and less than about 11.5.
  • concentrated removal compositions of the invention have a higher pH, e.g., about 11 to about 11.5, and following dilution as described herein, the pH of the diluted composition will decrease to about 9 to about 10, respectively.
  • diluted removal compositions of the invention have pH in a range from about 8.5 to 9.5.
  • uric acid decreases metal, e.g., copper, erosion thus decreasing metal etch rates, metal surface roughness, and metal feature erosion; relative to formulations without uric acid.
  • metal e.g., copper
  • uric acid also covers derivatives of uric acid.
  • Illustrative alkanolamines that may be useful in specific compositions include compositions having the general formula NR 1 R 2 R 3 , wherein R 1 , R 2 and R 3 may be the same as or different from one another and are selected from the group consisting of hydrogen, straight-chained or branched Ci-C 6 alkyl (e.g., methyl, ethyl, propyl, butyl, pentyl, and hexyl) and straight-chained or branched Ci-C 6 alcohol (e.g., methanol, ethanol, propanol, butanol, pentanol, and hexanol), and wherein at least one of R 1 , R 2 and R 3 is a straight-chained or branched Ci-C 6 alcohol.
  • Ci-C 6 alkyl e.g., methyl, ethyl, propyl, butyl, pentyl, and hexyl
  • Ci-C 6 alcohol
  • Examples include, without limitation, aminoethylethanolamine, N- methylaminoethanol, aminoethoxyethanol, dimethylaminoethoxyethanol, diethanolamine, N- methyldiethanolamine, monoethanolamine, triethanolamine, l-amino-2-propanol, 2-amino-l- butanol, isobutanolamine, triethylenediamine, other Ci - C 6 alkanolamines and combinations thereof.
  • the alkanolamine comprises l-amino-2-propanol and/or monoethanolamine.
  • Quaternary ammonium hydroxides contemplated herein include compounds having the formula NR 1 R 2 R 3 R 4 OH, wherein R 1 , R 2 , R 3 and R 4 may be the same as or different from one another and are selected from the group consisting of hydrogen, straight- chained or branched Ci-C 6 alkyl (e.g., methyl, ethyl, propyl, butyl, pentyl, and hexyl), and substituted or unsubstituted C 6 -Ci O aryl, e.g., benzyl.
  • Ci-C 6 alkyl e.g., methyl, ethyl, propyl, butyl, pentyl, and hexyl
  • C 6 -Ci O aryl e.g., benzyl.
  • Tetraalkylammonium hydroxides that are commercially available include tetraethylammonium hydroxide (TEAH), tetramethy ammonium hydroxide (TMAH), tetrapropylammonium hydroxide (TPAH), tetrabutylammonium hydroxide (TBAH), tributylmethylammonium hydroxide (TBMAH), benzyltrimethylammonium hydroxide (BTMAH), and combinations thereof, may be used. Tetraalkylammonium hydroxides which are not commercially available may be prepared in a manner analogous to the published synthetic methods used to prepare TMAH, TEAH, TPAH, 020732-462.816 CIP PCT (7493)
  • TBAH TBAH
  • TBMAH TBMAH
  • BTMAH BTMAH
  • the quaternary base comprises TMAH.
  • Illustrative alcohols include at least one straight-chained or branched Ci-C 6 alcohol, e.g., methanol, ethanol, propanol, butanol, pentanol, and hexanol.
  • the alcohol comprises isopropanol (IPA).
  • Additional organic acid antioxidants contemplated herein include oxalic acid, citric acid, tannic acid, ascorbic acid, L(+)- ascorbic acid, isoascorbic acid, ascorbic acid derivatives, benzoic acid, ammonium benzoate, catechol, pyrogallol, resorcinol, hydroquinone, cyanuric acid, barbituric acid and derivatives such as 1 ,2-dimethylbarbituric acid, and combinations thereof.
  • concentrates of the removal composition of the invention may have the following weight percent ratios of one component relative to another component:
  • Concentrate A wt. % ratio of TMAH relative to l-amino-2-propanol of 0.56; wt. % ratio of uric acid relative to l-amino-2-propanol of 0.39; wt. % ratio of IPA relative to l-amino-2- propanol of 0.56; wt. % ratio of oxalic acid relative to l-amino-2-propanol of 0.22
  • Concentrate B wt. % ratio of TMAH relative to l-amino-2-propanol of 0.56; wt. % ratio of uric acid relative to l-amino-2-propanol of 0.056; wt. % ratio of IPA relative to l-amino-2- propanol of 0.56; wt. % ratio of oxalic acid relative to l-amino-2-propanol of 0.056
  • Concentrate C wt. % ratio of TMAH relative to l-amino-2-propanol of 0.56; wt. % ratio of uric acid relative to l-amino-2-propanol of 0.167; wt. % ratio of IPA relative to l-amino-2- propanol of 0.56; wt. % ratio of oxalic acid relative to l-amino-2-propanol of 0.22
  • Concentrates A-C may diluted with water at the manufacturer or at the fab to produce the following Concentrates D-F, wherein all percentages are by weight, based on the total weight of the formulation:
  • Concentrate D 5 wt. % TMAH; 9 wt. % l-amino-2-propanol; 3.5 wt. % uric acid; 5 wt. % IPA; 2 wt. % oxalic acid; 75.5 wt. % water 020732-462.816 CIP PCT (7493)
  • Concentrate E 5 wt. % TMAH; 9 wt. % l-amino-2-propanol; 0.5 wt. % uric acid; 5 wt. % IPA; 0.5 wt. % oxalic acid; 80.0 wt. % water
  • Concentrate F 5 wt. % TMAH; 9 wt. % l-amino-2-propanol; 1.5 wt. % uric acid; 5 wt. % IPA; 2 wt. % oxalic acid; 77.5 wt. % water
  • Concentrates D-F may be further diluted according to the invention described herein.
  • Concentrates D-F may be diluted in a ratio of 20: 1 diluent to concentrate, as described herein.
  • the removal compositions of the invention provide at least one of the following benefits: an alkaline pH to maximize particle repulsion from the surface; solubilization of organic and inorganic residues; surfactant properties and solubility enhancement via the alcohol; and the minimization of corrosion of metal layers in the microelectronic device structure.
  • dielectric material, including low-k dielectric material, on the microelectronic device is not compromised by the removal composition.
  • the etch rate of metal material is in a range from about 0.01 A min "1 to about 10 A min "1 , and most preferably about 0.01 A min "1 to about 5 A min "1 .
  • the removal composition of the invention is aqueous and comprises, consists of, or consists essentially of TMAH, l-amino-2-propanol, uric acid, IPA, and oxalic acid.
  • the removal compositions of the invention further include post-CMP residue material.
  • the residue material may be dissolved and/or suspended in the removal composition of the invention.
  • the removal composition of the invention is aqueous and comprises, consists of, or consists essentially of TMAH, l-amino-2-propanol, uric acid, IPA, oxalic acid, and post-CMP residue material.
  • compositions within the broad scope of the invention variously include: compositions having utility for post-chemical mechanical planarization (PCMP) cleaning of microelectronic device substrates; and compositions having utility for cleaning of microelectronic device substrates after etch and/or ash processing thereof.
  • PCMP post-chemical mechanical planarization
  • the removal compositions of the invention are preferably used in concentrated form although some dilution with water is contemplated herein when necessary. 020732-462.816 CIP PCT (7493)
  • compositions of the invention are stable in character and do not degrade in the manner of formulations of the prior art.
  • the compositions are storage stable, without loss of efficacy, and resistant to oxygen-mediated degradation, so that they may be used in ambient air environments, without loss of effectiveness.
  • the oxidizable components have relatively good air stability as compared to other antioxidant species, the compositions of the invention may be recirculated in batch or single wafer processing tools.
  • the removal compositions of the invention are easily formulated by simple addition of the respective ingredients and mixing to homogeneous condition.
  • the removal compositions may be readily formulated as single-package formulations or multi-part formulations that are mixed at or before the point of use, e.g., the individual parts of the multi-part formulation may be mixed at the tool or in a storage tank upstream of the tool.
  • concentrations of the respective ingredients may be widely varied in specific multiples of the removal composition, i.e., more dilute or more concentrated, in the broad practice of the invention, and it will be appreciated that the removal compositions of the invention can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein.
  • kits including, in one or more containers, one or more components adapted to form the compositions of the invention.
  • the kit may include, in one or more containers, at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, at least one alcohol (when present), and at least one additional organic acid antioxidant (when present), and optionally water, for combining with the diluent, e.g., water, at the fab or the point of use.
  • the containers of the kit must be suitable for storing and shipping said removal compositions, for example, NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA).
  • the one or more containers which contain the components of the removal composition preferably include means for bringing the components in said one or more containers in fluid communication for blending and dispense.
  • gas pressure may be applied to the outside of a liner in said one or more containers to cause at least a portion of the contents of the liner to be discharged and hence enable fluid communication for blending and dispense.
  • gas pressure may be applied to the head space of a conventional pressurizable container or a pump may be used to enable fluid communication.
  • the system preferably includes a dispensing port for dispensing the blended removal composition to a process tool. 020732-462.816 CIP PCT (7493)
  • Substantially chemically inert, impurity-free, flexible and resilient polymeric film materials are preferably used to fabricate the liners for said one or more containers.
  • Desirable liner materials are processed without requiring co- extrusion or barrier layers, and without any pigments, UV inhibitors, or processing agents that may adversely affect the purity requirements for components to be disposed in the liner.
  • a listing of desirable liner materials include films comprising virgin (additive-free) polyethylene, virgin polytetrafluoroethylene (PTFE), polypropylene, polyurethane, polyvinylidene chloride, polyvinylchloride, polyacetal, polystyrene, polyacrylonitrile, polybutylene, and so on.
  • Preferred thicknesses of such liner materials are in a range from about 5 mils (0.005 inch) to about 30 mils (0.030 inch), as for example a thickness of 20 mils (0.020 inch).
  • kits include, in one container, at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, at least one alcohol (when present), and at least one additional organic acid antioxidant (when present), and optionally water, for combining with the diluent, e.g., water, at the fab or the point of use.
  • the kit may include two containers, one container including the at least one alkanolamine, at least one quaternary ammonium hydroxide, at least one alcohol (when present), and some water, and the other container including uric acid, at least one additional organic acid antioxidant (when present), and water.
  • the kit may include three containers, one container including the at least one alkanolamine, at least one quaternary ammonium hydroxide, at least one alcohol (when present), and some water, a second container including uric acid, and water, and a third container including at least one additional organic acid antioxidant and water.
  • each component is present in its own container wherein additional water is present in the uric acid and the at least one additional organic acid antioxidant (when present) containers. Water may optionally be added to the at 020732-462.816 CIP PCT (7493)
  • alkanolamine the at least one quaternary ammonium hydroxide, and the at least one alcohol (when present) containers.
  • additional water may be added directly to the container system and/or at a subsequent blending/dilution vessel.
  • the composition is applied in any suitable manner to the device to be cleaned, e.g., by spraying the composition on the surface of the device to be cleaned, by dipping (in a volume of the composition) the device to be cleaned, by contacting the device to be cleaned with another material, e.g., a pad, or fibrous sorbent applicator element, that is saturated with the composition, or by any other suitable means, manner or technique by which the composition is brought into removal contact with the device to be cleaned.
  • another material e.g., a pad, or fibrous sorbent applicator element
  • the composition may be used with a large variety of conventional cleaning tools, including Verteq single wafer megasonic Goldfinger, OnTrak systems DDS (double-sided scrubbers), Laurell spin-spray tools, SEZ single wafer spray rinse, Applied Materials Mirra-MesaTM /Reflexion TM/Reflexion LKTM, and Megasonic batch wet bench systems.
  • the composition typically is contacted with the device for a time of from about 5 sec to about 10 minutes, preferably about 15 sec to 5 min, at temperature in a range of from about 20 0 C to about 50 0 C.
  • Such contacting times and temperatures are illustrative, and any other suitable time and temperature conditions may be employed that are efficacious to at least partially clean the post-CMP residue/contaminants from the device, within the broad practice of the invention.
  • "at least partial removal” corresponds to at least 90% removal of post-CMP residue material, preferably at least 95% removal of post-CMP residue material. Most preferably, at least 99% of the post-CMP residue material is removed using the compositions of the present invention.
  • the composition may be readily removed from the device to which it has previously been applied, as may be desired and efficacious in a given end use application of the compositions of the present invention.
  • the device may be rinsed with a rinse solution including deionized water and/or dried (e.g., spin-dry, N 2 , etc.).
  • Yet another aspect of the invention relates to the improved microelectronic devices made according to the methods of the invention and to products containing such microelectronic devices.
  • a still further aspect of the invention relates to methods of manufacturing an article comprising a microelectronic device, said method comprising contacting the microelectronic device with a composition for sufficient time to clean post-CMP residue and contaminants from the microelectronic device having said residue and contaminants thereon, and incorporating said microelectronic device into said article, wherein the composition includes at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, optionally at least one alcohol, and optionally at least one additional organic acid antioxidant.
  • the invention relates to a method of cleaning semiconductor tool parts, said method comprising contacting said tool parts with a composition for sufficient time to clean said parts, wherein the composition includes at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, optionally at least one alcohol, and optionally at least one additional organic acid antioxidant.
  • the composition is applied in any suitable manner to the tool part to be cleaned, e.g., by spraying the composition on the surface of the tool part to be cleaned, by dipping (in a volume of the composition) the tool part to be cleaned, by contacting the tool part to be cleaned with another material, e.g., a pad, or fibrous sorbent applicator element, that is saturated with the composition, or by any other suitable means, manner or technique by which the composition is brought into removal contact with the tool part to be cleaned.
  • tool parts include many of the same residual and particulate material that is to be removed from the microelectronic device, e.g., post-CMP residue and contaminants, post-etch residue, post-ash residue, and combinations thereof.
  • compositions were employed for post-CMP cleaning of Sematech 854 pattern wafers having dried slurry and other PCMP residues on their surface.
  • the wafer in each instance was cleaned on a Laurell Technologies Corporation (North Wales, Pennsylvania, USA) single wafer spin processor at 23°C for 90 sec at 150 rpm using diluted removal concentrates A or D (concentrate D includes 5 wt. % TMAH; 9 wt. % l-amino-2-propanol; 3.5 wt. % uric acid; 5 wt. % IPA; and 77.5 wt. % water), 30 sec at 150 rpm using deionized water, and 30 sec at 2500 rpm to spin dry the wafer.
  • the removal compositions used were diluted 20 parts diluent (water) to 1 part removal concentrate prior to wafer processing. 020732-462.816 CIP PCT (7493)
  • each wafer was subjected to atomic force microscopic (AFM) imaging (Digital Instruments Dimension 5000 Scanning Probe Microscope, Woodbury, NY, USA) to evaluate surface roughening.
  • AFM atomic force microscopic
  • For each wafer sample three random copper pads located towards the center of the wafer piece were selected for AFM analysis. At each copper pad location, a 20 ⁇ m x 20 ⁇ m region was scanned in tapping mode at a pixel density of 512 x 512 and a scan rate of 1.0 Hz.
  • the AFM images provide two RMS surface roughness measurements - one with the slurry particles which is indicate of the slurry contamination (Full Image) and one that excludes slurry particles and thus is indicative of the copper surface roughness (Partial Image).
  • FIG. Ia, Ib, and Ic which are scanning electron micrographs (SEM) at 6,000 times magnification of the control wafer, the wafer cleaned with formulation A, and the wafer cleaned with formulation D, respectively, it can be seen that the wafer following cleaning with diluted concentrate A and the wafer following cleaning with diluted concentrate D shows little difference. Importantly, the post-CMP residue was substantially removed in both cases.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

Compositions useful in microelectronic device manufacturing for cleaning of wafer substrates such as microelectronic device precursor structures. The compositions can be employed for processing of wafers that include copper metallization, for example, in operations such as post-chemical mechanical polishing cleaning of microelectronic device wafers. The aqueous compositions include at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, at least one alcohol and at least one additional organic acid antioxidant.

Description

020732-462.816 CIP PCT (7493)
URIC ACID ADDITIVE FOR CLEANING FORMULATIONS
FIELD OF THE INVENTION
[0001] The present invention relates to compositions for processing of microelectronic device substrates, including compositions useful for post-chemical mechanical polishing cleaning of microelectronic device wafers.
DESCRIPTION OF THE RELATED ART
[0002] Microelectronic device wafers are used to form integrated circuits. The microelectronic device wafer includes a substrate, such as silicon, into which regions are patterned for deposition of different materials having insulative, conductive or semi- conductive properties.
[0003] In order to obtain the correct patterning, excess material used in forming the layers on the substrate must be removed. Further, to fabricate functional and reliable circuitry, it is important to prepare a flat or planar microelectronic wafer surface prior to subsequent processing. Thus, it is necessary to remove and/or polish certain surfaces of a microelectronic device wafer.
[0004] Chemical Mechanical Polishing or Planarization ("CMP") is a process in which material is removed from a surface of a microelectronic device wafer, and the surface is polished (more specifically, planarized) by coupling a physical process such as abrasion with a chemical process such as oxidation or chelation. In its most rudimentary form, CMP involves applying slurry, a solution of an abrasive and an active chemistry, to a polishing pad that buffs the surface of a microelectronic device wafer to achieve the removal, planarization, and polishing processes. It is not desirable for the removal or polishing process to be comprised of purely physical or purely chemical action, but rather the synergistic combination of both in order to achieve fast uniform removal. In the fabrication of integrated circuits, the CMP slurry should also be able to preferentially remove films that comprise complex layers of metals and other materials so that highly planar surfaces can be produced for subsequent photolithography, or patterning, etching and thin-film processing. [0005] Recently, copper has been increasingly used for metal interconnects in integrated circuits. In copper damascene processes commonly used for metallization of circuitry in microelectronic device fabrication, the layers that must be removed and planarized include copper layers having a thickness of about 1-1.5 μm and copper seed layers having a thickness 020732-462.816 CIP PCT (7493)
of about 0.05-0.15 μm. These copper layers are separated from the dielectric material surface by a layer of barrier material, typically about 50-300 A thick, which prevents diffusion of copper into the oxide dielectric material. One key to obtaining good uniformity across the wafer surface after polishing is to use a CMP slurry that has the correct removal selectivities for each material.
[0006] The foregoing processing operations, involving wafer substrate surface preparation, deposition, plating, etching and chemical mechanical polishing, variously require cleaning operations to ensure that the microelectronic device product is free of contaminants that would otherwise deleteriously affect the function of the product, or even render it useless for its intended function.
[0007] One particular issue in this respect is the residues that are left on the microelectronic device substrate following CMP processing. Such residues include CMP material and corrosion inhibitor compounds such as benzotriazole (BTA). If not removed, these residues can cause damage to copper lines or severely roughen the copper metallization, as well as cause poor adhesion of post-CMP applied layers on the device substrate. Severe roughening of copper metallization is particularly problematic, since overly rough copper can cause poor electrical performance of the product microelectronic device. [0008] Another residue-producing process common to microelectronic device manufacturing involves gas -phase plasma etching to transfer the patterns of developed photoresist coatings to the underlying layers, which may consist of hardmask, interlevel dielectric (ILD), and etch stop layers. Post-gas phase plasma etch residues, which may include chemical elements present on the substrate and in the plasma gases, are typically deposited on the back end of the line (BEOL) structures and if not removed, may interfere with subsequent silicidation or contact formation. Conventional cleaning chemistries often damage the ILD, absorb into the pores of the ILD thereby increasing the dielectric constant, and/or corrode the metal structures.
[0009] The microelectronics industry therefore continues to seek improvement in cleaning formulations for copper-metallized substrates, and in compositions for processing of microelectronic device structures, including compositions variously useful for post-etching cleaning, post-ashing cleaning and post-chemical mechanical polishing cleaning of microelectronic device wafers.
SUMMARY OF THE INVENTION 020732-462.816 CIP PCT (7493)
[0010] The present invention relates to compositions for processing of microelectronic device substrates, including compositions variously useful for post-chemical mechanical polishing residue removal from microelectronic device wafers having said residue thereon. [0011] In one aspect, the invention relates to removal composition comprising at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, optionally at least one alcohol and optionally at least one additional organic acid antioxidant, wherein said removal composition is suitable for removing post-chemical mechanical polishing residue and contaminants from a microelectronic device having said material thereon. [0012] In another aspect, the invention relates to a kit comprising, in one or more containers, one or more of the following reagents for forming an aqueous removal composition, said one or more reagents selected from the group consisting of at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, optionally at least one alcohol and optionally at least one additional organic acid antioxidant, and wherein the kit is adapted to form a composition suitable for removing post-chemical mechanical polishing residue and contaminants from a microelectronic device having said material thereon. [0013] In yet another aspect, the invention relates to a method of removing residue and contaminants from a microelectronic device having said residue and contaminants thereon, said method comprising contacting the microelectronic device with an aqueous removal composition for sufficient time to at least partially clean said residue and contaminants from the microelectronic device, wherein the removal composition includes at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, optionally at least one alcohol and optionally at least one additional organic acid antioxidant.
[0014] In still another aspect, the invention relates to a removal composition comprising l-amino-2-propanol, tetramethylammonium hydroxide, uric acid, isopropanol and oxalic acid, wherein said removal composition is suitable for removing post-chemical mechanical polishing residue and contaminants from a microelectronic device having said material thereon.
[0015] Yet another aspect of the invention relates to a removal composition consisting essentially of at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, at least one alcohol and at least one additional organic acid antioxidant, wherein said removal composition is suitable for removing post-chemical mechanical polishing residue and contaminants from a microelectronic device having said material thereon. [0016] Still another aspect of the invention relates to a removal composition consisting of at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, at least 020732-462.816 CIP PCT (7493)
one alcohol and at least one additional organic acid antioxidant, wherein said removal composition is suitable for removing post-chemical mechanical polishing residue and contaminants from a microelectronic device having said material thereon. [0017] In still another aspect, the invention relates to a removal composition comprising at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, post-CMP residue and contaminants, optionally at least one alcohol and optionally at least one additional organic acid antioxidant, wherein said removal composition is suitable for removing post-chemical mechanical polishing residue and contaminants from a microelectronic device having said material thereon.
[0018] Another aspect of the invention relates to a method of removing post-CMP residue and contaminants from a microelectronic device having same thereon, said method comprising:
polishing the microelectronic device with a CMP slurry;
contacting the microelectronic device with a removal composition comprising at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, optionally at least one alcohol and optionally at least one additional organic acid antioxidant, for a sufficient time to remove post-CMP residue and contaminants from the microelectronic device to the removal composition to form a post-CMP residue- containing removal composition; and
continuously contacting the microelectronic device with the post-CMP residue- containing removal composition for a sufficient amount of time to effect substantial cleaning of the microelectronic device.
[0019] In a further aspect, the present invention relates to a method of manufacturing a microelectronic device, said method comprising contacting the microelectronic device with a removal composition described herein for sufficient time to at least partially clean post-CMP residue and contaminants from the microelectronic device having said residue and contaminants thereon.
[0020] Yet another aspect of the invention relates to improved microelectronic devices, and products incorporating same, made using the methods of the invention comprising cleaning of post-CMP residue and contaminants from the microelectronic device having said 020732-462.816 CIP PCT (7493)
residue and contaminants thereon, using the methods and/or compositions described herein, and optionally, incorporating the microelectronic device into a product.
[0021] Another aspect of the invention relates to an article of manufacture comprising a removal composition, a microelectronic device, and post-CMP residue and contaminants thereon, wherein the removal composition comprises at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, optionally at least one alcohol and optionally at least one additional organic acid antioxidant.
[0022] Still another aspect of the invention relates to a method of cleaning semiconductor tool parts, said method comprising contacting said tool parts with a composition for sufficient time to at least partially clean said tool parts, wherein the composition includes at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, optionally at least one alcohol, and optionally at least one additional organic acid antioxidant.
[0023] Other aspects, features and embodiments of the invention will be more fully apparent from the ensuing disclosure and appended claims.
BRIEF DESCRIPTION OF THE DRAWINGS
[0024] FIG. Ia is a scanning electron micrograph (SEM) of the control wafer (post-CMP) at 6,000 times magnification; showing residues from the CMP process and slurry particles.
[0025] FIG. Ib is a SEM of the control wafer of Fig. Ia following cleaning with a 20:1 dilution of concentrate A according to the method of the invention.
[0026] FIG. Ic is a SEM of the control wafer of Fig. Ia following cleaning with a 20:1 dilution of concentrate D according to the method of the invention.
[0027] FIG. 2a is a Partial Image atomic force micrograph (AFM) of the copper surface following cleaning with a 20:1 dilution of concentrate A according to the method of the invention.
[0028] FIG. 2b is a Partial Image atomic force micrograph (AFM) of the copper surface following cleaning with a 20:1 dilution of concentrate D according to the method of the invention.
DETAILED DESCRIPTION OF THE INVENTION, AND PREFERRED EMBODIMENTS THEREOF 020732-462.816 CIP PCT (7493)
[0029] The present invention relates to compositions that are variously useful in microelectronic device manufacturing, e.g., for processing of wafer articles that have or are intended to be further processed to have copper metallization, in operations such as post- chemical mechanical polishing cleaning of microelectronic device wafers. [0030] For ease of reference, "microelectronic device" corresponds to semiconductor substrates, flat panel displays, and microelectromechanical systems (MEMS), manufactured for use in microelectronic, integrated circuit, or computer chip applications. It is to be understood that the term "microelectronic device" is not meant to be limiting in any way and includes any substrate that will eventually become a microelectronic device or microelectronic assembly. Preferably, the microelectronic device comprises a semiconductor substrate.
[0031] As used herein, "post-CMP residue" corresponds to particles from the polishing slurry, carbon-rich particles, polishing pad particles, brush deloading particles, equipment materials of construction particles, copper, copper oxides, organic residues, and any other materials that are the by-products of the CMP process.
[0032] As used herein, "contaminants" correspond to chemicals present in the CMP slurry, reaction by-products of the polishing slurry, and any other materials that are the byproducts of the CMP process.
[0033] As defined herein, "post-etch residue" corresponds to material remaining following gas-phase plasma etching processes, e.g., BEOL dual damascene processing. The post-etch residue may be organic, organometallic, organosilicic, or inorganic in nature, for example, silicon-containing material, carbon-based organic material, and etch gas residue such as oxygen and fluorine.
[0034] As defined herein, "post-ash residue," corresponds to material remaining following oxidative or reductive plasma ashing to remove hardened photoresist and/or bottom anti-reflective coating (BARC) materials. The post-ash residue may be organic, organometallic, organosilicic, or inorganic in nature.
[0035] As used herein, "about" is intended to correspond to ± 5 % of the stated value. [0036] As used herein, "suitability" for cleaning residue and contaminants from a microelectronic device having said residue and contaminants thereon corresponds to at least partial removal of said residue/contaminants from the microelectronic device. Preferably, at least 90% of the residue/contaminants is removed from the microelectronic device using the 020732-462.816 CIP PCT (7493)
compositions of the invention, more preferably, at least 95%, and most preferably, at least 99% of the residue/contaminants is removed.
[0037] As defined herein, "low-k dielectric material" corresponds to any material used as a dielectric material in a layered microelectronic device, wherein the material has a dielectric constant less than about 3.5. Preferably, the low-k dielectric materials include low-polarity materials such as silicon-containing organic polymers, silicon-containing hybrid organic/inorganic materials, organosilicate glass (OSG), TEOS, fluorinated silicate glass (FSG), silicon dioxide, and carbon-doped oxide (CDO) glass. It is to be appreciated that the low-k dielectric materials may have varying densities and varying porosities. [0038] Importantly, the aqueous compositions of the present invention must possess good metal compatibility, e.g., a low etch rate on the interconnect metal and/or interconnector metal suicide material. Metals of interest include, but are not limited to, copper, tungsten, cobalt, aluminum, tantalum, titanium, ruthenium, and suicides thereof.
[0039] Compositions of the invention may be embodied in a wide variety of specific formulations, as hereinafter more fully described.
[0040] In all such compositions, wherein specific components of the composition are discussed in reference to weight percentage ranges including a zero lower limit, it will be understood that such components may be present or absent in various specific embodiments of the composition, and that in instances where such components are present, they may be present at concentrations as low as 0.001 weight percent, based on the total weight of the composition in which such components are employed.
[0041] The removal compositions of the invention are aqueous compositions including uric acid and at least one alcohol, which are present in the composition in relative amounts imparting to the composition an effectiveness for cleaning for which the composition is used. In another embodiment, the removal compositions of the invention are aqueous compositions including at least one alkanolamine, at least one quaternary ammonium hydroxide, and uric acid. In another embodiment, the removal compositions of the invention are aqueous compositions including at least one alkanolamine, at least one quaternary ammonium hydroxide, at least one alcohol, and uric acid. In still another embodiment, the removal compositions of the invention are aqueous compositions including at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, and at least one additional organic acid antioxidant. In yet another embodiment, the removal compositions of the invention are aqueous compositions including at least one alkanolamine, at least one quaternary ammonium hydroxide, at least one alcohol, uric acid, and at least one additional organic acid antioxidant. 020732-462.816 CIP PCT (7493)
[0042] In the broad practice of the invention, the removal compositions of the invention may comprise, consist of, or consist essentially of: (i) at least one alkanolamine and at least one alcohol; (ii) at least one alkanolamine, at least one quaternary ammonium hydroxide, and uric acid; (iii) at least one alkanolamine, at least one quaternary ammonium hydroxide, at least one alcohol, and uric acid; (iv) at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, and at least one additional organic acid antioxidant or (v) at least one alkanolamine, at least one quaternary ammonium hydroxide, at least one alcohol, uric acid, and at least one additional organic acid antioxidant. In general, the specific proportions and amounts of components, in relation to each other, may be suitably varied to provide the desired removal action of the composition for the post-CMP residue and/or processing equipment, as readily determinable within the skill of the art without undue effort. The water is preferably deionized.
[0043] The range of weight percent ratios of the components of the removal composition is about 0.3 to about 0.8 quaternary ammonium hydroxide(s) relative to alkanolamine(s), preferably about 0.4 to about 0.7, and most preferably about 0.5 to about 0.6; about 0.3 to about 0.8 alcohol(s) (when present) relative to alkanolamine(s), preferably about 0.4 to about 0.7, and most preferably about 0.5 to about 0.6; about 0.01 to about 0.50 uric acid relative to alkanolamine(s), preferably about 0.1 to about 0.45, and most preferably about 0.15 to about 0.4; and about 0.01 to about 0.5 additional organic acid antioxidant(s) (when present) relative to alkanolamine(s), preferably about 0.1 to about 0.4, and most preferably about 0.2 to about 0.3.
[0044] In a specific aspect, the removal compositions may be formulated to be substantially devoid of hydrogen peroxide, cyclic ethers, and metal corrosion inhibiting metal halides. "Substantially devoid" is defined herein as less than 2 wt. %, preferably less than 1 wt. %, more preferably less than 0.5 wt. %, and most preferably less than 0.1 wt. %. [0045] It will be appreciated that in general cleaning applications, it is common practice to make highly concentrated forms to be used at extreme dilutions. For example, the removal composition may be diluted at the manufacturer, before use, and/or during use at the fab. Dilution ratios may be in a range from 1 part diluent: 1 part removal composition to 200 parts diluent: 1 part removal composition, preferably 10: 1 to 200:1, and most preferably 20:1 to 200:1. The preferred diluent includes deionized water. It is understood that upon dilution, the weight percent ratios of the components of the removal composition will remain unchanged. 020732-462.816 CIP PCT (7493)
[0046] The pH of the removal compositions may be varied to produce a composition optimized for the intended end use. In general, the pH will be basic, e.g., greater than about 8.5 and less than about 11.5. For example, concentrated removal compositions of the invention have a higher pH, e.g., about 11 to about 11.5, and following dilution as described herein, the pH of the diluted composition will decrease to about 9 to about 10, respectively. Preferably, diluted removal compositions of the invention have pH in a range from about 8.5 to 9.5.
[0047] The inclusion of uric acid in the removal composition of the invention decreases metal, e.g., copper, erosion thus decreasing metal etch rates, metal surface roughness, and metal feature erosion; relative to formulations without uric acid. Importantly, as defined herein, "uric acid" also covers derivatives of uric acid.
[0048] Illustrative alkanolamines that may be useful in specific compositions include compositions having the general formula NR1R2R3, wherein R1, R2 and R3 may be the same as or different from one another and are selected from the group consisting of hydrogen, straight-chained or branched Ci-C6 alkyl (e.g., methyl, ethyl, propyl, butyl, pentyl, and hexyl) and straight-chained or branched Ci-C6 alcohol (e.g., methanol, ethanol, propanol, butanol, pentanol, and hexanol), and wherein at least one of R1, R2 and R3 is a straight-chained or branched Ci-C6 alcohol. Examples include, without limitation, aminoethylethanolamine, N- methylaminoethanol, aminoethoxyethanol, dimethylaminoethoxyethanol, diethanolamine, N- methyldiethanolamine, monoethanolamine, triethanolamine, l-amino-2-propanol, 2-amino-l- butanol, isobutanolamine, triethylenediamine, other Ci - C6 alkanolamines and combinations thereof. Preferably, the alkanolamine comprises l-amino-2-propanol and/or monoethanolamine.
[0049] Quaternary ammonium hydroxides contemplated herein include compounds having the formula NR1R2R3R4OH, wherein R1, R2, R3 and R4 may be the same as or different from one another and are selected from the group consisting of hydrogen, straight- chained or branched Ci-C6 alkyl (e.g., methyl, ethyl, propyl, butyl, pentyl, and hexyl), and substituted or unsubstituted C6-CiO aryl, e.g., benzyl. Tetraalkylammonium hydroxides that are commercially available include tetraethylammonium hydroxide (TEAH), tetramethy ammonium hydroxide (TMAH), tetrapropylammonium hydroxide (TPAH), tetrabutylammonium hydroxide (TBAH), tributylmethylammonium hydroxide (TBMAH), benzyltrimethylammonium hydroxide (BTMAH), and combinations thereof, may be used. Tetraalkylammonium hydroxides which are not commercially available may be prepared in a manner analogous to the published synthetic methods used to prepare TMAH, TEAH, TPAH, 020732-462.816 CIP PCT (7493)
TBAH, TBMAH, and BTMAH, which are known to one ordinary of skill in the art.
Preferably, the quaternary base comprises TMAH.
[0050] Illustrative alcohols include at least one straight-chained or branched Ci-C6 alcohol, e.g., methanol, ethanol, propanol, butanol, pentanol, and hexanol. Preferably, the alcohol comprises isopropanol (IPA).
[0051] Additional organic acid antioxidants contemplated herein include oxalic acid, citric acid, tannic acid, ascorbic acid, L(+)- ascorbic acid, isoascorbic acid, ascorbic acid derivatives, benzoic acid, ammonium benzoate, catechol, pyrogallol, resorcinol, hydroquinone, cyanuric acid, barbituric acid and derivatives such as 1 ,2-dimethylbarbituric acid, and combinations thereof.
[0052] In various preferred embodiments, concentrates of the removal composition of the invention may have the following weight percent ratios of one component relative to another component:
Concentrate A: wt. % ratio of TMAH relative to l-amino-2-propanol of 0.56; wt. % ratio of uric acid relative to l-amino-2-propanol of 0.39; wt. % ratio of IPA relative to l-amino-2- propanol of 0.56; wt. % ratio of oxalic acid relative to l-amino-2-propanol of 0.22
Concentrate B: wt. % ratio of TMAH relative to l-amino-2-propanol of 0.56; wt. % ratio of uric acid relative to l-amino-2-propanol of 0.056; wt. % ratio of IPA relative to l-amino-2- propanol of 0.56; wt. % ratio of oxalic acid relative to l-amino-2-propanol of 0.056
Concentrate C: wt. % ratio of TMAH relative to l-amino-2-propanol of 0.56; wt. % ratio of uric acid relative to l-amino-2-propanol of 0.167; wt. % ratio of IPA relative to l-amino-2- propanol of 0.56; wt. % ratio of oxalic acid relative to l-amino-2-propanol of 0.22
[0053] Concentrates A-C may diluted with water at the manufacturer or at the fab to produce the following Concentrates D-F, wherein all percentages are by weight, based on the total weight of the formulation:
Concentrate D: 5 wt. % TMAH; 9 wt. % l-amino-2-propanol; 3.5 wt. % uric acid; 5 wt. % IPA; 2 wt. % oxalic acid; 75.5 wt. % water 020732-462.816 CIP PCT (7493)
Concentrate E: 5 wt. % TMAH; 9 wt. % l-amino-2-propanol; 0.5 wt. % uric acid; 5 wt. % IPA; 0.5 wt. % oxalic acid; 80.0 wt. % water
Concentrate F: 5 wt. % TMAH; 9 wt. % l-amino-2-propanol; 1.5 wt. % uric acid; 5 wt. % IPA; 2 wt. % oxalic acid; 77.5 wt. % water
[0054] Concentrates D-F may be further diluted according to the invention described herein. For example, Concentrates D-F may be diluted in a ratio of 20: 1 diluent to concentrate, as described herein.
[0055] Importantly, the removal compositions of the invention provide at least one of the following benefits: an alkaline pH to maximize particle repulsion from the surface; solubilization of organic and inorganic residues; surfactant properties and solubility enhancement via the alcohol; and the minimization of corrosion of metal layers in the microelectronic device structure. Furthermore, dielectric material, including low-k dielectric material, on the microelectronic device is not compromised by the removal composition. Preferably, the etch rate of metal material is in a range from about 0.01 A min"1 to about 10 A min"1, and most preferably about 0.01 A min"1 to about 5 A min"1.
[0056] In a particularly preferred embodiment, the removal composition of the invention is aqueous and comprises, consists of, or consists essentially of TMAH, l-amino-2-propanol, uric acid, IPA, and oxalic acid.
[0057] In another embodiment, the removal compositions of the invention further include post-CMP residue material. Importantly, the residue material may be dissolved and/or suspended in the removal composition of the invention. Accordingly, in another particularly preferred embodiment, the removal composition of the invention is aqueous and comprises, consists of, or consists essentially of TMAH, l-amino-2-propanol, uric acid, IPA, oxalic acid, and post-CMP residue material.
[0058] Specific compositions within the broad scope of the invention variously include: compositions having utility for post-chemical mechanical planarization (PCMP) cleaning of microelectronic device substrates; and compositions having utility for cleaning of microelectronic device substrates after etch and/or ash processing thereof. When utilizing the removal compositions of the invention for post-etch removal, the removal compositions are preferably used in concentrated form although some dilution with water is contemplated herein when necessary. 020732-462.816 CIP PCT (7493)
[0059] Compositions of the invention are stable in character and do not degrade in the manner of formulations of the prior art. Thus, the compositions are storage stable, without loss of efficacy, and resistant to oxygen-mediated degradation, so that they may be used in ambient air environments, without loss of effectiveness. Furthermore, because the oxidizable components have relatively good air stability as compared to other antioxidant species, the compositions of the invention may be recirculated in batch or single wafer processing tools. [0060] The removal compositions of the invention are easily formulated by simple addition of the respective ingredients and mixing to homogeneous condition. Furthermore, the removal compositions may be readily formulated as single-package formulations or multi-part formulations that are mixed at or before the point of use, e.g., the individual parts of the multi-part formulation may be mixed at the tool or in a storage tank upstream of the tool. The concentrations of the respective ingredients may be widely varied in specific multiples of the removal composition, i.e., more dilute or more concentrated, in the broad practice of the invention, and it will be appreciated that the removal compositions of the invention can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein.
[0061] Accordingly, another aspect of the invention relates to a kit including, in one or more containers, one or more components adapted to form the compositions of the invention. For example, the kit may include, in one or more containers, at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, at least one alcohol (when present), and at least one additional organic acid antioxidant (when present), and optionally water, for combining with the diluent, e.g., water, at the fab or the point of use. The containers of the kit must be suitable for storing and shipping said removal compositions, for example, NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA). The one or more containers which contain the components of the removal composition preferably include means for bringing the components in said one or more containers in fluid communication for blending and dispense. For example, referring to the NOWPak® containers, gas pressure may be applied to the outside of a liner in said one or more containers to cause at least a portion of the contents of the liner to be discharged and hence enable fluid communication for blending and dispense. Alternatively, gas pressure may be applied to the head space of a conventional pressurizable container or a pump may be used to enable fluid communication. In addition, the system preferably includes a dispensing port for dispensing the blended removal composition to a process tool. 020732-462.816 CIP PCT (7493)
[0062] Substantially chemically inert, impurity-free, flexible and resilient polymeric film materials, such as high density polyethylene, are preferably used to fabricate the liners for said one or more containers. Desirable liner materials are processed without requiring co- extrusion or barrier layers, and without any pigments, UV inhibitors, or processing agents that may adversely affect the purity requirements for components to be disposed in the liner. A listing of desirable liner materials include films comprising virgin (additive-free) polyethylene, virgin polytetrafluoroethylene (PTFE), polypropylene, polyurethane, polyvinylidene chloride, polyvinylchloride, polyacetal, polystyrene, polyacrylonitrile, polybutylene, and so on. Preferred thicknesses of such liner materials are in a range from about 5 mils (0.005 inch) to about 30 mils (0.030 inch), as for example a thickness of 20 mils (0.020 inch).
[0063] Regarding the containers for the kits of the invention, the disclosures of the following patents and patent applications are hereby incorporated herein by reference in their respective entireties: U.S. Patent No. 7,188,644 entitled "APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS;" U.S. Patent No. 6,698,619 entitled "RETURNABLE AND REUSABLE, BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM;" and U.S. Patent Application No. 60/916,966 entitled "SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION" filed on May 9, 2007 in the name of John E.Q. Hughes.
[0064] Proposed kits include, in one container, at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, at least one alcohol (when present), and at least one additional organic acid antioxidant (when present), and optionally water, for combining with the diluent, e.g., water, at the fab or the point of use. In the alternative, the kit may include two containers, one container including the at least one alkanolamine, at least one quaternary ammonium hydroxide, at least one alcohol (when present), and some water, and the other container including uric acid, at least one additional organic acid antioxidant (when present), and water. In another alternative, the kit may include three containers, one container including the at least one alkanolamine, at least one quaternary ammonium hydroxide, at least one alcohol (when present), and some water, a second container including uric acid, and water, and a third container including at least one additional organic acid antioxidant and water. In yet another alternative, each component is present in its own container wherein additional water is present in the uric acid and the at least one additional organic acid antioxidant (when present) containers. Water may optionally be added to the at 020732-462.816 CIP PCT (7493)
least one alkanolamine, the at least one quaternary ammonium hydroxide, and the at least one alcohol (when present) containers. In each case, additional water may be added directly to the container system and/or at a subsequent blending/dilution vessel.
[0065] In residue removal application, the composition is applied in any suitable manner to the device to be cleaned, e.g., by spraying the composition on the surface of the device to be cleaned, by dipping (in a volume of the composition) the device to be cleaned, by contacting the device to be cleaned with another material, e.g., a pad, or fibrous sorbent applicator element, that is saturated with the composition, or by any other suitable means, manner or technique by which the composition is brought into removal contact with the device to be cleaned. Further, batch or single wafer processing is contemplated herein. In post-CMP residue and contaminant cleaning application, the composition may be used with a large variety of conventional cleaning tools, including Verteq single wafer megasonic Goldfinger, OnTrak systems DDS (double-sided scrubbers), Laurell spin-spray tools, SEZ single wafer spray rinse, Applied Materials Mirra-Mesa™ /Reflexion ™/Reflexion LK™, and Megasonic batch wet bench systems.
[0066] In use of the compositions of the invention for cleaning post-CMP residue and contaminants from microelectronic devices having same thereon, the composition typically is contacted with the device for a time of from about 5 sec to about 10 minutes, preferably about 15 sec to 5 min, at temperature in a range of from about 200C to about 500C. Such contacting times and temperatures are illustrative, and any other suitable time and temperature conditions may be employed that are efficacious to at least partially clean the post-CMP residue/contaminants from the device, within the broad practice of the invention. As defined herein, "at least partial removal" corresponds to at least 90% removal of post-CMP residue material, preferably at least 95% removal of post-CMP residue material. Most preferably, at least 99% of the post-CMP residue material is removed using the compositions of the present invention.
[0067] Following the achievement of the desired cleaning action, the composition may be readily removed from the device to which it has previously been applied, as may be desired and efficacious in a given end use application of the compositions of the present invention. For example, the device may be rinsed with a rinse solution including deionized water and/or dried (e.g., spin-dry, N2, etc.).
[0068] Yet another aspect of the invention relates to the improved microelectronic devices made according to the methods of the invention and to products containing such microelectronic devices. 020732-462.816 CIP PCT (7493)
[0069] A still further aspect of the invention relates to methods of manufacturing an article comprising a microelectronic device, said method comprising contacting the microelectronic device with a composition for sufficient time to clean post-CMP residue and contaminants from the microelectronic device having said residue and contaminants thereon, and incorporating said microelectronic device into said article, wherein the composition includes at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, optionally at least one alcohol, and optionally at least one additional organic acid antioxidant. [0070] In still another aspect, the invention relates to a method of cleaning semiconductor tool parts, said method comprising contacting said tool parts with a composition for sufficient time to clean said parts, wherein the composition includes at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, optionally at least one alcohol, and optionally at least one additional organic acid antioxidant. In cleaning application, the composition is applied in any suitable manner to the tool part to be cleaned, e.g., by spraying the composition on the surface of the tool part to be cleaned, by dipping (in a volume of the composition) the tool part to be cleaned, by contacting the tool part to be cleaned with another material, e.g., a pad, or fibrous sorbent applicator element, that is saturated with the composition, or by any other suitable means, manner or technique by which the composition is brought into removal contact with the tool part to be cleaned. Typically, tool parts include many of the same residual and particulate material that is to be removed from the microelectronic device, e.g., post-CMP residue and contaminants, post-etch residue, post-ash residue, and combinations thereof.
[0071] The following Examples are merely illustrative of the invention and are not intended to be limiting.
Example 1
[0072] Compositions were employed for post-CMP cleaning of Sematech 854 pattern wafers having dried slurry and other PCMP residues on their surface. The wafer in each instance was cleaned on a Laurell Technologies Corporation (North Wales, Pennsylvania, USA) single wafer spin processor at 23°C for 90 sec at 150 rpm using diluted removal concentrates A or D (concentrate D includes 5 wt. % TMAH; 9 wt. % l-amino-2-propanol; 3.5 wt. % uric acid; 5 wt. % IPA; and 77.5 wt. % water), 30 sec at 150 rpm using deionized water, and 30 sec at 2500 rpm to spin dry the wafer. Importantly, the removal compositions used were diluted 20 parts diluent (water) to 1 part removal concentrate prior to wafer processing. 020732-462.816 CIP PCT (7493)
[0073] Following treatment, each wafer was subjected to atomic force microscopic (AFM) imaging (Digital Instruments Dimension 5000 Scanning Probe Microscope, Woodbury, NY, USA) to evaluate surface roughening. For each wafer sample, three random copper pads located towards the center of the wafer piece were selected for AFM analysis. At each copper pad location, a 20 μm x 20 μm region was scanned in tapping mode at a pixel density of 512 x 512 and a scan rate of 1.0 Hz.
[0074] The AFM images provide two RMS surface roughness measurements - one with the slurry particles which is indicate of the slurry contamination (Full Image) and one that excludes slurry particles and thus is indicative of the copper surface roughness (Partial Image).
[0075] Referring to FIG. Ia, Ib, and Ic, which are scanning electron micrographs (SEM) at 6,000 times magnification of the control wafer, the wafer cleaned with formulation A, and the wafer cleaned with formulation D, respectively, it can be seen that the wafer following cleaning with diluted concentrate A and the wafer following cleaning with diluted concentrate D shows little difference. Importantly, the post-CMP residue was substantially removed in both cases.
[0076] The AFM micrographs shown in FIG. 2a and 2b, corresponding to the Partial Image of the wafer following cleaning with diluted concentrate A and diluted concentrate D, respectively, reveal that the addition of the second organic acid antioxidant compound (FIG. 3a - RMS roughness = 0.443) provides the benefit of decreased copper surface roughening as compared to the formula without the second organic acid antioxidant compound (Fig. 3b - RMS roughness = 0.778).
[0077] While the invention has been has been described herein in reference to specific aspects, features and illustrative embodiments of the invention, it will be appreciated that the utility of the invention is not thus limited, but rather extends to and encompasses numerous other variations, modifications and alternative embodiments, as will suggest themselves to those of ordinary skill in the field of the present invention, based on the disclosure herein. Correspondingly, the invention as hereinafter claimed is intended to be broadly construed and interpreted, as including all such variations, modifications and alternative embodiments, within its spirit and scope.

Claims

020732-462.816 CIP PCT (7493)THE CLAIMSWhat is claimed is:
1. A removal composition comprising at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, optionally at least one alcohol and optionally at least one additional organic acid antioxidant, wherein said removal composition is suitable for removing post-chemical mechanical polishing residue and contaminants from a microelectronic device having said material thereon.
2. The composition of claim 1, wherein the at least one alkanolamine comprises a species selected from the group consisting of aminoethylethanolamine, N- methylaminoethanol, aminoethoxyethanol, dimethylaminoethoxyethanol, diethanolamine, N- methyldiethanolamine, monoethanolamine, triethanolamine, l-amino-2-propanol, 2-amino-l- butanol, isobutanolamine, triethylenediamine, other Ci - C6 alkanolamines and combinations thereof.
3. The composition of claim 1, wherein the at least one alkanolamine comprises 1- amino-2-propanol.
4. The composition of claim 1, wherein the at least one quaternary ammonium hydroxide comprises a NR R R R OH compound, wherein R , R , R and R may be the same as or different from one another and are selected from the group consisting of hydrogen, straight-chained Ci-C6 alkyl, branched Ci-C6 alkyl, substituted C6-CiO aryl, and unsubstituted C6-Ci0 aryl.
5. The composition of claim 1, wherein the at least one quaternary ammonium hydroxide comprises tetramethylammonium hydroxide (TMAH).
6. The composition of claim 1, comprising at least one alcohol, wherein the at least one alcohol comprises a straight-chained or branched Ci-C6 alcohol.
7. The composition of claim 1, comprising at least one alcohol, wherein the at least one alcohol comprises isopropanol (IPA). 020732-462.816 CIP PCT (7493)
8. The composition of claim 1, comprising at least one additional organic acid antioxidant, wherein the at least one additional organic acid antioxidant comprises an acid selected from the group consisting of oxalic acid, citric acid, tannic acid, ascorbic acid, L(+)- ascorbic acid, isoascorbic acid, ascorbic acid derivatives, benzoic acid, ammonium benzoate, catechol, pyrogallol, resorcinol, hydroquinone, cyanuric acid, barbituric acid and derivatives such as 1 ,2-dimethylbarbituric acid, and combinations thereof.
9. The composition of claim 1, wherein the microelectronic device comprises an article selected from the group consisting of semiconductor substrates, flat panel displays, and microelectromechanical systems (MEMS).
10. The composition of claim 1, wherein the weight percent ratio of the quaternary ammonium hydroxide(s) relative to alkanolamine(s) is in a range from about 0.3 to about 0.8.
11. The composition of claim 1, comprising at least one alcohol, wherein the weight percent ratio of the alcohol(s) relative to alkanolamine(s) is in a range from about 0.3 to about 0.8.
12. The composition of claim 1, wherein the weight percent ratio of the uric acid(s) relative to alkanolamine(s) is in a range from about 0.01 to about 0.50.
13. The composition of claim 1, comprising at least one additional organic acid antioxidant, wherein the weight percent ratio of the additional organic acid antioxidant(s) relative to alkanolamine(s) is in a range from about 0.01 to about 0.5.
14. The composition of claim 1, wherein the pH of the composition is in a range from about 8.5 to about 11.5.
15. The composition of claim 1, wherein the pH of the composition is in a range from about 8.5 to about 9.5.
16. The composition of claim 1, wherein the post-CMP residue and contaminants comprise materials selected from the group consisting of particles from a CMP polishing 020732-462.816 CIP PCT (7493)
slurry, chemicals present in the CMP polishing slurry, reaction by-products of the CMP polishing slurry, carbon-rich particles, polishing pad particles, brush deloading particles, equipment materials of construction particles, copper, and copper oxides.
17. The composition of claim 1, further comprising post-CMP residue and contaminants.
18. The composition of claim 1, wherein the composition is diluted in a range from about 20: 1 to about 200:1.
19. The composition of claim 1, comprising l-amino-2-propanol, tetramethylammonium hydroxide, uric acid, isopropanol and oxalic acid.
20. A removal composition consisting essentially of at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, at least one alcohol and at least one additional organic acid antioxidant, wherein said removal composition is suitable for removing post-chemical mechanical polishing residue and contaminants from a microelectronic device having said material thereon.
21. A removal composition consisting of at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, at least one alcohol and at least one additional organic acid antioxidant, wherein said removal composition is suitable for removing post- chemical mechanical polishing residue and contaminants from a microelectronic device having said material thereon.
22. The composition of claim 21, consisting of l-amino-2-propanol, tetramethylammonium hydroxide, uric acid, isopropanol and oxalic acid.
23. A removal composition comprising at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, post-CMP residue and contaminants, optionally at least one alcohol and optionally at least one additional organic acid antioxidant, wherein said removal composition is suitable for removing post-chemical mechanical polishing residue and contaminants from a microelectronic device having said material thereon.
24. The composition of claim 22, wherein the wherein the post-CMP residue and 020732-462.816 CIP PCT (7493)
contaminants comprise materials selected from the group consisting of particles from a CMP polishing slurry, chemicals present in the CMP polishing slurry, reaction by-products of the CMP polishing slurry, carbon-rich particles, polishing pad particles, brush deloading particles, equipment materials of construction particles, copper, and copper oxides.
25. A method of removing residue and contaminants from a microelectronic device having said residue and contaminants thereon, said method comprising contacting the microelectronic device with an aqueous removal composition for sufficient time to at least partially clean said residue and contaminants from the microelectronic device, wherein the removal composition includes at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, optionally at least one alcohol and optionally at least one additional organic acid antioxidant.
26. The method of claim 25, wherein said residue and contaminants comprise post-CMP residue and contaminants selected from the group consisting of particles from a CMP polishing slurry, chemicals present in the CMP polishing slurry, reaction by-products of the CMP polishing slurry, carbon-rich particles, polishing pad particles, brush deloading particles, equipment materials of construction particles, copper, and copper oxides.
27. The method of claim 25, wherein said contacting is selected from the group consisting of: time of from about 15 seconds to about 5 minutes; temperature in a range of from about 200C to about 500C; and combinations thereof.
28. The method of claim 25, wherein the at least one alkanolamine comprises a species selected from the group consisting of aminoethylethanolamine, N-methylaminoethanol, aminoethoxyethanol, dimethylaminoethoxyethanol, diethanolamine, N- methyldiethanolamine, monoethanolamine, triethanolamine, l-amino-2-propanol, 2-amino-l- butanol, isobutanolamine, triethylenediamine, other Ci - C6 alkanolamines and combinations thereof; and
wherein the at least one quaternary ammonium hydroxide comprises a NR1R2R3R4OH compound, wherein R1, R2, R3 and R4 may be the same as or different from one another and are selected from the group consisting of hydrogen, straight-chained Ci-C6 alkyl, branched Ci-C6 alkyl, substituted C6-CiO aryl, and unsubstituted C6-CiO aryl. 020732-462.816 CIP PCT (7493)
29. The method of claim 25, wherein the aqueous removal composition comprises at least one alcohol and wherein the at least one alcohol comprises a straight-chained or branched C1- C6 alcohol; and
30. The method of claim 25, wherein the aqueous removal composition comprises at least one additional organic acid antioxidant and wherein the at least one additional organic acid antioxidant comprises an acid selected from the group consisting of oxalic acid, citric acid, tannic acid, ascorbic acid, and combinations thereof.
31. The method of claim 25, wherein the weight percent ratio of the quaternary ammonium hydroxide(s) relative to alkanolamine(s) is in a range from about 0.3 to about 0.8; and the weight percent ratio of the uric acid(s) relative to alkanolamine(s) is in a range from about 0.01 to about 0.50.
32. The method of claim 25, wherein the microelectronic device comprises an article selected from the group consisting of semiconductor substrates, flat panel displays, and microelectromechanical systems (MEMS).
33. The method of claim 25, wherein the pH of the composition is in a range from about 8.5 to about 11.5.
34. The method of claim 25, wherein the removal composition further comprises post- CMP residue material.
35. The method of claim 25, further comprising diluting the alkaline aqueous cleaning composition with solvent at or before a point of use.
36. The method of claim 35, wherein the removal composition is diluted in a range from about 20:1 to about 200:1.
37. The method of claim 35, wherein said solvent comprises water.
38. The method of claim 25, wherein the microelectronic device comprises copper- 020732-462.816 CIP PCT (7493)
containing material.
39. The method of claim 25, wherein the contacting comprises a process selected from the group consisting of: spraying the removal composition on a surface of the microelectronic device; dipping the microelectronic device in a sufficient volume of removal composition; contacting a surface of the microelectronic device with another material that is saturated with the removal composition; and contacting the microelectronic device with a circulating removal composition.
40. The method of claim 25, further comprising rinsing the microelectronic device with deionized water following contact with the removal composition.
41. An article of manufacture comprising an aqueous removal composition, a microelectronic device, and post-CMP residue and contaminants thereon, wherein the aqueous removal composition comprises at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, optionally at least one alcohol and optionally at least one additional organic acid antioxidant.
42. An article of manufacture comprising an aqueous removal composition, a microelectronic device, and post-CMP residue and contaminants thereon, wherein the aqueous removal composition consists of at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, optionally at least one alcohol and optionally at least one additional organic acid antioxidant.
43. A method of cleaning semiconductor tool parts, said method comprising contacting said tool parts with a composition for sufficient time to at least partially clean said tool parts, wherein the composition includes at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, optionally at least one alcohol, and optionally at least one additional organic acid antioxidant.
44. A kit comprising, in one or more containers, one or more of the following reagents for forming an aqueous removal composition, said one or more reagents selected from the group consisting of at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, optionally at least one alcohol and optionally at least one additional organic acid 020732-462.816 CIP PCT (7493)
antioxidant, and wherein the kit is adapted to form the composition of claim 1.
45. The kit of claim 44, wherein the removal composition is diluted in a range from about 5:1 to about 200:1 with a diluent.
46. The kit of claim 45, wherein the diluent comprises water.
47. The kit of claim 44, wherein the one or more reagents are brought into fluid communication for blending and dispense.
PCT/US2007/079044 2006-09-21 2007-09-20 Uric acid additive for cleaning formulations WO2008036823A2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US12/409,267 US8685909B2 (en) 2006-09-21 2009-03-23 Antioxidants for post-CMP cleaning formulations
US14/224,672 US9528078B2 (en) 2006-09-21 2014-03-25 Antioxidants for post-CMP cleaning formulations
US14/595,758 USRE46427E1 (en) 2006-09-21 2015-01-13 Antioxidants for post-CMP cleaning formulations
US15/383,210 US20170096624A1 (en) 2006-09-21 2016-12-19 New antioxidants for post-cmp cleaning formulations

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US84630606P 2006-09-21 2006-09-21
US60/846,306 2006-09-21

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2008/063885 Continuation-In-Part WO2008144501A2 (en) 2006-09-21 2008-05-16 New antioxidants for post-cmp cleaning formulations

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/409,267 Continuation-In-Part US8685909B2 (en) 2006-09-21 2009-03-23 Antioxidants for post-CMP cleaning formulations

Publications (2)

Publication Number Publication Date
WO2008036823A2 true WO2008036823A2 (en) 2008-03-27
WO2008036823A3 WO2008036823A3 (en) 2008-10-16

Family

ID=39201272

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/079044 WO2008036823A2 (en) 2006-09-21 2007-09-20 Uric acid additive for cleaning formulations

Country Status (2)

Country Link
TW (1) TW200829695A (en)
WO (1) WO2008036823A2 (en)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2164938A2 (en) * 2007-05-17 2010-03-24 Advanced Technology Materials, Inc. New antioxidants for post-cmp cleaning formulations
US8765653B2 (en) 2009-07-07 2014-07-01 Air Products And Chemicals, Inc. Formulations and method for post-CMP cleaning
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
US9831088B2 (en) 2010-10-06 2017-11-28 Entegris, Inc. Composition and process for selectively etching metal nitrides
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
US10347504B2 (en) 2013-12-20 2019-07-09 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10472567B2 (en) 2013-03-04 2019-11-12 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
US10557107B2 (en) 2014-01-29 2020-02-11 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
US10920141B2 (en) 2013-06-06 2021-02-16 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6440326B1 (en) * 1998-08-13 2002-08-27 Mitsubishi Gas Chemical Company, Inc. Photoresist removing composition

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6440326B1 (en) * 1998-08-13 2002-08-27 Mitsubishi Gas Chemical Company, Inc. Photoresist removing composition

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2164938A2 (en) * 2007-05-17 2010-03-24 Advanced Technology Materials, Inc. New antioxidants for post-cmp cleaning formulations
EP2164938A4 (en) * 2007-05-17 2013-05-01 Advanced Tech Materials New antioxidants for post-cmp cleaning formulations
US8765653B2 (en) 2009-07-07 2014-07-01 Air Products And Chemicals, Inc. Formulations and method for post-CMP cleaning
US9831088B2 (en) 2010-10-06 2017-11-28 Entegris, Inc. Composition and process for selectively etching metal nitrides
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
US10472567B2 (en) 2013-03-04 2019-11-12 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10920141B2 (en) 2013-06-06 2021-02-16 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
US10347504B2 (en) 2013-12-20 2019-07-09 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
US10557107B2 (en) 2014-01-29 2020-02-11 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use

Also Published As

Publication number Publication date
TW200829695A (en) 2008-07-16
WO2008036823A3 (en) 2008-10-16

Similar Documents

Publication Publication Date Title
USRE46427E1 (en) Antioxidants for post-CMP cleaning formulations
EP2164938B1 (en) New antioxidants for post-cmp cleaning formulations
US7922823B2 (en) Compositions for processing of semiconductor substrates
US9340760B2 (en) Non-amine post-CMP composition and method of use
WO2008036823A2 (en) Uric acid additive for cleaning formulations
TWI565797B (en) Cleaning liquid composition for electronic device
WO2014186538A1 (en) Compositions and methods for removing ceria particles from a surface
TW201404877A (en) Aqueous clean solution with low copper etch rate for organic residue removal improvement
US20150045277A1 (en) Post-cmp formulation having improved barrier layer compatibility and cleaning performance
WO2013058770A1 (en) Non-amine post-cmp composition and method of use

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07814947

Country of ref document: EP

Kind code of ref document: A2

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 07814947

Country of ref document: EP

Kind code of ref document: A2