TW200829695A - Uric acid additive for cleaning formulations - Google Patents

Uric acid additive for cleaning formulations Download PDF

Info

Publication number
TW200829695A
TW200829695A TW096135610A TW96135610A TW200829695A TW 200829695 A TW200829695 A TW 200829695A TW 096135610 A TW096135610 A TW 096135610A TW 96135610 A TW96135610 A TW 96135610A TW 200829695 A TW200829695 A TW 200829695A
Authority
TW
Taiwan
Prior art keywords
composition
acid
alcohol
microelectronic device
group
Prior art date
Application number
TW096135610A
Other languages
Chinese (zh)
Inventor
David Angst
Jeffrey A Barnes
Prerna Sonthalia
Peng Zhang
Original Assignee
Advanced Tech Materials
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Tech Materials filed Critical Advanced Tech Materials
Publication of TW200829695A publication Critical patent/TW200829695A/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/261Alcohols; Phenols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

Compositions useful in microelectronic device manufacturing for cleaning of wafer substrates such as microelectronic device precursor structures. The compositions can be employed for processing of wafers that include copper metallization, for example, in operations such as post-chemical mechanical polishing cleaning of microelectronic device wafers. The aqueous compositions include at least one alkanolamine, at least one quaternary ammonium hydroxide, uric acid, at least one alcohol and at least one additional organic acid antioxidant.

Description

200829695 九、發明說明: 【發明所屬之技術領域】 本發明係關於用於處理微電子裝置基板之組合物,其包 括適用於化學機械拋光後清潔微電子裝置晶圓之組合物。 【先前技術】 - 微電子裝置晶圓係用以形成積體電路。微電子裝置晶圓 - 包括一諸如矽之基板,其中區域經圖案化用於沈積具有絕 緣、導電或半導電特性之不同材料。 〇 為獲得正確圖案,必須移除用於在基板上形成層面之過 剩材料。此外,為製造功能電路及可靠電路,重要的係在 後續處理之前製備一平面或平坦之微電子晶圓表面。因 此,有必要移除及/或拋光微電子裝置晶圓之某些表面。 化學機械拋光或化學機械平坦化(”CMP”)係一種將材料 自微電子裝置晶圓表面移除,且藉由使諸如磨蝕之物理方 2與諸如氧化或螯合之化學方法耦合來拋光該表面(更特 定而言為平坦化)之方法。在CMP之最基本形式中,其包 括«料(一種研磨劑與活性化學品之溶液)制至將微電 子裝置晶圓之表面磨光以實現移除、平坦化及抛光處理之 Μ光墊上。對移除或拋光處理而言無需包含純粹物理作用 _粹化學作用’而需要二者之協同組合以實現快速均勻 之移S製造積體電路中,CMp漿料應亦能夠優先地移 除包含金屬與其他材料之複合層的薄膜,以使得可產生高 平之表面以供後續光微影或圖案化、蝕刻及薄膜處 125072.doc 200829695 近來,銅已愈加用於積體電路中之金屬互連。在通常用 於電子裝置製造之電路金屬化的銅鑲嵌製程中,必須移除 且平:L·化之層包括具有約丨^瓜至^ 厚度之銅層及具有 約0.05 ^1111厚度之銅晶種層。該等銅層藉由一層 通苇厚約50A至3 00A之障壁材料與介電材料分離,該障壁 材料層防止銅擴散至氧化物介電材料中。拋光後獲得晶圓 表面良好均勻性之一個關鍵之處在於使用對各材料而言具 有正確移除選擇性之CMP漿料。 上述包括晶圓基板表面製備、沈積、電鍍、蝕刻及化學 機械拋光之處理操作不同地需要清潔操作以確保微電子裝 置產品不含將有害地影響產品功能或甚至使其無法用於其 預期功能的污染物。 就此方面之一種特定問題在於繼CMP處理後殘留於微電 子裝置基板上之殘餘物。該等殘餘物包括CMP材料及諸如 笨幷二嗤(BTA)之腐餘抑制劑化合物。該等殘餘物若不移 除’則可對銅線造成損害或嚴重地使銅金屬化粗糙化,以 及導致CMP後塗覆層與裝置基板之不良黏著。銅金屬化之 嚴重粗縫化係尤其存在問題的,此係因為過度粗糖之銅可 導致產品微電子裝置之不良電效能。 微電子裝置製造中另一種常見之殘餘物產生製程包括氣 相電漿蝕刻以將顯影光阻塗層之圖案傳送至下層,該等下 層可由硬質罩幕層、層間介電層(ILD)及蝕刻終止層組 成。可包括存在於基板上及電漿氣體中之化學元素的氣相 電漿蝕刻後之殘餘物通常沈積於後段製程(BEOL)結構上, 125072.doc 200829695 且若不移除,則可干擾後續矽化或接觸形成。習知清潔化 學品通常損壞ILD、吸收至ILD之孔隙中,藉此增加介電 常數’及/或腐餘金屬結構。 因此’微電子工業繼續尋求對用於銅金屬化基板之清潔 調配物及用於處理微電子裝置結構之組合物的改良,該等 組合物包括不同地適用於微電子裝置晶圓之蝕刻後清潔、 灰化後清潔及化學機械拋光後清洗之組合物。 【發明内容】 本發明係關於用於處理微電子裝置基板之組合物,其包 括不同地適用於自於其上具有化學機械拋光後之殘餘物的 微電子裝置晶圓移除該殘餘物之組合物。 在一態樣中,本發明係關於包含至少一種烧醇胺、至少 一種氫氧化四級銨、尿酸、視情況選用之至少一種醇及視 情況選用之至少一種額外有機酸抗氧化劑之移除組合物, 其中該移除組合物係適合於自於其上具有化學機械拋光後 之殘餘物及污染物之微電子裝置移除該物質。 在另一態樣中,本發明係關於一種於一或多個容器中包 含以下用於形成水性移除組合物之試劑中之一或多者的套 組,該一或多種試劑選自由至少一種烷醇胺、至少一種氫 氧化四級銨、尿酸、視情況選用之至少一種醇及視情況選 用之至少一種額外有機酸抗氧化劑組成之群,且其中該套 組係適合於形成適用於自於其上具有化學機械拋光後之殘 餘物及污染物之微電子裝置移除該物質之組合物。 在另一態樣中,本發明係關於一種自於其上具有殘餘物 125072.doc 200829695 及污染物之微電子裝置移除該殘餘物及該等污染物之方 法,該方法包含使微電子裝置與水性移除組合物接觸足夠 時間以至少部分地自微電子裝置清潔該殘餘物及該等污染 物,其中該移除組合物包括至少一種烷醇胺、至少一種氫 氧化四級銨、尿酸、視情況選用之至少一種醇及視情況選 用之至少一種額外有機酸抗氧化劑。 在另一悲樣中,本發明係關於一種包含卜胺基_2•丙醇、 氫氧化四甲銨、尿酸、異丙醇及草酸之移除組合物,其中 , 該移除組合物適合於自於其上具有化學機械拋光後之殘餘 物及污染物之微電子裝置移除該物質。 本發明之另一態樣係關於一種基本上由至少一種烷醇 胺、至少一種氫氧化四級銨、尿酸、至少一種醇及至少一 種額外有機酸抗氧化劑組成之移除組合物,其中該移除組 合物適合於自於其上具有化學機械拋光後之殘餘物及污染 物之微電子裝置移除該物質。 本發明之另一態樣係關於一種由至少一種烷醇胺、至少 ) 一 一種氫氧化四級銨、尿酸、至少一種醇及至少一種額外有 機酸抗氧化劑組成之移除組合物,其中該移除組合物適合 於自於其上具有化學機械拋光後之殘餘物及污染物之微電 子裝置移除該物質。 在另一態樣中’本發明係關於一種包含至少一種烧醇 胺、至少一種氫氧化四級銨、尿酸、CMP後之殘餘物及污 染物、視情況選用之至少一種醇及視情況選用之至少一種 額外有機酸抗氧化劑之移除組合物,其中該移除組合物適 125072.doc 200829695 曰於自於其上具有化學機械拋光後之殘餘物及污染物之微 電子裝置移除該物質。 本發明之另一態樣係關於一種自於其上具有CMp後之殘 餘物及污染物之微電子裝置將其移除之方法,該方法包 含: 以CMP漿料拋光該微電子裝置; 使该微電子裝置與包含至少一種烧醇胺、至少一種氫氧 化四級銨、尿酸、視情況選用之至少一種醇及視情況選用 之至少一種額外有機酸抗氧化劑之移除組合物接觸足夠時 間以將CMP後之殘餘物及污染物自該微電子裝置移除至該 移除組合物中以形成含有CMP後之殘餘物的移除組合物;及 繼續使該微電子裝置與含有CMP後之殘餘物的移除組合 物接觸足夠量之時間以實現該微電子裝置之實質性清潔。 在另一態樣中,本發明係關於一種製造微電子裝置之方 法,該方法包含使該微電子裝置與本文所述之移除組合物 接觸足夠時間以至少部分地自於其上具有CMp後之殘餘物 及污染物之微電子裝置清潔該殘餘物及該等污染物。 本發明之另一態樣係關於經改良之微電子裝置,及併入 有摄電子裝置、使用包含自於其上具有CMp後之殘餘物及 污染物之微電子裝置清潔該殘餘物及該等污染物之本發明 方法、使用本文所述之方法及/或組合物且視情況將該微 電子裝置併入產品中而製得之產品。 本發明之另一態樣係關於一種包含移除組合物、微電子 裝置及於其上之CMP後之殘餘物及污染物的製造物件,其 125072.doc -10- 200829695 中該移除組合物包含至少一種烷醇胺、至少一種氫氧化四 級銨、尿酸、視情況選用之至少一種醇及視情況選用之至 少一種額外有機酸抗氧化劑。 本發明之另一態樣係關於一種清潔半導體工具零件之方 法’該方法包含使該等工具零件與組合物接觸足夠時間以 • 至少部分地清潔該等工具零件,其中該組合物包括至少一 - 種烷醇胺、至少一種氫氧化四級銨、尿酸、視情況選用之 至少一種醇及視情況選用之至少一種額外有機酸抗氧化 () 劑0 本發明之其他態樣、特徵及實施例應係由隨後之揭示内 容及隨附之申請專利範圍而更完全地顯而易見。 【實施方式】 本發明係關於以諸如化學機械拋光後清潔微電子裝置晶 圓之操作不同地適用於微電子裝置製造,例如適用於處理 經進一步處理或意欲經進一步處理以具有銅金屬化之晶圓 物件的組合物。 ϋ 為便於參考,”微電子裝置”對應於為在微電子電路、積 體電路或電腦晶片應用中使用而製造之半導體基板、平板 - 顯示器及微機電系統(MEMS)。應瞭解,術語,,微電子裝 置’’並不意謂以任何方式受限且包括任何最終將成為微電 子裝置或電子總成之基板。微電子裝置較佳包含半導體 基板。 如本文中所用,”CMP後之殘餘物"對應於來自拋光漿料 之顆粒、富碳顆粒、拋光墊顆粒、電刷去載顆粒、設備材 125072.doc 200829695 料之構造顆粒、銅、銅氧化物、有機殘餘物及任何其他作 為CMP製程副產物之材料。 如本文中所用,"污染物”對應於存在於CMP漿料中之化 學品、抛光漿料之反應副產物及任何其他作為CMP製程副 產物之材料。 如本文中所定義,”蝕刻後之殘餘物”對應於在氣相電漿 钱刻製程(例如,BEOL雙重鑲嵌處理)後殘留之物質。該蝕 刻後之殘餘物本質上可為有機物質、有機金屬物質、有機 石夕物質或無機物質,例如,含石夕物質、礙基有機物質及諸 如氧及氟之蝕刻氣體殘餘物。 如本文中所定義,”灰化後之殘餘物”對應於在氧化性電 漿灰化或還原性電漿灰化以移除硬化光阻塗層及/或底部 抗反射塗層(BARC)材料後殘留之物質。該灰化後之殘餘 物本貝上可為有機物質、有機金屬物質、有機矽物質或無 機物質。 、/ μ 如本文中所用,’’約"意欲對應於士5〇/〇之規定值。 如本文中所用,用於自於其上具有殘餘物及污染物之微 電子裝置清潔該殘餘物及該等污染物之”適用性,,對應於至 少部分地自祕電子裝置移除該殘餘物/該冑污染物。較 佳地,至少90%之殘餘物/污染物經使用本發明之組合物而 1微電子裝置移除’更佳至少95% ’且最佳至少99% 餘物/污染物經移除。 如本文中所定義,"低k介電材料"對應於任何在多 電子裝置中用作介電材料之材料,其中該材料具有小:約 125072.doc -12- 200829695 3·5之介電常數。低k介電材料較佳包括諸如含矽有機聚合 物、含矽混合有機/無機材料、有機矽酸鹽玻璃(〇sg)、 TEOS、氟化石夕酸鹽玻璃(FSG)、二氧化矽及碳摻雜氧化物 (CDO)玻璃之低極性材料。應瞭解,低k介電材料可具有可 變之密度及可變之孔隙率。BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a composition for processing a substrate of a microelectronic device, which comprises a composition suitable for cleaning a wafer of a microelectronic device after chemical mechanical polishing. [Prior Art] - A microelectronic device wafer is used to form an integrated circuit. Microelectronic device wafers - include a substrate such as germanium in which regions are patterned for deposition of different materials having insulating, conductive or semi-conductive properties. 〇 In order to obtain the correct pattern, excess material used to form the layer on the substrate must be removed. In addition, in order to fabricate functional circuits and reliable circuits, it is important to prepare a planar or flat microelectronic wafer surface prior to subsequent processing. Therefore, it is necessary to remove and/or polish certain surfaces of the microelectronic device wafer. Chemical mechanical polishing or chemical mechanical planarization ("CMP") is a method of removing material from the surface of a microelectronic device wafer and polishing it by coupling a physical side such as abrasion to a chemical method such as oxidation or chelation. The method of surface (more specifically, flattening). In the most basic form of CMP, it consists of a material (a solution of an abrasive and an active chemical) onto a calender pad that polishes the surface of the microelectronic device wafer for removal, planarization, and polishing. For the removal or polishing process, it is not necessary to include a purely physical interaction, and a synergistic combination of the two is required to achieve a rapid and uniform shift. In the fabrication of the integrated circuit, the CMp slurry should also preferentially remove the metal-containing material. A film of a composite layer with other materials such that a high-flat surface can be produced for subsequent photolithography or patterning, etching, and filming. 125072.doc 200829695 Recently, copper has become increasingly used for metal interconnections in integrated circuits. In a copper damascene process typically used for circuit metallization of electronic device fabrication, the layer that must be removed and flattened includes a copper layer having a thickness of about 瓜 瓜 至 及 and a copper crystal having a thickness of about 0.05 μ11. Layer. The copper layers are separated from the dielectric material by a layer of barrier material having a thickness of about 50A to 300A, which prevents copper from diffusing into the oxide dielectric material. A key to achieving good uniformity of the wafer surface after polishing is the use of a CMP slurry with the correct removal selectivity for each material. The above described processing operations including wafer substrate surface preparation, deposition, electroplating, etching, and chemical mechanical polishing require different cleaning operations to ensure that the microelectronic device product is free of impurities that would adversely affect the function of the product or even render it unusable for its intended function. Contaminants. A particular problem in this regard is the residue remaining on the substrate of the microelectronic device after the CMP treatment. The residues include CMP materials and compounds such as astringent bismuth (BTA). If the residue is not removed, the copper wire may be damaged or the copper metallization may be severely roughened, and the adhesion of the coating layer to the device substrate after CMP may be caused. The severe roughening of copper metallization is particularly problematic because the excessively rough copper can cause poor electrical performance of the product microelectronic device. Another common residue generation process in the fabrication of microelectronic devices includes vapor phase plasma etching to transfer a pattern of developed photoresist coatings to a lower layer, which can be formed by a hard mask layer, an interlayer dielectric layer (ILD), and an etch. Termination layer composition. The residue after gas phase plasma etching, which may include chemical elements present on the substrate and in the plasma gas, is typically deposited on a back end of line (BEOL) structure, 125072.doc 200829695 and, if not removed, may interfere with subsequent deuteration Or contact formation. Conventional clean chemicals typically damage the ILD and absorb it into the pores of the ILD, thereby increasing the dielectric constant' and/or the residual metal structure. Thus, the microelectronics industry continues to seek improvements in cleaning formulations for copper metallization substrates and compositions for processing microelectronic device structures, including post-etch cleaning for wafers of different microelectronic devices. The composition after cleaning after ashing and cleaning after chemical mechanical polishing. SUMMARY OF THE INVENTION The present invention is directed to a composition for processing a substrate of a microelectronic device comprising a combination of differently suitable for removing a residue from a wafer of a microelectronic device having a residue after chemical mechanical polishing thereon. Things. In one aspect, the invention relates to a removal combination comprising at least one alkanolamine, at least one ammonium quaternary ammonium hydroxide, uric acid, optionally at least one alcohol, and optionally at least one additional organic acid antioxidant The removal composition is adapted to remove the material from a microelectronic device having chemical and mechanical polishing residues and contaminants thereon. In another aspect, the invention relates to a kit comprising one or more of the following reagents for forming an aqueous removal composition in one or more containers, the one or more reagents being selected from at least one a group of alkanolamines, at least one ammonium quaternary ammonium hydroxide, uric acid, optionally at least one alcohol, and optionally at least one additional organic acid antioxidant, and wherein the kit is suitable for forming A microelectronic device having chemical and mechanical polishing residues and contaminants thereon removes the composition of the material. In another aspect, the invention relates to a method of removing the residue and the contaminants from a microelectronic device having a residue 125072.doc 200829695 and contaminants thereon, the method comprising disposing a microelectronic device Contacting the aqueous removal composition for a time sufficient to at least partially clean the residue and the contaminants from the microelectronic device, wherein the removal composition comprises at least one alkanolamine, at least one ammonium quaternary ammonium hydroxide, uric acid, Optionally, at least one alcohol and at least one additional organic acid antioxidant, optionally selected. In another sad case, the present invention relates to a removal composition comprising amidino-2-propanol, tetramethylammonium hydroxide, uric acid, isopropanol and oxalic acid, wherein the removal composition is suitable for The material is removed from a microelectronic device having chemical and mechanical polishing residues and contaminants thereon. Another aspect of the invention relates to a removal composition consisting essentially of at least one alkanolamine, at least one ammonium quaternary ammonium hydroxide, uric acid, at least one alcohol, and at least one additional organic acid antioxidant, wherein the removal The composition is suitable for removal of the material from a microelectronic device having residues and contaminants after chemical mechanical polishing thereon. Another aspect of the invention relates to a removal composition comprising at least one alkanolamine, at least one of ammonium quaternary ammonium hydroxide, uric acid, at least one alcohol, and at least one additional organic acid antioxidant, wherein The removal composition is adapted to remove the material from a microelectronic device having chemical and mechanical polishing residues and contaminants thereon. In another aspect, the invention relates to an at least one alcohol comprising at least one alkanolamine, at least one ammonium quaternary ammonium hydroxide, uric acid, CMP, and contaminants, optionally selected at least one alcohol, and optionally At least one additional organic acid antioxidant removal composition, wherein the removal composition is suitable for removal from the microelectronic device having chemical and mechanical polishing residues and contaminants thereon. Another aspect of the invention relates to a method for removing a microelectronic device having residues and contaminants thereon having CMp, the method comprising: polishing the microelectronic device with a CMP slurry; The microelectronic device is contacted with a removal composition comprising at least one an alkoxylate, at least one ammonium quaternary ammonium hydroxide, uric acid, optionally at least one alcohol, and optionally at least one additional organic acid antioxidant, for a time sufficient to Residues and contaminants after CMP are removed from the microelectronic device into the removal composition to form a removal composition comprising residues after CMP; and the microelectronic device and residue containing CMP are continued The removal composition is contacted for a sufficient amount of time to effect substantial cleaning of the microelectronic device. In another aspect, the present invention is directed to a method of fabricating a microelectronic device, the method comprising contacting the microelectronic device with a removal composition described herein for a time sufficient to at least partially have CMp thereon The residue and the microelectronic device of the contaminants clean the residue and the contaminants. Another aspect of the invention relates to an improved microelectronic device, and incorporating an electronic device, cleaning the residue using a microelectronic device comprising residues and contaminants from CMp thereon, and the like A method of the invention, a method of using the methods and/or compositions described herein, and optionally incorporating the microelectronic device into a product. Another aspect of the invention relates to a manufactured article comprising a composition, a microelectronic device, and a residue and contaminants after CMP thereon, the removal composition of 125072.doc -10- 200829695 And comprising at least one alkanolamine, at least one ammonium quaternary ammonium hydroxide, uric acid, optionally at least one alcohol, and optionally at least one additional organic acid antioxidant. Another aspect of the invention relates to a method of cleaning a semiconductor tool part 'the method comprising: contacting the tool parts with the composition for a time sufficient to at least partially clean the tool parts, wherein the composition comprises at least one - Alkanolamine, at least one ammonium quaternary ammonium hydroxide, uric acid, optionally at least one alcohol, and optionally at least one additional organic acid antioxidant (0). Other aspects, features, and embodiments of the invention should be This is more fully apparent from the following disclosure and the accompanying claims. [Embodiment] The present invention relates to different applications for microelectronic device fabrication, such as cleaning of microelectronic device wafers after chemical mechanical polishing, for example, for processing crystals that have been further processed or are intended to be further processed to have copper metallization. A composition of round objects. ϋ For ease of reference, “microelectronic devices” correspond to semiconductor substrates, flat panel displays, and microelectromechanical systems (MEMS) fabricated for use in microelectronic circuits, integrated circuits, or computer chip applications. It should be understood that the term "microelectronic device" does not mean to be limited in any way and includes any substrate that will eventually become a microelectronic device or an electronic assembly. The microelectronic device preferably comprises a semiconductor substrate. As used herein, "residue after CMP" corresponds to particles from polishing slurry, carbon-rich particles, polishing pad particles, brush-loaded particles, equipment material 125072.doc 200829695 material construction particles, copper, copper Oxides, organic residues, and any other material that is a by-product of the CMP process. As used herein, "contaminants" correspond to chemicals present in the CMP slurry, reaction by-products of the polishing slurry, and any other The material of the by-product of the CMP process. As defined herein, "residue after etching" corresponds to a substance remaining after a gas phase plasma etching process (e.g., BEOL dual damascene process). The residue after the etching may be an organic substance, an organometallic substance, an organic material or an inorganic substance, for example, an anthracene-containing substance, an organic substance, and an etching gas residue such as oxygen and fluorine. As defined herein, "ashing residue" corresponds to oxidative plasma ashing or reducing plasma ashing to remove hardened photoresist coating and/or bottom anti-reflective coating (BARC) material. Subsequent residue. The residue after the ashing may be an organic substance, an organometallic substance, an organic hydrazine substance or an inorganic substance. , / μ As used herein, ''about' is intended to correspond to the specified value of ±5〇/〇. As used herein, the applicability of cleaning the residue and the contaminants from a microelectronic device having residues and contaminants thereon, corresponding to at least partially removing the residue from the electronic device / 胄 胄 Contaminant. Preferably, at least 90% of the residue / contaminant is removed using a composition of the invention and 1 microelectronic device removes 'better at least 95%' and optimally at least 99% residue / pollution The material is removed. As defined herein, "low-k dielectric material" corresponds to any material used as a dielectric material in a multi-electronic device, wherein the material has a small size: about 125072.doc -12- 200829695 The dielectric constant of 3. 5. The low-k dielectric material preferably includes, for example, a cerium-containing organic polymer, a cerium-containing mixed organic/inorganic material, an organic bismuth phosphate glass (〇sg), TEOS, a fluorite silicate glass ( Low polarity materials for FSG), cerium oxide and carbon doped oxide (CDO) glass. It should be understood that low k dielectric materials can have variable density and variable porosity.

重要的係本發明之水性組合物必須具有良好之金屬相容 性,例如,於互連金屬及/或互連線金屬矽化物材料上之 低蝕刻速率。所關注之金屬包括(但不限於)銅、鎢、鈷、 鋁、鈕、鈦、釕及其矽化物。 如在下文更全面描述,本發明之組合物可包括於多種特 定調配物中。 在組合物之特定組份係參考包括零下限之重量百分比範 圍加以論述之所有該等組合物中,應瞭解該等組份可存在 或不存在於額合物之各種特定實施财,且在該等組份 存在之情況下,其可以基於採用 曰 土々、休用4寺組份之組合物之總重 量計低至0.001重量%之濃度存在。 本發明之移除組合物為包括尿酸及至少_種醇之水性組 合物’其以給予組合物使用該組合物清潔有效性之相對量 存在於該組合物中。在另—實施例中,本發明之移除組合 物為包括至少一種烷醇胺、至少一 ^ 種虱虱化四級銨及尿酸 之水性組合物。在另一實施例中 列干本發明之移除組合物為 包括至少一種院醇胺、至少-種氫氧化四級錢、至少一種 醇及尿酸之水性組合物。在另一 乃貫施例中,本發明之移除 組合物為包括至少一種烷醇胺、 > 主v —種虱氧化四級銨、 125072.doc •13- 200829695 尿酸及至少一種額外有機酸抗氧化劑之水性組合物。在另 一實施例中,本發明之移除組合物為包括至少一種烷醇 胺、至少一種氫氧化四級銨、至少一種醇、尿酸及至少一 種額外有機酸抗氧化劑之水性組合物。 Ο 在本發明之廣泛實踐_,本發明之移除組合物可包含以 下各物、由以下各物組成或基本上由以下各物組成··⑴至 少一種烷醇胺及至少一種醇;(ii)至少一種烷醇胺、至少 一種氫氧化四級銨及尿酸;(iii)至少一種烷醇胺、至少_ 種氫氧化四級銨、至少一種醇及尿酸;至少一種烷醇 胺、至少一種氫氧化四級銨、尿酸及至少一種額外有機酸 抗氧化劑;或⑺至少一種烷醇胺、至少一種氫氧化四級 鉍至J 一種醇、尿酸及至少一種額外有機酸抗氧化劑。 一般而"T,組份之特定比例及用量相對於彼此可適當地變 化從而為CMP後之殘餘物及/或處理設備提供該組合物所 u 需之移除作用,如在此項技術中無需過度努力即可輕易確 定。水較佳係經去離子化。 产移除組合物中各組份之重量百分比的比率範圍如下:氫 氧化四錢相對於料胺為約G.3至約G8,較佳為約0 4 約0.7,且最佳為約0.5至約0.6;醇(當存在時)相對於烷醇 胺為約0.3至約0.8’較佳為約〇4至約〇7,且最佳為約 至約〇·6 ;尿酸相對於烷醇胺為約0.01至約0.50,較佳為〇1 至約〇.45,且最佳為約〇15至約〇.4 ;且額外有機酸抗氧化 劑(當存在時)相對於烧醇胺為約GG1至約G5,較佳為約Ο」 至約0.4,且最佳為約〇·2至約〇.3。 125072.doc •14- 200829695 在一特定態樣中,移除組合物可經調配成大體上不含過 氧化氫、環醚及抑制金屬腐蝕之金屬鹵化物。”大體上不 含”在本文中定義為少於2重量%,較佳少於!重量%,更佳 少於0.5重量%,且最佳少於〇丨重量0/〇。 應瞭解,在—般清潔應用中,常用實踐方式係使用極端 稀釋下之尚濃度形式。舉例而言,移除組合物可於使用之 前在製造廠稀釋,及/或於使用期間在工廠稀釋。稀釋比 率可在1份稀釋劑:1份移除組合物至2〇〇份稀釋劑」份移除 組合物,較佳10:1至200:1,且最佳20:1至2〇〇:1之範圍 内。較佳稀释劑包括錄子水。應瞭解,—經稀釋後,移 除組合物中各組份之重量百分比的比率將保持不變。 移除組合物之pH值可變化以產生經最優化以用於預期最 終用途之組合物。一般而言,pH值將為鹼性,(例如)大於 約8.5且小於約比5。舉例而言,經濃縮之本發明移除組合 物具有較高之pH值,例如約11至約U.5,且在如本文所述 之稀釋後,經稀釋組合物之ρΗ值將分別降低至約9至約 10。經稀釋之本發明移除組合物較佳具有約8·5至9.5範圍 内之pH值。 將尿酸包含於本發明之移除組合物中相對於不含尿酸之 調配物將減少金屬(例如,銅)侵蝕,因此降低金屬蝕刻速 率、金屬表面粗糙度及金屬部件侵蝕。如本文中所定義, 重要的係”尿酸”亦涵蓋尿酸衍生物。It is important that the aqueous compositions of the present invention have good metal compatibility, e.g., low etch rates on interconnect metal and/or interconnect metal halide materials. Metals of interest include, but are not limited to, copper, tungsten, cobalt, aluminum, knobs, titanium, tantalum, and their tellurides. As described more fully below, the compositions of the present invention can be included in a variety of specific formulations. In all such compositions in which a particular component of the composition is discussed with reference to a range of weight percentages including a lower limit of zero, it is understood that the components may or may not be present in the various specific embodiments of the present invention, and In the case where the components are present, it may be present in a concentration as low as 0.001% by weight based on the total weight of the composition of the 曰土々, 休用四寺 component. The removal composition of the present invention is an aqueous composition comprising uric acid and at least an alcohol which is present in the composition in a relative amount that imparts cleaning effectiveness to the composition using the composition. In another embodiment, the removal composition of the present invention is an aqueous composition comprising at least one alkanolamine, at least one deuterated quaternary ammonium, and uric acid. In another embodiment, the removal composition of the present invention is an aqueous composition comprising at least one alkoxylamine, at least one quaternary hydroxide, at least one alcohol, and uric acid. In another embodiment, the removal composition of the present invention comprises at least one alkanolamine, > main v-phosphonium quaternary ammonium, 125072.doc • 13-200829695 uric acid and at least one additional organic acid An aqueous composition of an antioxidant. In another embodiment, the removal composition of the present invention is an aqueous composition comprising at least one alkanolamine, at least one ammonium quaternary ammonium hydroxide, at least one alcohol, uric acid, and at least one additional organic acid antioxidant. Ο In the broad practice of the present invention, the removal composition of the present invention may comprise, consist of, or consist essentially of: (1) at least one alkanolamine and at least one alcohol; (ii) At least one alkanolamine, at least one ammonium quaternary ammonium hydroxide and uric acid; (iii) at least one alkanolamine, at least one tetraammonium hydroxide, at least one alcohol and uric acid; at least one alkanolamine, at least one hydrogen Oxidizing quaternary ammonium, uric acid, and at least one additional organic acid antioxidant; or (7) at least one alkanolamine, at least one quaternary phosphonium hydroxide to J alcohol, uric acid, and at least one additional organic acid antioxidant. In general, "T, the specific ratios and amounts of the components may be suitably varied relative to each other to provide the residue and/or processing equipment after CMP the removal required by the composition, as in the art. It can be easily determined without undue effort. The water is preferably deionized. The ratio of the weight percentages of the components in the production-removing composition is as follows: the tetrahydric hydroxide is from about G.3 to about G8, preferably from about 0 4 to about 0.7, and most preferably from about 0.5 to about 0.6. About 0.6; the alcohol (when present) is from about 0.3 to about 0.8', preferably from about 〇4 to about '7, and most preferably from about to about 〇6, relative to the alkanolamine; the uric acid is relative to the alkanolamine From about 0.01 to about 0.50, preferably from about 1 to about 45.45, and most preferably from about 15 to about 〇.4; and the additional organic acid antioxidant (when present) is about GG1 relative to the alkanolamine to Approximately G5, preferably from about Ο" to about 0.4, and most preferably from about 〇·2 to about 〇.3. 125072.doc • 14- 200829695 In a particular aspect, the removal composition can be formulated into a metal halide that is substantially free of hydrogen peroxide, cyclic ether, and metal corrosion inhibiting. "Substantially free" is defined herein as less than 2% by weight, preferably less than! The weight %, more preferably less than 0.5% by weight, and most preferably less than 〇丨 weight 0/〇. It should be understood that in general cleaning applications, the common practice is to use the concentration form under extreme dilution. For example, the removal composition can be diluted at the manufacturing facility prior to use and/or diluted at the factory during use. The dilution ratio can be from 1 part diluent: 1 part removal composition to 2 parts diluent part removal composition, preferably 10:1 to 200:1, and most preferably 20:1 to 2: Within the scope of 1. Preferred diluents include recorded water. It will be appreciated that the ratio of the weight percent of the components removed in the composition will remain the same after dilution. The pH of the removal composition can be varied to produce a composition that is optimized for the intended end use. In general, the pH will be basic, for example greater than about 8.5 and less than about 5. For example, the concentrated inventive removal composition has a higher pH, such as from about 11 to about U.5, and after dilution as described herein, the pH of the diluted composition will be reduced to About 9 to about 10. The diluted inventive removal composition preferably has a pH in the range of from about 8.5 to about 9.5. The inclusion of uric acid in the removal composition of the present invention will reduce metal (e.g., copper) attack relative to formulations containing no uric acid, thereby reducing metal etch rate, metal surface roughness, and metal component erosion. As defined herein, the important line "uric acid" also encompasses uric acid derivatives.

可適用於特定組合物中之說明性烷醇胺包括具有通气 NRiR2R3之組合物,其中Rl、R2及r3可彼此相同或不同L 125072.doc -15- 200829695 係選自由氫、直鏈或支鏈Ci-C6烧基(例如,甲基、乙基、Illustrative alkanolamines suitable for use in a particular composition include compositions having aerated NNiR2R3 wherein R1, R2 and r3 may be the same or different from each other. L 125072.doc -15- 200829695 is selected from hydrogen, straight chain or branched chain Ci-C6 alkyl (eg, methyl, ethyl,

L 丙基、丁基、戊基及己基)及直鍵或支鍵Ci-C6醇(例如,甲 醇、乙醇、丙醇、丁醇、戊醇及己醇)組成之群,且其中 R1、R2及R3中之至少一者為直鏈或支鏈醇。實例包 括(但不限於)胺基乙基乙酵胺、N-曱基胺基乙醇、胺基乙 氧基乙醇、二甲基胺基乙氧基乙醇、二乙醇胺、曱基二 乙醇胺、單乙醇胺、三乙醇胺、1-胺基-2-丙醇、2_胺基 丁醇、異丁醇胺、三伸乙二胺、其他Cl_c6烷醇胺及其組 合。烧醇胺較佳包含1-胺基-2-丙醇及/或單乙醇胺。 本文所涵蓋之氫氧化四級銨包括具有式Nr1r2r3r4〇h之 化合物,其中Rl、R2、R3及R4可彼此相同或不同且係選自 由氫、直鏈或支鏈CrC6烷基(例如,甲基、乙基、丙基、 丁基、戊基及己基)及經取代或未經取代之c6_cη芳基(例 如,苄基)組成之群。可使用可購得之氫氧化四烷基銨, 其包括氫氧化四乙基銨(ΤΕΑΗ)、氫氧化四甲基銨 (ΤΜΑΗ)、氫氧化四丙基銨(τρΑΗ)、氫氧化四丁基銨 (ΤΒΑΗ)、氫氧化三丁基甲基銨(ΤΒΜΑΗ)、氫 三 甲基錄(ΒΤΜΑΗ)及其組合。不可購得之氫氧化四燒基錢可 以類似於用以製備ΤΜΑΗ、ΤΕΑΗ、τρΑΗ、ΜΑΗ、 τβμαη及職ΑΗ之公開合成方法之方式來製備該等方 法為一般熟習此項技術者所知。四級驗較佳包含TMAH。 *說明性醇包括至少-種直鏈或支鏈^6醇,例如,甲 1、乙醇、丙醇、謂、戊醇及⑽。醇較佳包含異丙醇 (IPA)。 125072.doc -16- 200829695 本文中涵蓋之額外有機酿p^ ^ •抗氣化劑包括草酸、擦扭酸、 鞣酸、抗壞血酸、L⑴-抗壞血酸、異抗壞血酸、抗壞血酸 衍生物、I甲酸、苯甲酸錄、兒茶盼、連苯三驗、間苯二 酚、氫酿、三聚氰酸、巴比妥酸(barbituric acid)及諸如 1,2-二甲基巴比妥酸之衍生物及其組合。 在各種較佳實施例中,本發明移除組合物之濃縮物可具 有一種組份相對於另一組份之以下重量百分比之比率: 濃縮物A : TMAH相對於1-胺基_2_丙醇之重量%比率為 〇·56 ;尿酸相對於1-胺基_2·丙醇之重量%比率為〇·39 ; lpA 相對於1-胺基-2-丙醇之重量。/。比率為〇·56,·草酸相對於κ 胺基-2-丙醇之重量%比率為0.22 ; 濃縮物Β ·· ΤΜΑΗ相對於1-胺基-2-丙醇之重量%比率為 0.56,尿酸相對於1-胺基_2_丙醇之重量%比率為〇〇56 ; ΙΡΑ相對於1-胺基-2-丙醇之重量%比率為〇·56 ;草酸相對 於1·胺基-2-丙醇之重量%比率為0·056 ; J辰縮物C · ΤΜ AH相對於1-胺基-2-丙醇之重量%比率為 0.56 ;尿酸相對於ι_胺基_2_丙醇之重量❶/❶比率為〇167 ; IPA相對於1-胺基-2-丙醇之重量%比率為0.56 ;草酸相董十 於1·胺基-2-丙醇之重量%比率為0.22。 濃縮物A-C可在製造廠或工廠以水稀釋以產生以下濃縮物 D-F,其中所有百分比均係基於調配物之總重量計之重量 百分比: 濃縮物D : 5重量%iTMAH ; 9重量。/〇之1-胺基丙醇; 3.5重量%之尿酸;5重量%之卟人;2重量。/〇之草酸;75·5重 125072.doc -17 - 200829695 量%之水; 濃縮物E : 5重量。/〇之TMAH ; 9重量%之1-胺基-2、丙醇; 〇 5重量%之尿酸;5重量%之卟八;0.5重量%之草酸;8〇〇 重量%之水; 濃縮物F : 5重量%2TMAH ; 9重量%之1-胺基-2-丙醇;15 重量%之尿酸;5重量% tIPA; 2重量%之草酸;77.5重量 %之水。a group consisting of L propyl, butyl, pentyl and hexyl) and a straight or branched Ci-C6 alcohol (for example, methanol, ethanol, propanol, butanol, pentanol and hexanol), and wherein R1, R2 And at least one of R3 is a linear or branched alcohol. Examples include, but are not limited to, aminoethylethylamine, N-decylaminoethanol, aminoethoxyethanol, dimethylaminoethoxyethanol, diethanolamine, decyldiethanolamine, monoethanolamine , triethanolamine, 1-amino-2-propanol, 2-aminobutanol, isobutanolamine, triethylenediamine, other Cl_c6 alkanolamines, and combinations thereof. The alkanolamine preferably comprises 1-amino-2-propanol and/or monoethanolamine. The quaternary ammonium hydroxide encompassed herein includes a compound having the formula Nr1r2r3r4〇h, wherein R1, R2, R3 and R4 may be the same or different from each other and are selected from hydrogen, a linear or branched CrC6 alkyl group (eg, methyl , a group of ethyl, propyl, butyl, pentyl and hexyl) and substituted or unsubstituted c6_cη aryl (for example, benzyl). A commercially available tetraalkylammonium hydroxide can be used, which includes tetraethylammonium hydroxide (ΤΕΑΗ), tetramethylammonium hydroxide (ΤΜΑΗ), tetrapropylammonium hydroxide (τρΑΗ), tetrabutyl hydroxide. Ammonium (ΤΒΑΗ), tributylmethylammonium hydroxide (ΤΒΜΑΗ), hydrogen trimethyl (ΒΤΜΑΗ), and combinations thereof. Non-purchasable tetrahydrocarbyl alcohols can be prepared in a manner similar to the disclosed synthetic methods for preparing hydrazine, hydrazine, τρΑΗ, hydrazine, τβμαη, and ruthenium, which are generally known to those skilled in the art. The four-level test preferably includes TMAH. * Illustrative alcohols include at least one type of linear or branched chain alcohol, for example, alpha 1, ethanol, propanol, pentane, pentanol, and (10). The alcohol preferably comprises isopropanol (IPA). 125072.doc -16- 200829695 Additional organic brewings covered in this article • Anti-gasification agents include oxalic acid, writhing acid, citric acid, ascorbic acid, L(1)-ascorbic acid, isoascorbic acid, ascorbic acid derivatives, I formate, benzoic acid Record, tea, benzene, resorcinol, hydrogen, cyanuric acid, barbituric acid and derivatives such as 1,2-dimethylbarbituric acid and combination. In various preferred embodiments, the concentrate of the present removal composition can have a ratio of one component to the following weight percent of the other component: Concentrate A: TMAH relative to 1-amino-2_propyl The weight % ratio of alcohol is 〇·56; the weight % ratio of uric acid to 1-amino-2-propanol is 〇·39; the weight of lpA relative to 1-amino-2-propanol. /. The ratio is 〇·56, the ratio by weight of oxalic acid to κ-amino-2-propanol is 0.22; the ratio of weight 浓缩·· ΤΜΑΗ to 1-amino-2-propanol is 0.56, uric acid The ratio by weight to % of 1-amino-2-propanol is 〇〇56; the ratio by weight of ΙΡΑ to 1-amino-2-propanol is 〇·56; oxalic acid is relative to 1·amino-2 - the ratio of the weight % of propanol is 0·056; the ratio of the weight percent of J condensate C · ΤΜ AH to 1-amino-2-propanol is 0.56; the uric acid is relative to ι_amino-2-propanol The weight ❶/❶ ratio is 〇167; the ratio by weight of IPA to 1-amino-2-propanol is 0.56; the ratio by weight of oxalic acid phase to 1% amino-2-propanol is 0.22. Concentrates A-C can be diluted with water at the manufacturing plant or factory to produce the following concentrates D-F, wherein all percentages are by weight based on the total weight of the formulation: Concentrate D: 5% by weight iTMAH; 9 weight. /〇 1-aminopropanol; 3.5% by weight of uric acid; 5% by weight of 卟; 2 weight. / oxalic acid; 75. 5 weight 125072.doc -17 - 200829695 water %; concentrate E: 5 weight. TM〇; 9% by weight of 1-amino-2, propanol; 5% by weight of uric acid; 5% by weight of octagonal; 0.5% by weight of oxalic acid; 8% by weight of water; concentrate F : 5 wt% 2 TMAH; 9 wt% of 1-amino-2-propanol; 15 wt% of uric acid; 5 wt% of tIPA; 2 wt% of oxalic acid; 77.5 wt% of water.

濃縮物D-F可進一步根據本文所述之本發明加以稀釋。 舉例而言,如本文所述,濃縮物D-F可以稀釋劑與濃縮物 之間20:1之比率加以稀釋。 重要的係本發明之移除組合物提供以下益處中之至少_ 者:鹼性pH值以使來自表面上之顆粒推斥最大化;溶解有 機殘餘物及無機殘餘物;經由醇增強界面活性劑特性及、容 解性及使微電子裝置結構中金屬層之腐蝕最小化。此外, 微電子裝置上包括低k介電材料之介電材料不因移除組合 物而受損。金屬材料之蝕刻速率較佳係在約〇 〇1 Amhfl至 約10 A miiT1,且最佳約0·01 A min-i至約5 A瓜丨一之範圍 内0 在另一實施例中, 後之殘餘物質。重要 本發明之移除組合物 在一尤其較佳之實施例中’本發明之移除組合物為水性 的且包含以下各物、由以下各物組成或基本上由以下各物 組成:ΤΜΑΗ、1-胺基_2·丙醇、尿酸、IpA及草酸。 本發明之移除組合物進一步包括CMp 的係該殘餘物質可溶解及/或懸浮於 中。因此,在另一尤其較佳之實施例 125072.doc •18- 200829695 中,本發明之移除組合物為水性的且包含以下各物、由以 下各物組成或基本上由以下各物組成:tmah、卜胺基_2_ 丙醇、尿酸、ΙΡΑ、草酸及CMp後之殘餘物質。 在本發明之廣泛範疇内之特定組合物不同地包括:具有 化學機械平坦化後(PCMP)清潔微電子裝置基板之效用的 組合物及具有在微電子裝置基板經蝕刻及/或灰化處理後 清潔微電子裝置基板之效用的組合物。在將本發明之移除 組合物用於蝕刻後移除時,移除組合物較佳以濃縮形式使 用,儘管必要時本文亦涵蓋某些經水稀釋之組合物。 本發明之組合物性質穩定且不以先前技術之調配物方式 降解。因此,該等組合物儲存穩定而無功效損失,且對氧 w導之降解具有抵抗性,以致於其可用於周圍空氣環境中 而無效力損失。此外,因為可氧化組份與其他抗氧化劑物 質相比具有相對良好之空氣穩定性,所以本發明之組合物 可在批量晶圓或單晶圓處理工具中得以再循環。 本發明之移除組合物易於藉由簡單地添加各自成份且混 合至均勻狀態來調配。此外,移除組合物可易於調配為單 封裴調配物,或調配為在使用時或使用之前經混合之多份 調配物,例如多份調配物之個別部分可在工具或工具之上 游儲存槽中混合。在本發明之廣泛實踐中,各自成份之濃 度在特定多種移除組合物中可廣泛地變化,亦即,更稀或 更/辰,且應瞭解,本發明之移除組合物可不同地且替代地 包含符合本文揭示内容之任何成份組合,由符合本文揭示 内容之任何成份組合組成,或基本上由符合本文揭示内容 125072.doc -19- 200829695 之任何成份組合組成。 關於一種於一或多個容器中 組合物之組份的套組。舉例 器中包括至少一種烷醇胺、 因此’本發明之另_態樣係 包括一或多種適合形成本發明 而言,該套組可於一或多個容 至少-種氫氧化四級銨、尿酸、至少一種醇(當存在時)、 及至少-種額外有機酸抗氧化劑(#存在時)及視情況選用 之水,其係用於工廠或使用點與稀釋劑(例如水)組合。套 ΟConcentrate D-F can be further diluted according to the invention described herein. For example, as described herein, concentrate D-F can be diluted in a ratio of 20:1 between the diluent and the concentrate. It is important that the removal composition of the present invention provides at least one of the following benefits: alkaline pH to maximize repulsion of particles from the surface; dissolution of organic residues and inorganic residues; enhancement of surfactant via alcohol Characterization, decomposability, and minimization of corrosion of metal layers in the structure of microelectronic devices. In addition, dielectric materials comprising low-k dielectric materials on the microelectronic device are not damaged by removal of the composition. The etching rate of the metal material is preferably in the range of about Am1 Amhfl to about 10 A miiT1, and preferably in the range of about 0·01 A min-i to about 5 A 在1 in another embodiment, after Residual material. Important Removal Compositions of the Invention In a particularly preferred embodiment, the removal composition of the present invention is aqueous and comprises, consists of, or consists essentially of: ΤΜΑΗ, 1 - Amino 2-propanol, uric acid, IpA and oxalic acid. The removal composition of the present invention further comprises a CMp which is soluble and/or suspended in the residue. Thus, in another particularly preferred embodiment 125072.doc • 18-200829695, the removal compositions of the present invention are aqueous and comprise, consist of, or consist essentially of: tmah Residual substances after amidino-2-propanol, uric acid, hydrazine, oxalic acid and CMp. Specific compositions within the broad scope of the present invention include, in combination, a composition having the utility of a chemical mechanical planarization (PCMP) cleaning microelectronic device substrate and having after etching and/or ashing the substrate of the microelectronic device A composition for cleaning the utility of a substrate of a microelectronic device. When the removal composition of the present invention is used for post-etch removal, the removal composition is preferably used in concentrated form, although certain water-diluted compositions are also contemplated herein. The compositions of the present invention are stable in nature and do not degrade in the manner of prior art formulations. Thus, the compositions are stable in storage without loss of efficacy and are resistant to degradation by oxygen so that they can be used in the surrounding air environment without loss of force. In addition, the compositions of the present invention can be recycled in batch wafer or single wafer processing tools because the oxidizable component has relatively good air stability compared to other antioxidant materials. The removal composition of the present invention can be easily formulated by simply adding the respective components and mixing them to a uniform state. In addition, the removal composition can be readily formulated as a single seal formulation, or as a plurality of formulations that are mixed during use or prior to use, for example, individual portions of multiple formulations can be stored upstream of the tool or tool. Mixed in. In the broad practice of the invention, the concentration of the respective ingredients can vary widely in a particular plurality of removal compositions, i.e., more dilute or more, and it will be appreciated that the removal compositions of the present invention can be varied and It is intended to comprise any combination of ingredients consistent with the disclosure herein, consisting of any combination of ingredients consistent with the disclosure herein, or substantially consisting of any combination of ingredients consistent with the disclosure of the disclosure 125072.doc -19-200829695. A kit for a component of a composition in one or more containers. Included in the example includes at least one alkanolamine, such that the 'inventional aspect of the invention' includes one or more suitable for forming the invention, the kit being capable of containing at least one of the quaternary ammonium hydroxides, Uric acid, at least one alcohol (when present), and at least one additional organic acid antioxidant (when present) and optionally water are used in combination with a diluent or a diluent (e.g., water) at the factory or point of use. Set

組之容器必須適合儲存及載運該等移除組合物,例如, NOWPak® ^ H (Advanced Technology MaterialSj Inc^ C〇nn·,說)。一或多個含有移除組合物組份之 容器較佳包括使該-或多個容器中之該等組份流體連通以 進行摻合及分配之構件。舉例而言,關於N〇WPak⑧容 器,可對該一或多個容器之襯塾外部施加氣體壓力而使至 少一部分襯墊内容物排出,並因此使流體連通而進行摻合 及分配。或者,可對習知可加壓容器之頂部空間施加氣體 壓力或可使用泵而使其流體連通。此外,系統較佳包括一 用於將經摻合之移除組合物分配至一處理工具之分配口。 較佳係使用諸如高密度聚乙烯之大體上化學惰性、無雜 質、可撓性及彈性之聚合薄膜材料製造該一或多個容器之 襯墊。合宜的襯墊材料係在不需要共擠壓或障壁層,且不 含任何對待分配至襯墊中之組份的純度要求有不利影響之 顏料、UV抑制劑或處理劑之情況下進行處理。合宜的概 墊材料名單包括包含原始(無添加劑)聚乙烯、原始聚四說 乙烯(PTFE)、聚丙烯、聚胺基甲酸酯、聚偏二氯乙烯、聚 125072.doc -20- 200829695 氣乙烯、聚縮醛、聚苯乙烯、聚丙烯腈、聚丁烯等之薄 膜。該等襯墊材料之較佳厚度係在約5密耳(0.005吋)至約 30密耳(0.03 0吋)之範圍内,例如20密耳(0.020吋)之厚度。 關於用於本發明套組之容器,以下專利及專利申請案之 揭示内容據此各自以全文引用方式併入本文中:標題為 丨,APPARATUS AND METHOD FOR MINIMIZING THE . GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS,,The container of the group must be suitable for storing and carrying the removal composition, for example, NOWPak® ^ H (Advanced Technology MaterialSj Inc. C〇nn., said). The one or more containers containing the components of the removal composition preferably comprise means for fluidly communicating the components of the one or more containers for blending and dispensing. For example, with respect to N〇WPak8 containers, gas pressure can be applied to the exterior of the one or more containers to allow at least a portion of the pad contents to be discharged, and thus fluidly coupled for blending and dispensing. Alternatively, gas pressure may be applied to the headspace of a conventional pressurizable container or may be fluidly connected using a pump. Additionally, the system preferably includes a dispensing port for dispensing the blended removal composition to a processing tool. Preferably, the liner of the one or more containers is fabricated using a substantially chemically inert, non-miscible, flexible, and elastic polymeric film material such as high density polyethylene. Suitable liner materials are treated without the need for a co-extrusion or barrier layer and without any pigment, UV inhibitor or treatment agent that would adversely affect the purity requirements of the components to be dispensed into the liner. A list of suitable cushion materials includes raw (no additives) polyethylene, original polytetraethylene (PTFE), polypropylene, polyurethane, polyvinylidene chloride, poly 125072.doc -20- 200829695 gas A film of ethylene, polyacetal, polystyrene, polyacrylonitrile, polybutene or the like. The preferred thickness of the gasket material is in the range of from about 5 mils (0.005 inch) to about 30 mils (0.03 inch), such as 20 mils (0.020 inch). With regard to the containers used in the kits of the present invention, the disclosures of the following patents and patent applications are hereby incorporated by reference in their entirety herein in their entirety in the entire entire content in the the the the the the the the the the the the the the the the the the the the the the the the the the the the the the the the the

之美國專利第7,188,644號、標題為"RETURNABLE AND 〇 REUSABLE,BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM” 之美國專利第 6,698,619號及以JohnE·Q·Hughes名義於2007年5月9曰申 請之標題為,,SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION"之美國專利申請案第 60/916,966 號。 所提之套組於一個容器中包括至少一種烧醇胺、至少一 種氫氧化四級銨、尿酸、至少一種醇(當存在時)及至少一 f ) 種額外有機酸抗氧化劑(當存在時)及視情況選用之水,其 用於工廠或使用點與稀釋劑(例如水)組合。在替代方案 中,該套組可包括兩個容器,一個容器包括該至少一種烧 醇胺、至少一種氫氧化四級銨、至少一種醇(當存在時)及 一些水;且另一容器包括尿酸、至少一種額外有機酸抗氧 化劑(當存在時)及水。在另一替代方案中,該套組可包括 三個容器,一個容器包括該至少一種烧醇胺、至少一種氫 氧化四級銨、至少一種醇(當存在時)及一些水、第二容器 125072.doc -21 - 200829695 包括尿酸及水,且第三容器包括至少一 化劑及水。在另一替代方案中,各組份 有機酸抗氧 容器中,丨中額外水係存在於 於其自身之 又久嗞至少_種U.S. Patent No. 7,188,644, entitled "RETURNABLE AND 〇REUSABLE, BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM" and U.S. Patent No. 6,698,619, and in the name of John E.Q.Hughes, May 9, 2007 U.S. Patent Application Serial No. 60/916,966, the entire disclosure of which is incorporated herein by reference in its entirety in its entirety in its entirety in its entirety in its entirety in its entirety in its entirety in its entirety in At least one alcohol (when present) and at least one of f) additional organic acid antioxidants (when present) and optionally water for use in combination with a diluent or a diluent (eg, water) at the factory or point of use. In the solution, the kit may comprise two containers, one container comprising the at least one alkanolamine, at least one ammonium quaternary hydroxide, at least one alcohol (when present) and some water; and the other container comprising uric acid, at least An additional organic acid antioxidant (when present) and water. In another alternative, the kit can include three containers, one container Including the at least one alkanolamine, at least one ammonium quaternary ammonium hydroxide, at least one alcohol (when present) and some water, the second container 125072.doc -21 - 200829695 comprising uric acid and water, and the third container comprises at least one In another alternative, in the organic acid antioxidant container of each component, the additional water system in the sputum exists in its own for a long time, at least _

機,化嶋在時)之容器中。水可視情況添加至: 至J -種㈣胺、該至少_種氫氧化四級銨及該至少一種 醉(當存在時)之容器中。在各種情況下,額外水可直接添 加至容器系統及/或後續摻合/稀釋容器中。 JMachine, phlegm in the container). Water may optionally be added to: a container of J-type (tetra)amine, the at least one quaternary ammonium hydroxide and the at least one drunk (when present). In each case, additional water can be added directly to the vessel system and/or subsequent blending/dilution vessel. J

在移除殘餘物之應用中,組合物以任何合適之方式應用 至待清潔之裝置中,例如藉由將組合物噴霧於待清潔穿:置 之表面上’藉由浸潰(以大量組合物)待清潔之裝置,藉由 使待清潔之裝置與另一經組合物浸透之材料(例如,墊片 或纖維性吸附劑施料器元件)接觸或藉由任何其他使組合 物與待清潔之裝置移除接觸之合適構件、方式或技術。: 外,批量晶圓或單晶圓處理係涵蓋於本文中。在cmp後之 殘餘物及污染物之清潔應用中,組合物可與大量習知清潔 工具-起使用,該等習知清潔工具包括Verteq單晶圓超高 頻音波Goldfinger、0nTrak系統DDS(雙面洗滌器)、 旋轉喷霧工具、SEZ單晶圓喷洗器、應用材料厘心心In applications where the residue is removed, the composition is applied to the device to be cleaned in any suitable manner, for example by spraying the composition onto the surface to be cleaned: by impregnation (in large amounts of the composition) a device to be cleaned by contacting the device to be cleaned with another material impregnated with the composition (for example, a gasket or a fibrous adsorbent applicator member) or by any other means for bringing the composition and the device to be cleaned Remove the appropriate components, means or techniques of contact. : In addition, batch wafer or single wafer processing is covered in this article. In the cleaning application of residues and contaminants after cmp, the composition can be used with a large number of conventional cleaning tools including Verteq single wafer ultra high frequency sonic Goldfinger, 0nTrak system DDS (double sided Scrubber), rotary spray tool, SEZ single-wafer sprayer, application material

MeSaTM/ReflexionTM/Reflexi〇n LKTM及超高頻音波批量濕式 清洗台系統。 在使用本發明之組合物自於其上具有CMp後之殘餘物及 /亏染物之微電子裝置將其清潔中,通常使組合物在約2〇。〇 至約50°C範圍内之溫度下與裝置接觸約5 sec至約1〇 min, 較佳約15 sec至5 min之時間。該等接觸時間及溫度係說明 125072.doc •22- 200829695 性的,且在本發明之廣泛實踐中,可採用任何其他有效地 至少部分地自該裝置清潔C Μ P後之殘餘物/污染物的合適 時間及溫度條件。如本文中所定義,"至少部分移除"對應 於移除至少90%之CMP後殘餘物質,較佳移除至少95%之 CMP後殘餘物質。最佳地,使用本發明之組合物移除至少 99%之CMP後殘餘物質。MeSaTM/ReflexionTM/Reflexi〇n LKTM and UHF sonic bulk wet cleaning station systems. The compositions of the present invention are typically cleaned using a composition having a residue and/or a loss of CMp thereon, typically at about 2 Torr.接触 contact with the apparatus at a temperature in the range of about 50 ° C for a period of from about 5 sec to about 1 〇 min, preferably from about 15 sec to 5 min. Such contact times and temperatures are indicative of 125072.doc • 22-200829695, and in the broad practice of the invention, any other residue/contaminant effective to at least partially clean the C Μ P from the device may be employed. The right time and temperature conditions. As defined herein, "at least partially removed" corresponds to removal of at least 90% of post-CMP residual material, preferably at least 95% of post-CMP residual material. Most preferably, at least 99% of the post-CMP residual material is removed using the compositions of the present invention.

在達成所需之清潔作用後,該組合物可易於自先前應用 其之裝置中移除,其在本發明組合物之假定最終用途應用 中可為所需及有效的。舉例而言,該裝置可經包括去離子 水之沖洗液沖洗及/或經乾燥(例如,旋乾、Κ等)。 本發明之另一態樣係關於根據本發明方法製造之經改良 微電子裝置及含有該等微電子裝置之產品。 本發明之另一態樣係關於製造一種包含微電子裝置之物 件的方法,該方法包含使該微電子裝置與組合物接觸足夠 時間以自㈣其上具有CMP後之殘餘物及污染物之微電子 裝置清潔該殘餘物及該等污染物,及將該微電子裝置併入 該物件中,其中該組合物包括至少一種烷醇胺、至少一種 氫氧化四級銨、尿酸、視情況選用之至少一種醇及視情況 選用之至少一種額外有機酸抗氧化劑。 在另一態樣中,本發明係關於一種清潔半導體工具零件 之方法’該方法包含使㈣卫具零件與組合物接觸足夠時 間以清潔該等零件,其中該組合物包括至少一種烷醇胺、 至少一種氫氧化四級銨、尿酸、視情況選用之至少一種醇 及視情況選用之至少一種額外有機酸抗氧化劑。在清潔應 125072.doc -23- 200829695 用中,After the desired cleaning action is achieved, the composition can be readily removed from previously applied equipment, which can be desirable and effective in the putative end use applications of the compositions of the present invention. For example, the device can be rinsed with a rinse solution comprising deionized water and/or dried (e.g., spin dry, dry, etc.). Another aspect of the invention pertains to improved microelectronic devices and products containing such microelectronic devices made in accordance with the methods of the present invention. Another aspect of the invention relates to a method of making an article comprising a microelectronic device, the method comprising contacting the microelectronic device with the composition for a time sufficient to self-retain the residue and contaminants after CMP thereon An electronic device cleans the residue and the contaminants, and the microelectronic device is incorporated into the article, wherein the composition comprises at least one alkanolamine, at least one ammonium quaternary hydroxide, uric acid, optionally at least An alcohol and optionally at least one additional organic acid antioxidant. In another aspect, the present invention is directed to a method of cleaning a semiconductor tool part 'the method comprising: contacting the (four) visor part with the composition for a time sufficient to clean the part, wherein the composition comprises at least one alkanolamine, At least one ammonium hydride hydroxide, uric acid, optionally at least one alcohol, and optionally at least one additional organic acid antioxidant. In the cleaning should be used 125072.doc -23- 200829695,

件,例如藉由將該組合物喷霧於待清潔工具零件之表面 之工具零件,藉由使a piece, for example by spraying the composition onto a tool part of the surface of the tool part to be cleaned,

、 合物與待清潔之工具零件移除接觸之合適構件、方式或技 上、藉由浸潰(以大量組合物)待清潔之 待 片 術。通常,工具零件包括許多待自微電子裝置中移除之相 同殘餘物質及微粒物質,例如,CMP後之殘餘物及污染 〇 物、蝕刻後之殘餘物、灰化後之殘餘物及其組合。 以下實例僅說明本發明且並不意欲限制本發明。 實例1 組合物係用以CMP後清潔於其表面上具有乾燥漿料及其 他PCMP殘餘物之Sematech 854圖案晶圓。在各種情況下, 在 23 C下將晶圓於Laurell Technologies Corporation(NorthThe appropriate component, means or technique for removing the contact with the tool part to be cleaned, by dipping (in a large amount of composition) the piece to be cleaned. Typically, the tool part includes a plurality of identical residual materials and particulate matter to be removed from the microelectronic device, such as residues after CMP and contaminated mash, residues after etching, residues after ashing, and combinations thereof. The following examples are merely illustrative of the invention and are not intended to limit the invention. Example 1 The composition was used to clean a Sematech 854 patterned wafer having a dry slurry and other PCMP residues on its surface after CMP. In each case, wafers were transferred at 23 C under Laurell Technologies Corporation (North

Wales,Pennsylvania,USA)單晶圓旋轉處理機上以15〇 rpm 使用經稀釋之移除濃縮物A或D(濃縮物D包括5重量%之 TMAH、9重量。/〇之1-胺基-2-丙醇、3.5重量%之尿酸、5重 量%之IPA及77.5重量%之水)清潔90 sec,以150 rpm使用 去離子水清潔30 sec,且以2500 rpm清潔30 sec以旋乾該晶 圓。重要的係所使用之移除組合物係在晶片處理之前以20 份稀釋劑(水)對1份移除濃縮物來稀釋。 在處理之後,使各晶圓經受原子力微觀(AFM)成像 (Digital Instruments Dimension 5000 Scanning Probe Microscope,Woodbury,NY,USA)以評估表面粗糖化。對 125072.doc -24- 200829695 於各晶圓樣本而言,選擇三個朝向晶圓塊中心定位之任意 銅墊片進行AFM分析。在各銅墊片位置處,以5ΐ2χ5ΐ2之 像素密度及1.0 Hz之掃描速率經輕敲模式掃描2〇 ymx2〇 μηι之區域。 AFM影像提供兩種RMS表面粗糙度量測:一種具有指示 漿料受 &gt;可染之漿料顆粒(全幀)及一種排除漿料顆粒且因此 指示銅表面粗链度(半巾貞)。 參見圖1 a 1 b及1 c,其分別為控制晶圓、經調配物a清 潔之晶圓及經調配物D清潔之晶圓在6,〇〇〇倍放大率下之掃 描電子顯微照片(龍),可見在以經稀釋之濃縮物A清潔 後之晶圓與在以經稀釋之濃縮物〇清潔後之晶圓顯示少許 差異。重要的係CMP後之殘餘物在兩種情況下均大體上得 以移除。 圖2a及2b中所展示之分別對應於在以經稀釋之濃縮物A 及經稀釋之濃縮物D清潔後之晶圓的半幀之AFM顯微照片 揭示,添加第二有機酸抗氧化劑化合物(圖3心RMS粗糙度 =0.443)與無第二有機酸抗氧化劑化合物(圖3b_RMs粗糙度 = 0.778)之配方相比提供降低銅表面粗糙化之益處。 雖然本發明已參考本發明之特定態樣、特徵及說明性實 施例描述於在本文中,但應瞭解本發明之效用並不因此受 限,而係延伸且涵蓋許多其他變化、修改及替代實施例, 如彼等一般熟習本發明領域者基於本文之揭示内容而提 議。相應地,如下文所主張之本發明意欲經廣義地分析且 解釋,如在其精神及範疇内包括所有該等變化、修改及替 125072.doc -25- 200829695 代實施例。 【圖式簡單說明】 圖la為控制晶圓(CMP後)在6,〇〇〇倍放大率下之掃描電子 顯微照片(SEM),其展示來自CMP製葙夕热^ 田 之殘餘物及漿料顆 粒。Wales, Pennsylvania, USA) The diluted removal concentrate A or D was used at 15 rpm on a single wafer rotary processor (concentrate D included 5 wt% TMAH, 9 wt. / 〇 1-amino-) 2-propanol, 3.5% by weight of uric acid, 5% by weight of IPA and 77.5 wt% of water) cleaned for 90 sec, cleaned with deionized water at 150 rpm for 30 sec, and cleaned at 2500 rpm for 30 sec to spin dry the crystal circle. Importantly, the removal composition used was diluted with 20 parts diluent (water) to 1 part removal concentrate prior to wafer processing. After processing, each wafer was subjected to atomic force microscopic (AFM) imaging (Digital Instruments Dimension 5000 Scanning Probe Microscope, Woodbury, NY, USA) to evaluate surface coarse saccharification. For each wafer sample, 125072.doc -24- 200829695, select three copper pads positioned toward the center of the wafer block for AFM analysis. At the position of each copper pad, the area of 2 〇 ymx2 〇 μηι is scanned by tapping mode at a pixel density of 5 ΐ 2 χ 5 ΐ 2 and a scanning rate of 1.0 Hz. The AFM image provides two RMS surface roughness measurements: one with the indicated slurry being &gt; dyeable slurry particles (full frame) and one for the exclusion of the slurry particles and thus indicating the copper surface to a thick chain (half). See Figures 1 a 1 b and 1 c for scanning wafers, wafers cleaned with formulation a, and wafers cleaned with formulation D at 6, magnifications of scanning electron micrographs (Dragon), it can be seen that the wafer after cleaning with the diluted concentrate A shows a slight difference from the wafer after cleaning with the diluted concentrate. The residue after the important CMP is substantially removed in both cases. The AFM micrographs shown in Figures 2a and 2b, respectively, corresponding to the half-frame of the wafer after cleaning with the diluted concentrate A and the diluted concentrate D reveal the addition of a second organic acid antioxidant compound ( Figure 3 Heart RMS roughness = 0.443) provides the benefit of reducing copper surface roughening compared to formulations without a second organic acid antioxidant compound (Figure 3b_RMs roughness = 0.778). Although the present invention has been described herein with reference to the particular aspects, features and illustrative embodiments of the present invention, it is understood that the utility of the invention is not limited thereby, but extends and encompasses many other variations, modifications and alternative embodiments. For example, those skilled in the art are generally familiar with the disclosure based on the disclosure herein. Accordingly, the invention as set forth below is intended to be broadly construed and construed as the <RTIgt; </ RTI> <RTIgt; </ RTI> <RTIgt; </ RTI> </ RTI> <RTIgt; BRIEF DESCRIPTION OF THE DRAWINGS Figure la is a scanning electron micrograph (SEM) of a control wafer (after CMP) at 6 〇〇〇 magnification, showing the residue from the CMP system Slurry particles.

明方法之濃縮物A 圖1 b為圖1 a之控制晶圓在以根據本發 之2〇:1稀釋液清潔後之SEM。 圖1 c為圖1 a之控制晶圓在以根撼太表Concentrate A of the Ming Method Figure 1 b is the SEM of the control wafer of Figure 1a after cleaning with a 2:1 dilution according to the present invention. Figure 1 c is the control wafer of Figure 1a

四# 乂很羅本發明方法之濃縮物D 之20:1稀釋液清潔後之SEM。 圖2a為銅表面在以根據本菸明 佩个知月方法之濃縮物A之20:1稀 釋液清潔後之半幀原子力顯微照片(afm)。 圖2b為銅表面在以根據本發明方法之濃縮物〇之2〇:1稀 釋液清潔後之半幀原子力顯微照片(AFM)。#四乂 The SEM of the 20:1 dilution of the concentrate D of the method of the present invention. Figure 2a is a half-frame atomic force micrograph (afm) of the copper surface after cleaning with a 20:1 dilution of Concentrate A according to the method of the present invention. Figure 2b is a half-frame atomic force micrograph (AFM) of the copper surface after cleaning with a 2:1 dilution of the concentrate of the method according to the invention.

J 125072.doc 26·J 125072.doc 26·

Claims (1)

200829695 十、申請專利範圍: 1 · 種移除纟且合物,盆包含$小 ^ 一種烧醇胺、至少一種虱 氧化四級錢、尿酸、滿彳杳 、 ^ 視〖月况選用之至少一種醇及視情況 選用之至少一種額外有機酸抗氧化劑,其中該移除組合 物係適σ自—其上具有化學機械拋光後之殘餘物及污染 物之微電子裝置移除該物質。 2·如叫求項1之組合物,其中該至少一種烷醇胺包含選自200829695 X. Patent application scope: 1 · Remove the bismuth compound, the pot contains $ small ^ an alkanolamine, at least one bismuth oxidized quaternary acid, uric acid, full sputum, ^ according to at least one of the monthly conditions The alcohol and optionally at least one additional organic acid antioxidant, wherein the removal composition is suitable for removing the material from a microelectronic device having residues and contaminants after chemical mechanical polishing. 2. The composition of claim 1, wherein the at least one alkanolamine comprises a color selected from the group consisting of 由以下各物組成之群之物質:胺基乙基乙醇胺、Ν_甲基 胺基乙醇、胺基乙氧基乙醇、二甲基胺基乙氧基乙醇、 一乙醇私、Ν-曱基二乙醇胺、單乙醇胺、三乙醇胺、卜 胺基丙醇、2_胺基-1-丁醇、異丁醇胺、三伸乙二胺、 其他C广C6烷醇胺及其組合。 3·如請求項1之組合物,其中該至少一種烷醇胺包含^胺 基-2-丙醇。 4·如請求項1之組合物,其中該至少一種氫氧化四級銨包 含nr^wqh化合物,其中Rl、r2、r3及&amp;4可彼此相 同或不同,且係選自由氫、直鏈C「C6烷基、支鏈C「C6 烧基、經取代之C6-C1G芳基及未經取代之C6-C1G芳基組成 之群。 5 ·如凊求項1之組合物,其中該至少一種氫氧化四級銨包 含氳氧化四甲基銨(TMAH)。 6·如請求項1之組合物,其包含至少一種醇,其中該至少 一種醇包含直鏈或支鏈(^-(:6醇。 7·如請求項1之組合物,其包含至少一種醇,其中該至少 125072.doc 200829695 一種醇包含異丙醇(IPA)。 8·如請求項1之組合物,其包含至少一種額外有機酸抗氧 化劑’其中該至少一種額外有機酸抗氧化劑包括選自由 以下各物組成之群之酸:草酸、檸檬酸、鞣酸、抗壞血 酸、L(+)_抗壞血酸、異抗壞血酸、抗壞血酸衍生物、苯 甲酸、苯甲酸銨、兒茶酚、連苯三酚、間苯二酚、氫 西比 一 1氰酸、巴比妥酸(barbituric acid)及諸如1,2-二 甲基巴比妥酸之衍生物,及其組合。 9·如請求項1之組合物,其中該微電子裝置包含一選自由 半導體基板、平板顯示器及微機電系統(MEMS)組成之 群之物件。 10.如請求項1之組合物,其中該氫氧化四級銨相對於烷醇 胺之重量百分比的比率係在約〇·3至約〇·8之範圍内。 11 ·如凊求項1之組合物,其包含至少一種醇,其中該醇相 對於燒醇胺之重量百分比的比率係在約〇·3至約〇.8之範 圍内。 12 ·如请求項1之組合物,其中該尿酸相對於烷醇胺之重量 百分比的比率係在約〇.〇1至約〇.5〇之範圍内。 13·如請求項丨之組合物,其包含至少一種額外有機酸抗氧 化劑’其中該額外有機酸抗氧化劑相對於烷醇胺之重量 百分比的比率係在約〇·〇1至約〇·5之範圍内。 14·如請求項1之組合物,其中該組合物之pH值係在約8.5至 約11 · 5之範圍内。 15.如請求項1之組合物,其中該組合物之pH值係在約8·5至 125072.doc 200829695 約9.5之範圍内。 16. . 17. Ο 18. 19. 20. u 21. 22. 如叫求項1之組合物,其中CMP後之該殘餘物及該等污 木物包含選自由以下各物組成之群之物質:來自Cmp拋 光裝料之顆粒、存在於該CMP拋光漿料中之化學品 '該 P抛光襞料之反應副產物、富碳顆粒、拋光塾顆粒、 電刷去載顆粒、設備材料之構造顆粒、銅及銅氧化物。 如清求項1之組合物,其進一步包含CMP後之殘餘物及 污染物。 如清求項1之組合物,其中該組合物係經約2〇:丨至約 200:1之範圍之稀釋。 如請求項1之組合物,其包含丨-胺基_2_丙醇、氫氧化四 甲基銨、尿酸、異丙醇及草酸。 一種移除組合物,其基本上係由至少一種烧醇胺、至少 一種氫氧化四級銨、尿酸、至少一種醇及至少一種額外 有機酸抗氧化劑組成,其中該移除組合物係適合自一其 上具有化學機械拋光後之殘餘物及污染物之微電子裝置 移除該物質。 一種移除組合物,其係由至少一種烷醇胺、至少一種氣 氧化四級銨、尿酸、至少一種醇及至少一種額外有機酸 抗氧化劑組成,其中該移除組合物係適合自一其上具有 化學機械拋光後之殘餘物及污染物之微電子裝置移除讀r 物質。 如清求項2 1之組合物’其係由1 -胺基-2-丙醇、氣氧化四 甲基銨、尿酸、異丙醇及草酸組成。 125072.doc 200829695 23· —種移除組合物,其包含至少一種烷醇胺、至少一種氫 氧化四級銨、尿酸、CMP後之殘餘物及污染物、視情況 選用之至少一種醇及視情況選用之至少—種額外有機酸 抗氧化劑,其中該移除組合物係適合自一其上具有化學 機械拋光後之殘餘物及污染物之微電子裝置移除該物 質。 ’ 24. 如請求項22之組合物,其中CMp後之該殘餘物及該等污 染物包含選自由以下各物組成之群之物質:來自CMp拋 光漿料之顆粒、存在於該CMP拋光漿料中之化學品、該 CMP拋光漿料之反應副產物、富碳顆粒、拋光墊顆粒、 電刷去載顆粒、設備材料之構造顆粒、銅及銅氧化物。 25. 種自一其上具有殘餘物及污染物之微電子裝置移除該 殘餘物及該等污染物之方法,該方法包含使該微電子裝 置與一水性移除組合物接觸足夠時間以至少部分地自該 微電子裝置清潔該殘餘物及該等污染物,其巾該移除組 合物包括至少一種烧醇胺、至少一種氫氧化四級銨、尿 酸、視情況選用之至少一種醇及視情況選用之至少一種 額外有機酸抗氧化劑。 26·如叫求項25之方法,其中該殘餘物及該等污染物包含選 自由以下各物組成之群的CMp後之殘餘物及污染物··來 ^ CMP拋光漿料之顆粒、存在於該cmP拋光漿料中之化 學如、該CMP拋光漿料之反應副產物、富碳顆粒、拋光 墊顆粒、電刷去載顆粒、設備材料之構造顆粒、銅及銅 氧化物。 125072.doc 200829695 27. 如請求項25之方法,其中該接觸係選自由以下各條件組 成之群··約15秒鐘至約5分鐘之時間;約2〇°C至約50°C 範圍内之溫度及其組合。 28. 如明求項25之方法,其中該至少一種烷醇胺包含一選自 由以下各物組成之群之物質:胺基乙基乙醇胺、义甲基 胺基乙醇、胺基乙氧基乙醇、二甲基胺基乙氧基乙醇、 二乙醇胺、N-甲基二乙醇胺、單乙醇胺、三乙醇胺、卜 胺基-2-丙醇、2-胺基-1-丁醇、異丁醇胺、三伸乙二胺、 其他CrC6烧醇胺及其組合,且 其中該至少一種氫氧化四級銨包含nrir2r3r4〇h化合 物,其中R1、R2、R3及R4可彼此相同或不同,且係選自 由下列所組成之群:氫、直鏈CiC6烷基、支鏈c广q烷 基、經取代之C^ClG芳基及未經取代之c6-c1G芳基。 29·如請求項25之方法,其中該水性移除組合物包含至少一 種醇且其中該至少一種醇包含直鏈或支鏈Ci_C6醇。 30·如請求項25之方法,其中該水性移除組合物包含至少一 種額外有機酸抗氧化劑且其中該至少一種額外有機酸抗 氧化劑包含選自由草酸、檸檬酸、鞣酸、抗壞血酸及其 組合所組成之群的酸。 3!.如請求項25之方法,其中該氫氧化四級錄相對於院醇胺 之重量百分比的比率係在約〇·3至約〇8之範圍内且該 尿酸相對於烷醇胺之重量百分比的比率係在約&lt; 0.50之範圍内。 32·如請求項25之方法,其中該微電子裝置包含一選自由半 125072.doc 200829695 v體基板、平板顯示器及微機電系統(mems)組成之群 的物件。 33_如明求項25之方法,其中該組合物之pH值係在約8·5至 約11.5之範圍内。 34.如明求項25之方法,其中該移除組合物進一步包含cmp 後之殘餘物質。 35·如明求項25之方法,其進一步包含在使用點時或在使用 點之前以溶劑稀釋該鹼性水性清潔組合物。 3 6.如明求項35之方法,其中該移除組合物係經約2〇:丨至約 200:1之範圍稀釋。 37. 38. 39. 如請求項35之方法,其中該溶劑包含水。 如清求項25之方法,其中該微電子裝置包含含銅材料。 〇 如请求項25之方法,其中該接觸包含一選自由以下所組 成之群之程序:將該移除組合物喷霧於該微電子裝置之 表面上,以足夠體積之移除組合物浸潰該微電子裝 置,使該微電子裝置之一表面與另一經該移除組合物浸 透(saturated)之材料接觸;及使該微電子裝置與一循環 移除組合物接觸。 40·如請求項25之方法,其進一步包含在與該移除組合物接 觸之後以去離子水沖洗該微電子裝置。 41. 一種製造物件,其包含一水性移除組合物、一微電子裝 置及其上之CMP後之殘餘物及污染物,其中該水性移除 組a物包g至少一種烧醇胺、至少一種氫氧化四級銨、 尿酸、視情況選用之至少一種醇及視情況選用之至少一 125072.doc Ο u 200829695 種額外有機酸抗氧化劑。 42. -種製造物件,彡包含-水性移I组合⑯、一微電子裝 置及其上之CMP後之殘餘物及污染物,纟中該水性移除 組合物係由至少-㈣醇胺、至少一種氫氧化四級銨、 尿酸、視情況選用之至少一種醇及視情況選用之至少一 種額外有機酸抗氧化劑組成。 43. -種清潔半導體工具零件之方法,該方法包含使該等工 具零件與一組合物接觸足夠時間以至少部分地清潔該等 工具零件,其中該組合物包括至少—種院醇胺、至少一 種氫氧化四級銨、尿酸、視情況選用之至少一種醇及視 情況選用之至少一種額外有機酸抗氧化劑。 44. -種套組,其於一或多個容器中包含以下用於形成水性 移除組合物之試劑之-或多者,該—或多種試劑係選自 由以下所組成之群:至少__㈣醇胺、至少—種氯氧化 四級錢、尿酸、視情況選狀至少_種醇及視情況選用 之至少-種額外有機酸抗氧化劑,且其中該套組係適合 形成如請求項1之組合物。 45. 如請求項44之套組,其中該移除組合物係以約η至約 2〇〇:1之範圍經稀釋劑稀釋。 46. 如請求項45之套組,其中該稀釋劑包含水。 47. 如請求項44之套組’其中使該一或多種試劑流體連通以 進行摻合及分配。 125072.doc 200829695 七、指定代表囷: (一) 本案指定代表圖為:第(la-lc)圖。 (二) 本代表圖之元件符號簡單說明: (無元件符號說明)A substance consisting of the following: aminoethylethanolamine, hydrazine-methylaminoethanol, aminoethoxyethanol, dimethylaminoethoxyethanol, monoethanol, hydrazine-fluorenyl Ethanolamine, monoethanolamine, triethanolamine, amidopropanol, 2-amino-1-butanol, isobutanolamine, triethylenediamine, other C-C6 alkanolamines, and combinations thereof. 3. The composition of claim 1 wherein the at least one alkanolamine comprises an amine-2-propanol. 4. The composition of claim 1, wherein the at least one quaternary ammonium hydroxide comprises a nr^wqh compound, wherein R1, r2, r3 and &amp; 4 are the same or different from each other and are selected from the group consisting of hydrogen and linear C "C6 alkyl, branched C" C6 alkyl, substituted C6-C1G aryl, and unsubstituted C6-C1G aryl group. 5. The composition of claim 1, wherein the at least one The quaternary ammonium hydroxide comprises tetramethylammonium oxide (TMAH). The composition of claim 1 comprising at least one alcohol, wherein the at least one alcohol comprises a linear or branched chain (^-(6 alcohol) 7. The composition of claim 1 comprising at least one alcohol, wherein the at least 125072.doc 200829695 an alcohol comprises isopropanol (IPA). 8. The composition of claim 1 comprising at least one additional organic The acid antioxidant 'wherein the at least one additional organic acid antioxidant comprises an acid selected from the group consisting of oxalic acid, citric acid, citric acid, ascorbic acid, L(+)-ascorbic acid, isoascorbic acid, ascorbic acid derivative, benzene Formic acid, ammonium benzoate, catechol, pyrogallol, a hydroquinone, a hydrogen cyanide-1 cyanate, a barbituric acid, and a derivative such as 1,2-dimethylbarbituric acid, and combinations thereof. 9. The composition of claim 1 The microelectronic device comprises an object selected from the group consisting of a semiconductor substrate, a flat panel display, and a microelectromechanical system (MEMS). 10. The composition of claim 1 wherein the quaternary ammonium hydroxide is relative to the alkanolamine The ratio of the weight percentages is in the range of from about 〇·3 to about 。·8. 11. The composition of claim 1, which comprises at least one alcohol, wherein the ratio of the alcohol to the weight percentage of the alkanolamine The composition of claim 1 wherein the ratio of the uric acid to the weight percent of the alkanolamine is from about 〇.〇1 to about 〇.5. Within the scope of 〇. 13. The composition of claim ,, comprising at least one additional organic acid antioxidant, wherein the ratio of the weight of the additional organic acid antioxidant to the alkanolamine is between about 〇·〇1 Within the scope of approximately 〇·5. 14. The composition of claim 1, Wherein the pH of the composition is in the range of from about 8.5 to about 11.5. 15. The composition of claim 1 wherein the pH of the composition is between about 8.5 and 125072.doc 200829695 about 9.5 16. The composition of claim 1, wherein the residue after CMP and the soiled material comprise a component selected from the group consisting of: Substance: particles from Cmp polishing charge, chemicals present in the CMP polishing slurry 'reaction by-products of the P-polished material, carbon-rich particles, polished cerium particles, brush-loaded particles, equipment The structural particles of the material, copper and copper oxide. The composition of claim 1, which further comprises residues and contaminants after CMP. The composition of claim 1, wherein the composition is diluted by a range of from about 2 Torr to about 200:1. The composition of claim 1 which comprises guanidine-amino-2-propanol, tetramethylammonium hydroxide, uric acid, isopropanol and oxalic acid. A removal composition consisting essentially of at least one alkanolamine, at least one ammonium quaternary ammonium hydroxide, uric acid, at least one alcohol, and at least one additional organic acid antioxidant, wherein the removal composition is suitable for one The microelectronic device having chemical and mechanical polishing residues and contaminants removes the material. A removal composition comprising at least one alkanolamine, at least one oxidized quaternary ammonium, uric acid, at least one alcohol, and at least one additional organic acid antioxidant, wherein the removal composition is suitable for one A microelectronic device with chemical mechanical polishing residues and contaminants removes the read r species. The composition of claim 2 is composed of 1-amino-2-propanol, tetramethylammonium oxide, uric acid, isopropanol and oxalic acid. 125072.doc 200829695 23 - a removal composition comprising at least one alkanolamine, at least one ammonium quaternary ammonium hydroxide, uric acid, residues after CMP and contaminants, optionally at least one alcohol, and optionally At least one additional organic acid antioxidant is selected, wherein the removal composition is suitable for removal of the material from a microelectronic device having chemical mechanical polishing residues and contaminants thereon. 24. The composition of claim 22, wherein the residue after CMp and the contaminants comprise a substance selected from the group consisting of: particles from a CMp polishing slurry, present in the CMP polishing slurry Chemicals in the process, reaction by-products of the CMP polishing slurry, carbon-rich particles, polishing pad particles, brush-loaded particles, structural particles of equipment materials, copper and copper oxide. 25. A method of removing the residue and the contaminants from a microelectronic device having residues and contaminants thereon, the method comprising contacting the microelectronic device with an aqueous removal composition for a time sufficient to at least Partially cleaning the residue and the contaminants from the microelectronic device, the towel removing composition comprising at least one alkanolamine, at least one ammonium quaternary ammonium hydroxide, uric acid, optionally at least one alcohol, and optionally At least one additional organic acid antioxidant is used in the case. The method of claim 25, wherein the residue and the contaminants comprise residues and contaminants selected from the group consisting of CMp, and the particles of the CMP polishing slurry are present in The chemistry in the cmP polishing slurry, such as reaction by-products of the CMP polishing slurry, carbon-rich particles, polishing pad particles, brush-loaded particles, structured particles of equipment materials, copper and copper oxide. The method of claim 25, wherein the contact is selected from the group consisting of: 15 seconds to about 5 minutes; about 2 ° C to about 50 ° C Temperature and its combination. 28. The method of claim 25, wherein the at least one alkanolamine comprises a substance selected from the group consisting of: aminoethylethanolamine, sense methylaminoethanol, aminoethoxyethanol, Dimethylaminoethoxyethanol, diethanolamine, N-methyldiethanolamine, monoethanolamine, triethanolamine, amidino-2-propanol, 2-amino-1-butanol, isobutanolamine, Trisethylenediamine, other CrC6 alkalamine, and combinations thereof, and wherein the at least one quaternary ammonium hydroxide comprises a compound of nrir2r3r4〇h, wherein R1, R2, R3 and R4 may be the same or different from each other, and are selected from the group consisting of The group consisting of hydrogen, a linear CiC6 alkyl group, a branched c-wide q-alkyl group, a substituted C^ClG aryl group, and an unsubstituted c6-c1G aryl group. The method of claim 25, wherein the aqueous removal composition comprises at least one alcohol and wherein the at least one alcohol comprises a linear or branched Ci_C6 alcohol. The method of claim 25, wherein the aqueous removal composition comprises at least one additional organic acid antioxidant and wherein the at least one additional organic acid antioxidant comprises selected from the group consisting of oxalic acid, citric acid, citric acid, ascorbic acid, and combinations thereof The group of acids. The method of claim 25, wherein the ratio of the weight percent of the oxyhydroxide to the hospital alcohol amine is in the range of from about 〇3 to about 且8 and the weight of the uric acid relative to the alkanolamine The ratio of percentages is in the range of about &lt; 0.50. 32. The method of claim 25, wherein the microelectronic device comprises an object selected from the group consisting of a semi-125072.doc 200829695 v bulk substrate, a flat panel display, and a microelectromechanical system (mems). 33. The method of claim 25, wherein the pH of the composition is in the range of from about 8.5 to about 11.5. 34. The method of claim 25, wherein the removing composition further comprises residual material after cmp. 35. The method of claim 25, further comprising diluting the alkaline aqueous cleaning composition with a solvent at the point of use or prior to the point of use. The method of claim 35, wherein the removal composition is diluted by a range of from about 2 〇: 丨 to about 200:1. 37. The method of claim 35, wherein the solvent comprises water. The method of claim 25, wherein the microelectronic device comprises a copper-containing material. The method of claim 25, wherein the contacting comprises a process selected from the group consisting of spraying the removal composition onto the surface of the microelectronic device, impregnating the composition with a sufficient volume The microelectronic device contacts one surface of the microelectronic device with another material that is saturated with the removal composition; and contacts the microelectronic device with a recycle removal composition. 40. The method of claim 25, further comprising rinsing the microelectronic device with deionized water after contacting the removal composition. 41. A manufactured article comprising an aqueous removal composition, a microelectronic device, and a residue and contaminants thereof after CMP, wherein the aqueous removal group a package comprises at least one an alkoxylamine, at least one Ammonium hydroxide, uric acid, optionally at least one alcohol, and optionally at least one 125072.doc 2008 u 200829695 additional organic acid antioxidants. 42. An article of manufacture comprising: an aqueous shift I combination 16, a microelectronic device and a residue and contaminants thereof after CMP, wherein the aqueous removal composition is at least -(tetra)alkanolamine, at least A quaternary ammonium hydroxide, uric acid, optionally at least one alcohol selected, and optionally at least one additional organic acid antioxidant. 43. A method of cleaning a semiconductor tool part, the method comprising contacting the tool part with a set of compositions for a time sufficient to at least partially clean the tool parts, wherein the composition comprises at least - a polyalkanolamine, at least one Ammonium hydroxide, uric acid, optionally at least one alcohol, and optionally at least one additional organic acid antioxidant. 44. A kit comprising one or more of the following reagents for forming an aqueous removal composition in one or more containers selected from the group consisting of: at least __(d) Alcoholamine, at least a chlorinated quaternary acid, uric acid, optionally at least one alcohol, and optionally at least one additional organic acid antioxidant, and wherein the kit is suitable for forming a combination as claimed in claim 1. Things. 45. The kit of claim 44, wherein the removal composition is diluted with a diluent in a range from about η to about 2 〇〇:1. 46. The kit of claim 45, wherein the diluent comprises water. 47. The kit of claim 44, wherein the one or more reagents are in fluid communication for blending and dispensing. 125072.doc 200829695 VII. Designation of representatives: (1) The representative representative of the case is: (la-lc). (2) A brief description of the component symbols of this representative figure: (No component symbol description) 八、本案若有化學式時,請揭示最能顯示發明特徵的化學式: (無)8. If there is a chemical formula in this case, please disclose the chemical formula that best shows the characteristics of the invention: (none) 125072.doc125072.doc
TW096135610A 2006-09-21 2007-09-21 Uric acid additive for cleaning formulations TW200829695A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US84630606P 2006-09-21 2006-09-21

Publications (1)

Publication Number Publication Date
TW200829695A true TW200829695A (en) 2008-07-16

Family

ID=39201272

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096135610A TW200829695A (en) 2006-09-21 2007-09-21 Uric acid additive for cleaning formulations

Country Status (2)

Country Link
TW (1) TW200829695A (en)
WO (1) WO2008036823A2 (en)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101622862B1 (en) * 2007-05-17 2016-05-19 엔테그리스, 아이엔씨. New antioxidants for post-cmp cleaning formulations
US8765653B2 (en) 2009-07-07 2014-07-01 Air Products And Chemicals, Inc. Formulations and method for post-CMP cleaning
SG189292A1 (en) 2010-10-06 2013-05-31 Advanced Tech Materials Composition and process for selectively etching metal nitrides
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
KR102294726B1 (en) 2013-03-04 2021-08-30 엔테그리스, 아이엔씨. Compositions and methods for selectively etching titanium nitride
EP3004287B1 (en) 2013-06-06 2021-08-18 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
TWI683889B (en) 2013-07-31 2020-02-01 美商恩特葛瑞斯股份有限公司 Aqueous formulations for removing metal hard mask and post-etch residue with cu/w compatibility
SG11201601158VA (en) 2013-08-30 2016-03-30 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
TWI654340B (en) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge SELECTIVE ETCH FORMULATIONS AND METHOD OF USING SAME
WO2015095726A1 (en) 2013-12-20 2015-06-25 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
KR102290209B1 (en) 2013-12-31 2021-08-20 엔테그리스, 아이엔씨. Formulations to selectively etch silicon and germanium
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6440326B1 (en) * 1998-08-13 2002-08-27 Mitsubishi Gas Chemical Company, Inc. Photoresist removing composition

Also Published As

Publication number Publication date
WO2008036823A3 (en) 2008-10-16
WO2008036823A2 (en) 2008-03-27

Similar Documents

Publication Publication Date Title
TW200829695A (en) Uric acid additive for cleaning formulations
USRE46427E1 (en) Antioxidants for post-CMP cleaning formulations
TWI598468B (en) Cleaning composition, kit and method for removing post-chemical mechanical polishing residue
TWI418622B (en) Copper passivating post-chemical mechanical polishing cleaning composition and method of use
TWI565797B (en) Cleaning liquid composition for electronic device
US8754021B2 (en) Non-amine post-CMP composition and method of use
US20100056409A1 (en) Compositions for processing of semiconductor substrates
KR101997950B1 (en) Semiconductor device cleaning liquid and method for cleaning semiconductor device substrate
EP1360712A2 (en) Post chemical-mechanical planarization (cmp) cleaning composition
EP1287550A2 (en) Post chemical-mechanical planarization (cmp) cleaning composition
TW200537611A (en) Substrate cleaning liquid for semiconductor device and cleaning method
TW201732026A (en) Composition for post chemical- mechanical- polishing cleaning
KR20190016093A (en) Post chemical-mechanical-polishing cleaning composition