TWI754163B - 用於鈰粒子之化學機械研磨後(post cmp)清潔組合物 - Google Patents

用於鈰粒子之化學機械研磨後(post cmp)清潔組合物 Download PDF

Info

Publication number
TWI754163B
TWI754163B TW108130162A TW108130162A TWI754163B TW I754163 B TWI754163 B TW I754163B TW 108130162 A TW108130162 A TW 108130162A TW 108130162 A TW108130162 A TW 108130162A TW I754163 B TWI754163 B TW I754163B
Authority
TW
Taiwan
Prior art keywords
acid
composition
propanol
ammonium
particles
Prior art date
Application number
TW108130162A
Other languages
English (en)
Other versions
TW202016277A (zh
Inventor
伊麗莎白 湯瑪斯
邁克 懷特
丹尼拉 懷特
阿特奴 達斯
Original Assignee
美商恩特葛瑞斯股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商恩特葛瑞斯股份有限公司 filed Critical 美商恩特葛瑞斯股份有限公司
Publication of TW202016277A publication Critical patent/TW202016277A/zh
Application granted granted Critical
Publication of TWI754163B publication Critical patent/TWI754163B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/33Amino carboxylic acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2068Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/042Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/044Hydroxides or bases
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • C11D3/2079Monocarboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • C11D3/2086Hydroxy carboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/22Carbohydrates or derivatives thereof
    • C11D3/222Natural or synthetic polysaccharides, e.g. cellulose, starch, gum, alginic acid or cyclodextrin
    • C11D3/225Natural or synthetic polysaccharides, e.g. cellulose, starch, gum, alginic acid or cyclodextrin etherified, e.g. CMC
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/36Organic compounds containing phosphorus
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/36Organic compounds containing phosphorus
    • C11D3/362Phosphates or phosphites
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/36Organic compounds containing phosphorus
    • C11D3/364Organic compounds containing phosphorus containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/36Organic compounds containing phosphorus
    • C11D3/365Organic compounds containing phosphorus containing carboxyl groups
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3746Macromolecular compounds obtained by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3757(Co)polymerised carboxylic acids, -anhydrides, -esters in solid and liquid compositions
    • C11D3/3765(Co)polymerised carboxylic acids, -anhydrides, -esters in solid and liquid compositions in liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3746Macromolecular compounds obtained by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3769(Co)polymerised monomers containing nitrogen, e.g. carbonamides, nitriles or amines
    • C11D3/3776Heterocyclic compounds, e.g. lactam
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3746Macromolecular compounds obtained by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/378(Co)polymerised monomers containing sulfur, e.g. sulfonate
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3942Inorganic per-compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3947Liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/395Bleaching agents
    • C11D3/3953Inorganic bleaching agents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02065Cleaning during device manufacture during, before or after processing of insulating layers the processing being a planarization of insulating layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Molecular Biology (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

本發明提供一種用於自微電子裝置上清潔化學機械研磨(CMP)後污染物及鈰粒子之移除組合物及方法,該微電子裝置上具有該等鈰粒子及污染物。該組合物達成該微電子裝置表面上之鈰粒子及CMP副產物污染物材料的高效移除。

Description

用於鈰粒子之化學機械研磨後(POST CMP)清潔組合物
本發明大體上係關於用於自微電子裝置上移除鈰粒子及其他化學機械研磨漿液污染物之組合物,該微電子裝置上具有該等粒子及污染物。
微電子裝置晶圓用於形成積體電路。微電子裝置晶圓包括諸如矽之基板,在其中區域經圖案化用以沈積具有絕緣、傳導性或半傳導性特性之不同材料。
為獲得正確的圖案化,必須移除用於在基板上形成層之過量材料。此外,為製造功能性的且可靠之電路,在後續製程之前製備平整或平坦之微電子晶圓表面為重要的。因此,有必要移除且/或研磨某些微電子裝置晶圓表面。
化學機械研磨或平坦化(「CMP」)為一種自微電子裝置晶圓表面移除材料的製程,其中藉由將物理製程(諸如磨耗)與化學製程(諸如氧化或螯合)耦聯來研磨(例如平坦化)表面。在其最基本的形式中,CMP涉及將具有活性化學物質之磨耗漿液施加至在移除、平坦化及研磨製程期間磨光微電子裝置晶圓表面的研磨墊。為實現快速、均一移除,使用純物理或純化學作用之移除或研磨製程之效果不如兩者之協同組合。此外,在積體電路之製造中,CMP漿液亦應能夠優先移除包含金屬及其他材料之複合層之膜,使得可產生高度平坦之表面以用於後續光微影術或圖案化、蝕刻及薄膜加工。
在使用淺溝槽隔離(STI)法在矽基板中形成隔離區之前段製程(front-end-of-the-line;FEOL)法中,將墊氧化物膜及墊氮化物膜沈積在半導體基板上且圖案化以暴露對應於隔離區之基板之部分。接著,蝕刻基板之暴露區域以形成溝槽。其後,使基板經受犧牲氧化製程以移除由基板蝕刻、隨後在溝槽表面上形成壁氧化物膜引起之損壞。其次,以埋入溝槽中之方式將溝槽內埋氧化物膜(例如,藉由高密度電漿化學氣相沈積形成之氧化物膜,稱為HDP-氧化物膜)沈積在基板之表面上。接著,使HDP-氧化物膜之表面經受化學機械研磨,直至墊氮化物膜暴露為止。接著清潔所得基板且移除在溝槽蝕刻期間用作蝕刻障壁之墊氮化物膜,從而完成隔離區之形成。
相對於含二氧化矽之漿液,使用鈰粒子之CMP漿液一般達成對絕緣體之更快研磨速度。此外,鈰基漿液因為達成具有最小氧化物侵蝕之STI圖案平坦化之能力而被最常使用。不利地,鈰基漿液因為相對於氧化矽及氮化矽表面之鈰粒子之帶相反電荷ζ電位而難以自STI結構移除。若製造具有殘留在晶圓上之此等殘餘物之裝置,則該等殘餘物將導致短路及電阻增加。在使用鈰漿液之CMP製程之後,鈰粒子亦為FinFET結構之問題。
當前,用於移除鈰粒子之最有效的濕洗調配物為稀釋氫氟酸(DHF)。然而,DHF不利地蝕刻氧化矽及其他低k介電材料。
因此,仍需要一種鈰粒子移除組合物及製程,其可有效地自微電子裝置表面移除鈰粒子,同時不損害底層材料(諸如氮化矽、低k介電質(例如,氧化矽)及含鎢層)。鈰粒子移除組合物亦應有效地自微電子裝置表面移除CMP漿液污染物。
本發明大體上係關於一種移除組合物及製程,其尤其適用於自微電子裝置上清潔鈰粒子及CMP污染物,該微電子裝置上具有該等粒子及CMP污染物(尤其具有PETEOS、氮化矽及多晶矽基板)。在一個態樣中,本發明提供使用氧化劑來處理微電子基板,其上具有帶正電鈰粒子。在另一態樣中,描述一種自微電子裝置上移除鈰粒子及CMP污染物之方法,該微電子裝置上具有該等粒子及污染物,該方法包含使該微電子裝置與移除組合物接觸充足時間以自該微電子裝置至少部分清潔該等粒子及污染物,其中該移除組合物包含(i)至少一種氧化劑;(ii)至少一種錯合劑;(iii)至少一種清潔劑;(iv)至少一種四級銨或四級鏻化合物或無機氫氧化物及(v)水;及視情況其他成份。
本發明大體上係關於適用於自微電子裝置上移除帶正電之鈰粒子及CMP污染物之組合物,該微電子裝置上具有此類材料。使用組合物來有效移除鈰粒子及CMP污染物且此外組合物與氮化矽及低k介電(例如,氧化矽)層相容。
在第一態樣中,本發明提供一種組合物,其包含如本文中所闡述之組合物、由其組成或基本上由其組成。在一個實施例中,本發明提供一種組合物,其包含: (i)至少一種氧化劑; (ii)至少一種錯合劑; (iii)至少一種清潔劑; (iv)至少一種四級銨或四級鏻化合物或無機氫氧化物;及 (v)水。
如本文中所使用,術語「氧化劑」包括但不限於:過氧化氫;其他過化合物,諸如含有過氧單硫酸鹽之鹽及酸、過硼酸鹽、過氯酸鹽、過碘酸鹽、過硫酸鹽、過錳酸鹽及陰離子過乙酸鹽;及胺-N-氧化物。其他實例包括FeCl3 、FeF3 、Fe(NO3 )3 、Sr(NO3 )2 、CoF3 、MnF3 、臭氧(2KHSO5 .KHSO4 .K7 SO4 )、碘酸、五氧化二釩(V) (vanadium (V) oxide)、氧化釩(IV,V) (vanadium (IV,V) oxide)、釩酸銨、多原子銨鹽(例如,過氧單硫酸銨、亞氯酸銨(NH4 ClO2 )、氯酸銨(NH4 ClO3 )、碘酸銨(NH4 IO3 )、硝酸銨(NH4 NO3 )、過硼酸銨(NH4 BO3 )、過氯酸銨(NH4 ClO4 )、過碘酸銨(NH4 IO4 )、過硫酸銨((NH4 )2 S2 O8 )、次氯酸銨(NH4 ClO))、鎢酸銨((NH4 )10 H2 (W2 O7 ))、多原子鈉鹽(例如,過硫酸鈉(Na2 S2 O8 )、次氯酸鈉(NaClO)、過硼酸鈉)、多原子鉀鹽(例如,碘酸鉀(KIO3 )、過錳酸鉀(KMnO4 )、過硫酸鉀、硝酸(HNO3 )、過硫酸鉀(K2 S2 O8 )、次氯酸鉀(KClO))、多原子四甲銨鹽(例如,四甲基亞氯酸銨((N(CH3 )4 )ClO2 )、四甲基氯酸銨((N(CH3 )4 )ClO3 )、四甲基碘酸銨((N(CH3 )4 )IO3 )、四甲基過硼酸銨((N(CH3 )4 )BO3 )、四甲基過氯酸銨((N(CH3 )4 )ClO4 )、四甲基過碘酸銨((N(CH3 )4 )IO4 )、四甲基過硫酸銨((N(CH3 )4 )S2 O8 ))、多原子四丁銨鹽(例如,四丁基過氧單硫酸銨)、過氧單硫酸、硝酸鐵(Fe(NO3 )3 )、過氧化脲((CO(NH2 )2 )H2 O2 )、過氧乙酸(CH3 (CO)OOH)、1,4-苯醌、甲醌(toluquinone)、二甲基-1,4-苯醌、四氯醌、四氧嘧啶(alloxan)、N-甲基嗎啉N-氧化物、三甲胺N-氧化物及其組合。氧化劑之其他實例包括過溴酸、碲酸、三氟過氧乙酸、間氯過苯甲酸、第三丁基過氧化氫、二苯甲醯基過氧化物、過氧硫酸鉀(例如,過硫酸氫鉀® DuPont)、過氧化甲基乙基酮、過氧化丙酮、乙基過氧化氫及異丙苯過氧化氫。
在一個實施例中,氧化劑之量以組合物之總重量計在約0.001 wt%至5 wt%範圍內,且在另一實施例中,在約0.001 wt%至約2 wt%範圍內
如本文中所使用,術語「錯合劑」包括由熟習此項技術者理解為錯合劑、螯合劑及/或鉗合劑或以其它方式與鈰相互作用之彼等化合物。錯合劑將以化學方式合併或以物理方式保持金屬原子及/或金屬離子,該金屬原子及/或金屬離子將經使用本文中所描述之組合物來移除。錯合劑包括具有通式NR1 R2 R3 之物種,其中R1 、R2 及R3 可彼此相同或不同且選自氫、直鏈或分支鏈C1 -C6 烷基(例如,甲基、乙基、丙基、丁基、戊基及己基)、直鏈或分支鏈C1 -C6 羥烷基(例如,羥甲基、羥乙基、羥丙基、羥丁基、羥戊基及羥己基)及如上文所定義之直鏈或分支鏈C1 -C6 羥烷基之C1 -C6 烷基醚。在某些實施例中,R1 、R2 及R3 中之至少一者為直鏈或分支鏈C1 -C6 羥烷基。實例包括但不限於烷醇胺,諸如胺基乙基乙醇胺、N-甲基胺基乙醇胺、胺基乙氧基乙醇胺、二甲基胺基乙氧基乙醇、二乙醇胺、N-甲基二乙醇胺、單乙醇胺(MEA)、三乙醇胺(TEA)、1-胺基-2-丙醇、2-胺基-1-丁醇、異丁醇胺、三伸乙基二胺、其他C1 -C8 烷醇胺及其組合。(在下表1中之說明性實例中,在「胺」行中列出烷醇胺。) 當胺包括烷基醚組分時,胺可視為烷氧基胺,例如1-甲氧基-2-胺基乙烷。可替代地或除NR1 R2 R3 胺以外,錯合劑亦可為包括但不限於以下物質之多官能胺:4-(2-羥乙基)嗎啉(HEM)、1,2-環己基二胺-N,N,N',N'-四乙酸(CDTA)、乙二胺四乙酸(EDTA)、間苯二甲胺(MXDA)、亞胺二乙酸(IDA)、2-(羥乙基)亞胺二乙酸(HIDA)、氮基三乙酸、硫脲、1,1,3,3-四甲基脲、脲、脲衍生物、尿酸、丙胺酸、精胺酸、天冬醯胺、天冬胺酸、半胱胺酸、麩胺酸、麩醯胺酸、組胺酸、異白胺酸、白胺酸、離胺酸、甲硫胺酸、苯丙胺酸、脯胺酸、絲胺酸、蘇胺酸、色胺酸、酪胺酸、纈胺酸及其組合。可替代地除前述錯合劑以外,額外錯合劑亦可包括膦酸鹽(例如,1-羥基亞乙基-1,1-二膦酸(HEDP)、1,5,9-三氮雜環十二烷-N,N',N''-參(亞甲基膦酸) (DOTRP)、1,4,7,10-四氮雜環十二烷-N,N',N'',N'''-肆(亞甲基膦酸) (DOTP)、氮基參(亞甲基)三膦酸、二亞乙基三胺五(亞甲基膦酸) (DETAP)、胺基三(亞甲基膦酸)、雙(六亞甲基)三胺五亞甲基膦酸、1,4,7-三氮雜環壬烷-N,N',N''-參(亞甲基膦酸) (NOTP)、羥乙基二磷酸鹽、氮基參(亞甲基)膦酸、2-膦醯基-丁烷-1,2,3,4-四甲酸、羧基乙基膦酸、胺基乙基膦酸、嘉磷塞(glyphosate)、乙二胺四(亞甲基膦酸)苯基膦酸、其鹽及其衍生物)及/或羧酸(例如,草酸、丁二酸、磺化丁二酸、磺基鄰苯二甲酸、順丁烯二酸、蘋果酸、丙二酸、己二酸、鄰苯二甲酸、檸檬酸、檸檬酸鈉、檸檬酸鉀、檸檬酸銨、丙三羧酸、三羥甲基丙酸、酒石酸、葡糖醛酸、2-羧基吡啶、依替膦酸、胺基參(亞甲基膦酸))及/或諸如鈦鐵試劑(tiron;4,5-二羥基-1,3-苯二磺酸二鈉鹽)之磺酸。在某些實施例中,至少一種錯合劑包含選自單乙醇胺、三乙醇胺、硫酸、檸檬酸及其組合之物種。在一個實施例中,移除組合物中之錯合劑之量以移除組合物之總重量計在約0.01 wt%至約10 wt%範圍內。
組合物亦包含至少一種清潔劑。該等清潔劑選自(i)一或多種水混溶性溶劑及/或(ii)一或多種聚合物中之至少一者。
水混溶性溶劑之實例包括二醇及二醇醚,包括但不限於甲醇、乙醇、異丙醇、丁醇及高級醇(諸如C2 -C4 二醇及C2 -C4 三醇)、四氫糠醇(THFA)、鹵化醇(諸如3-氯-1,2-丙二醇、3-氯-1-丙硫醇、1-氯-2-丙醇、2-氯-1-丙醇、3-氯-1-丙醇、3-溴-1,2-丙二醇、1-溴-2-丙醇、3-溴-1-丙醇、3-碘-1-丙醇、4-氯-1-丁醇、2-氯乙醇)、3-胺基-4-辛醇、二氯甲烷、氯仿、乙酸、丙酸、三氟乙酸、四氫呋喃N-甲基吡咯啶酮(NMP)、環己基吡咯啶酮、N-辛基吡咯啶酮、N-苯基吡咯啶酮、甲基二乙醇胺、甲酸甲酯、二甲基甲醯胺(DMF)、二甲亞碸(DMSO)、四亞甲基碸(環丁碸)、乙醚、苯氧基-2-丙醇(PPh)、苯丙酮、乳酸乙酯、乙酸乙酯、苯甲酸乙酯、乙腈、丙酮、乙二醇、丙二醇(PG)、1,3-丙二醇、二噁烷、丁醯基內酯、碳酸伸丁酯、碳酸伸乙酯、碳酸伸丙酯、二丙二醇、二乙二醇單甲醚、三乙二醇單甲醚、二乙二醇單乙醚、三乙二醇單乙醚、乙二醇單丙醚、乙二醇單丁醚、二乙二醇單丁醚(亦即,丁基卡必醇)、三乙二醇單丁醚、乙二醇單己醚、二乙二醇單己醚、乙二醇苯醚、丙二醇甲醚、二丙二醇甲醚(DPGME)、三丙二醇甲醚(TPGME)、二丙二醇二甲醚、二丙二醇乙醚、丙二醇正丙醚、二丙二醇正丙醚(DPGPE)、三丙二醇正丙醚、丙二醇正丁醚、二丙二醇正丁醚、三丙二醇正丁醚、丙二醇苯醚、乙二醇單苯醚、二乙二醇單苯醚、六乙二醇單苯醚、二丙二醇甲醚乙酸酯、四乙二醇二甲醚(TEGDE)、二元酯、丙三醇碳酸酯、N-甲醯基嗎啉、磷酸三乙酯及其組合。當存在時,聚合物包括但不限於具有例如以下之甲基丙烯酸均聚物及共聚物:丙烯醯胺基甲基丙烷磺酸及順丁烯二酸;順丁烯二酸/乙烯醚共聚物;聚(乙烯基吡咯啶酮)/乙酸乙烯酯;均聚物,諸如膦酸化聚乙二醇寡聚物、聚(丙烯酸) (PAA)、聚(丙烯醯胺)、聚(乙酸乙烯酯)、聚(乙二醇) (PEG)、聚(丙二醇)(PPG)、聚(苯乙烯磺酸)、聚(乙烯基磺酸)、聚(乙烯基膦酸)、聚(乙烯基磷酸)、聚(伸乙亞胺)、聚(伸丙亞胺)、聚烯丙胺、聚環氧乙烷(PEO)、聚乙烯吡咯啶酮(PVP)、PPG-PEG-PPG嵌段共聚物、PEG-PPG-PEG嵌段共聚物、聚(乙烯醇)、聚(羥乙基)丙烯酸酯、聚(羥乙基)甲基丙烯酸脂、羥乙基纖維素、甲基羥乙基纖維素、羥丙基纖維素、甲基羥丙基纖維素、三仙膠、海藻酸鉀、果膠、羧基甲基纖維素、葡糖胺、聚(二烯丙基二甲基銨)氯化物、聚乙二醇化(PEGylated) (亦即,聚乙二醇化(polyethyleneglycol-ated))甲基丙烯酸脂/丙烯酸酯共聚物、聚MADQuat及其共聚物、二甲胺基甲基丙烯酸酯聚合物及其共聚物、三甲銨甲基丙烯酸甲酯聚合物(亦即水混溶性溶劑)及其共聚物及其組合。上述共聚物可為無規或嵌段共聚物。當存在時,組合物中之聚合物之量以組合物之總重量計在約0.0001重量%至約5重量%範圍內。在另一實施例中,組合物中之聚合物之量以組合物之總重量計在約0.0001重量%至約20重量%範圍內。
在某些實施例中,組合物之pH大於8、大於9、大於10或大於11、小於14、小於13、小於12或小於11。在某些實施例中,pH為約8至11.5;稀釋之後,某些實施例中之pH大於7、大於8、大於9或大於10、小於13、小於12或小於11。在某些實施例中,稀釋後組合物之pH為約8至12。
為了易於參考,「微電子裝置」對應於半導體基板、平板顯示器、相變記憶體裝置、太陽電池板及其他產品,包括製造用於微電子、積體電路或電腦晶片應用之太陽能基板、光伏打裝置及微機電系統(MEMS)。太陽能基板包括但不限於矽、非晶矽、多晶矽、單晶矽、CdTe、銅銦硒化物(copper indium sulfide)、銅銦硫化物及鎵上砷化鎵(gallium arsenide on gallium)。太陽能基板可經摻雜或未經摻雜。應理解,術語「微電子裝置」並不意謂以任何方式受限制,且包括最終將變為微電子裝置或微電子組件之任何基板。
如本文中所使用,「鈰粒子」對應於可用於化學機械研磨漿液中之鈰基研磨粒子,包括例如具有通式Ce2 O3 及CeO2 之氧化鈰。應瞭解,「鈰粒子」可包含氧化鈰、由其組成或基本上由其組成。
如本文中所使用,「污染物」對應於存在於CMP漿液中之化學物質、研磨漿液之反應副產物、CMP後殘餘物、存在於濕式蝕刻組合物中之化學物質、濕式蝕刻組合物之反應副產物及作為CMP製程、濕式蝕刻、電漿蝕刻或電漿灰化製程之副產物的任何其他材料。
如本文中所使用,「CMP後殘餘物」對應於來自研磨漿液之粒子,例如,存在於漿液中之化學物質、研磨漿液之反應副產物、富碳粒子、研磨墊粒子、刷塗減載粒子、構造粒子之設備材料、金屬、有機物、有機金屬、有機矽或自然界中之無機物,例如含矽材料、含鈦材料、含氮材料、含氧材料、聚合殘餘物材料、含銅殘餘物材料(包括氧化銅殘餘物)、含鎢殘餘物材料、含鈷殘餘物材料、蝕刻氣體殘餘物(諸如氯氣及氟氣)及其組合及為CMP製程之副產物的任何其他材料。
如本文中所使用,術語「低k介電材料」對應於在分層微電子裝置中用作介電材料之任何材料,其中材料之介電常數小於約3.5。在某些實施例中,低κ介電材料包括低極性材料,諸如含矽有機聚合物、含矽混合有機/無機材料、有機矽酸鹽玻璃(OSG)、TEOS、氟化矽酸鹽玻璃(FSG)、二氧化矽、碳氧化矽、氮氧化矽、氮化矽、摻碳氧化物(CDO)或摻碳玻璃,例如,來自Novellus Systems, Inc.之CORAL™、來自Applied Materials, Inc.之BLACK DIAMOND™ (例如,指定用於PECVD之BD1、BD2及BD3)、來自Dow之SiLK™介電樹脂(基於藉由多官能環戊二烯酮與含乙炔材料之反應之經交聯聚苯的聚合物;參見例如美國專利第5,965,679號,以引用之方式併入本文中)及Nanopore, Inc,之NANOGLASS™ (二氧化矽氣凝膠/乾凝膠(已知為奈米多孔二氧化矽))及其類似物。應瞭解,低k介電材料可具有不同密度及不同孔隙率。
如本文中所使用,術語「蝕刻劑」係指:氫氟酸(HF);氟矽酸(H2 SiF6 );氟硼酸;氟矽酸銨鹽((NH4 )2 SiF6 );四甲基六氟磷酸銨;氟化銨、二氟化銨、四氟硼酸四級銨及四氟硼酸四級鏻及其組合。
如其中所使用,術語「金屬腐蝕抑制劑」係指非離子界面活性劑,諸如PolyFox PF-159 (OMNOVA溶液)、聚(乙二醇)(「PEG」)、聚(丙二醇) (「PPG」)、環氧乙烷/環氧丙烷嵌段共聚物(諸如Pluronic F-127 (BASF))、聚山梨醇酯聚環氧乙烷(20)脫水山梨糖醇單油酸酯(Tween 80)、聚環氧乙烷(20)脫水山梨糖醇單硬脂酸酯(Tween 60)、聚環氧乙烷(20)脫水山梨糖醇單棕櫚酸酯(Tween 40)、聚環氧乙烷(20)脫水山梨糖醇單月桂酸酯(Tween 20)、聚環氧丙烷/聚環氧乙烷嵌段共聚物(例如,Pluronic L31、Plutonic 31R1、Pluronic 25R2及Pluronic 25R4)及其組合;及與唑組合之此類化合物,該等唑諸如5-胺基四唑、5-苯基-苯并三唑、1H-四唑-5-乙酸、1-苯基-2-四唑啉-5-硫酮、苯并咪唑、甲基四唑、試鉍硫醇I (Bismuthiol I)、胞嘧啶、鳥嘌呤、胸腺嘧啶、吡唑、亞胺二乙酸(IDA)、丙硫醇、苯并異羥肟酸、檸檬酸、抗壞血酸、5-胺基-1,3,4-噻二唑-2-硫醇(ATDT)、苯并三唑(BTA)、1,2,4-三唑(TAZ)、甲苯基三唑、5-甲基-苯并三唑(mBTA)、5-苯基-苯并三唑、5-硝基-苯并三唑、苯并三唑羧酸、3-胺基-5-巰基-1,2,4-三唑、1-胺基-1,2,4-三唑、羥基苯并三唑、2-(5-胺基-戊基)-苯并三唑、1-胺基-1,2,3-三唑、1-胺基-5-甲基-1,2,3-三唑、3-胺基-1,2,4-三唑(3-ATA)、3-巰基-1,2,4-三唑、3-異丙基-1,2,4-三唑、5-苯硫醇-苯并三唑、鹵基-苯并三唑(鹵基 = F、Cl、Br或I)、萘三唑、2-巰基苯并咪唑(MBI)、2-巰基苯并噻唑、4-甲基-2-苯基咪唑、2-巰基噻唑啉、5-胺基-1,2,4-三唑(5-ATA)、十二烷基硫酸鈉(SDS)、ATA-SDS、3-胺基-5-巰基-1,2,4-三唑、伸戊四唑(pentylenetetrazole)、5-苯基-1H-四唑、5-苄基-1H-四唑、安利新宿(Ablumine O)、2-苄基吡啶、丁二醯亞胺、2,4-二胺基-6-甲基-1,3,5-三嗪、噻唑、三嗪、甲基四唑、1,3-二甲基-2-咪唑啶酮、1,5-五亞甲基四唑、1-苯基-5-巰基四唑、二胺基甲基三嗪、咪唑啉硫酮、4-甲基-4H-1,2,4-三唑-3-硫醇、4-胺基-4H-1,2,4-三唑、3-胺基-5-甲硫基-1H-1,2,4-三唑、苯并噻唑、咪唑、苯并異二唑(indiazole)、腺嘌呤、丁二醯亞胺、腺苷、咔唑、糖精、尿酸、苯偶姻肟(benzoinoxime)、陽離子四級鹽(例如,氯苄烷銨、苄基二甲基十二烷基氯化銨、肉豆蔻基三甲基溴化銨、十二烷基三甲基溴化銨、十六烷基氯化吡啶、試樣336 (科)、苄基二甲基苯基氯化銨、Crodaquat TES (Croda. Inc.)、Rewoquat CPEM (Witco)、十六烷基三甲基對甲苯磺酸銨、十六烷基三甲基氫氧化銨、1-甲基-1'-十四烷基-4,4'-二氯化聯吡錠、烷基三甲基溴化銨、鹽酸安普羅利、苄索氫氧化銨、苄索氯銨、苄基二甲基十六烷基氯化銨、苄基二甲基十四烷基氯化銨、苄基十二烷基二甲基溴化銨、苄基十二烷基二甲基氯化銨、氯化十六烷基吡錠、對甲苯磺酸膽鹼、二甲基二(十八烷基)溴化銨、十二烷基乙基二甲基溴化銨、十二烷基三甲基氯化銨、乙基十六烷基二甲基溴化銨、Girard's試劑、十六烷基(2-羥乙基)二甲基磷酸二氫銨、十六烷基溴化吡錠、十六烷基三甲基溴化銨、十六烷基三甲基氯化銨、甲基苄索氯銨、Hyamine® 1622、Luviquat™、N,N',N'-聚環氧乙烷(10)-N-動物脂-1,3-二胺基丙烷液體、奧芬溴銨、四庚基溴化銨、肆(癸基)溴化銨、通佐溴銨(thonzonium bromide)、三(十二烷基)氯化銨、三甲基十八烷基溴化銨、1-甲基-3-正辛基四氟硼酸咪唑鎓、1-癸基-3-甲基四氟硼酸咪唑鎓、1-癸基-3-甲基氯化咪唑鎓、三(十二烷基)甲基溴化銨、二甲基二(十八烷基)氯化銨、十六烷基三甲基溴化銨、肉豆蔻基三甲基溴化銨及氯化六羥季銨)、陰離子界面活性劑(例如,十二烷基苯磺酸、十二烷基苯磺酸鈉、十二烷基膦酸(DDPA)及其組合)。
如本文中所使用,術語「低k鈍化劑」係指減少低k層之化學侵蝕以保護晶圓免於額外氧化之化合物。硼酸為低k鈍化劑之一個實例,儘管已知其他羥基添加劑亦用於此類目的,該等添加劑例如3-羥基-2-萘甲酸、丙二酸、亞胺二乙酸、五硼酸銨、脲甲基三乙氧基矽烷及其混合物。
在某些實施例中,「實質上不含」在本文中定義為小於2 wt.%、小於1 wt.%、小於0.5 wt.%或少於0.1 wt.%。在某些實施例中,「不含」意欲對應於小於0.001 wt%以解釋環境污染,且在另一實施例中,小於0.0 wt.%。
在一些實施例中,組合物實質上不含(a)腐蝕抑制劑;(b)蝕刻劑;及(c)鈍化劑。在其他實施例中,組合物不含(a)腐蝕抑制劑;(b)蝕刻劑;及(c)鈍化劑。
如本文中所使用,「約」意欲對應於所述值之+/- 0.5%。
如本文中所使用,術語「緩衝液」係指常見緩衝液,諸如磷酸鹽(例如,磷酸氫二銨、磷酸二氫銨、磷酸銨)及碳酸鹽,諸如碳酸氫鉀及碳酸鉀。當存在時,組合物包含以組合物之總重量計約0.1 wt%至約20 wt%緩衝物種。
如本文中所使用,對於具有鈰粒子及CMP污染物之微電子裝置,自其上移除該等粒子及污染物之「適合性」對應於自微電子裝置至少部分移除該等粒子/污染物。清潔功效由微電子裝置上之對象之減少來評定。舉例而言,可使用原子力顯微鏡來進行清潔前分析及清潔後分析。樣品上之粒子可記錄為像素範圍。可施加直方圖(例如,Sigma Scan Pro)以以某一強度(例如,231-235)及計數之粒子數目來過濾像素。可使用以下公式計算粒子減少:
Figure 02_image001
值得注意地,清潔功效之確定方法僅提供為實例且並不意欲受限於該實例。可替代地,清潔功效可視為由顆粒物質所覆蓋之總表面的百分比。舉例而言,可對AFM進行編程以執行z-平面掃描以在某一高度臨限值以上鑑別所關注形貌區域,且接著計算由該等所關注區域覆蓋之總表面面積。熟習此項技術者將容易理解,清潔後由該等所關注區域覆蓋之面積愈小,移除組合物愈有效。在某些實施例中,使用本文中所描述之組合物來自微電子裝置移除至少75%、至少90%、至少95%或至少99%之粒子/污染物。
如下文更充分地描述,本文中所描述之組合物可實施於廣泛多種特定調配物中。
在所有此類組合物中,其中參考重量百分比範圍(包括零下限)論述組合物之特定組分,應理解,此類組分可存在或不存在於組合物之各種特定實施例中,且在其中存在此類組分之例項中,以其中採用此類組分之組合物的總重量計,該等組合物可以低至0.00001重量百分比之濃度存在。
為將pH調節至所需端點,可利用四級銨化合物及/或四級鏻化合物。如本文中所使用,術語「四級銨化合物」係指其普通含義且包括具有式NR4 R5 R6 R7 OH之四烷基氫氧化銨化合物,其中R4 、R5 、R6 及R7 可彼此相同或不同且選自氫、直鏈或分支鏈C1 -C6 烷基(例如,甲基、乙基、丙基、丁基、戊基及己基)、C1 -C6 羥烷基(例如,羥甲基、羥乙基、羥丙基、羥丁基、羥戊基及羥己基)、甲基三乙基氫氧化銨、二乙基二甲基氫氧化胺、乙基三甲基氫氧化胺、氫氧化膽鹼、甲基參(羥基乙基)氫氧化銨、氫氧化鉀、氫氧化銫及經取代或未經取代之C6 -C10 芳基(例如,苄基)。可商購之四烷基氫氧化銨包括四甲基氫氧化銨(TMAH)、四乙基氫氧化銨(TEAH)、四丙基氫氧化銨(TPAH)、四丁基氫氧化銨(TBAH)、三丁基甲基氫氧化銨(TBMAH)、苯甲基三甲基氫氧化銨(BTMAH)、氫氧化膽鹼、乙基三甲基氫氧化胺、參(2-羥乙基)甲基氫氧化銨、二乙基二甲基氫氧化胺及其組合,該等四烷基氫氧化銨可被使用。
可替代地或另外,可使用具有通式(PR8 R9 R10 R11 )OH之四級鏻化合物來調節pH,其中R8 、R9 、R10 及R11 可彼此相同或不同且選自由以下組成之群:氫、直鏈C1 -C6 烷基(例如,甲基、乙基、丙基、丁基、戊基及己基)、分支鏈C1 -C6 烷基、C1 -C6 羥烷基(例如,羥甲基、羥乙基、羥丙基、羥丁基、羥戊基及羥己基)、經取代C6 -C10 芳基、未經取代C6 -C10 芳基(例如,苄基)及其任何組合;可商購之四烷基氫氧化鏻包括四甲基氫氧化鏻(TMPH)、四乙基氫氧化鏻(TEPH)、四丙基氫氧化鏻(TPPH)、四丁基氫氧化鏻(TBPAH)、三丁基甲基氫氧化鏻(TBMPAH)、苯甲基三甲基氫氧化鏻(BTMPH)、乙基三甲基氫氧化鏻、參(2-羥乙基)甲基氫氧化鏻、二乙基二甲基氫氧化鏻及其組合。酸包括但不限於硝酸、硫酸、磷酸、鹽酸、氫溴酸、甲磺酸、苯磺酸、對甲苯磺酸、三氟甲磺酸、乙酸、乳酸、乙醇酸及其任何組合。在一個實施例中,pH調節劑包含KOH。在另一實施例中,pH調節劑包含氫氧化膽鹼。在又另一實施例中,pH調節劑包含氫氧化銨。交替地,除四級銨化合物及/或四級鏻化合物以外,pH調節劑可進一步包含至少一種鹼金屬氫氧化物。在另一實施例中,pH調節劑包含KOH及至少一種本文中所列舉之額外氫氧化物。在再一實施例中,pH調節劑包含KOH及氫氧化膽鹼及氫氧化銨中之至少一者。對此等所列舉之實施例中之任一者而言,pH調節劑可進一步包括至少一種酸,例如硫酸、檸檬酸或檸檬酸及硫酸之組合。
可替代地或另外,可使用為鹼金屬氫氧化物之無機氫氧化物化合物來調節pH,該無機氫氧化物化合物包括KOH、CsOH及/或氫氧化銨。
另外,組合物可視需要含有其他添加劑,諸如含氟化合物及/或界面活性劑。
如本文中所使用,「含氟化合物」對應於包含以離子方式鍵結至另一原子之氟離子(F-)的鹽或酸化合物(諸如HF)。
如本文所使用,術語「界面活性劑」係指降低兩種液體之間或液體與固體之間的表面張力(或界面張力)之有機化合物,通常係指含有疏水性基團(例如,烴(例如,烷基) 「尾端」)及親水性基團之有機兩親媒性化合物。當存在時,用於本文中所描述之組合物中之界面活性劑包括但不限於兩性鹽、陽離子界面活性劑、陰離子界面活性劑、兩性離子界面活性劑、非離子界面活性劑及其組合,包括但不限於癸基膦酸、十二烷基膦酸(DDPA)、十四烷基膦酸、十六烷基膦酸、雙(2-乙基己基)磷酸鹽、十八烷基膦酸、過氟庚酸、過氟癸酸、三氟甲磺酸、磷醯乙酸、十二烷基苯磺酸(DDBSA)、其他R1 苯磺酸或其鹽(其中R1 為直鏈或分支鏈C8 -C18 烷基)、十二烯基丁二酸、二(十八烷基)磷酸氫鹽、十八烷基磷酸二氫鹽、十二烷胺、十二烯基丁二酸酸單二乙醇醯胺、月桂酸、棕櫚酸、油酸、杜松酸、12羥基硬脂酸、十八烷基膦酸(ODPA)、十二烷基磷酸鹽。所涵蓋之非離子界面活性劑包括但不限於聚環氧乙烷月桂基醚、十二烯基丁二酸單二乙醇醯胺、乙二胺肆(乙氧基化物-嵌段-丙氧基化物)四醇、聚乙二醇、聚丙二醇、聚乙烯或聚丙二醇醚、基於環氧乙烷及環氧丙烷之嵌段共聚物、聚環氧丙烷蔗糖醚、第三辛基苯氧基聚乙氧基乙醇、10-乙氧基-9,9-二甲基癸-1-胺、聚環氧乙烷(9)壬基苯基醚、分支鏈聚環氧乙烷(40)壬基苯基醚、分支鏈二壬基苯基聚環氧乙烷、壬基苯酚烷氧基化物、聚環氧乙烷山梨糖醇六油酸鹽、聚環氧乙烷山梨糖醇四油酸酯、聚乙二醇脫水山梨糖醇單油酸酯、脫水山梨糖醇單油酸酯、醇烷氧基化物、烷基-多葡萄糖苷、全氟丁酸乙酯、1,1,3,3,5,5-六甲基-1,5-雙[2-(5-降冰片烯-2-基)乙基]三矽氧烷、單體十八烷基矽烷衍生物、矽氧烷修飾之聚矽氮烷、聚矽氧-聚醚共聚物及乙氧基化氟表面活性劑。所涵蓋之陽離子界面活性劑包括但不限於十六烷基三甲基溴化銨(CTAB)、十七氟辛烷磺酸、四乙基銨、十八烷基三甲基氯化銨、4-(4-二乙基胺基苯偶氮基)-1-(4-硝基苄基)溴化吡啶鎓、氯化十六烷基吡錠單水合物、氯苄烷銨、苄索氯銨苄基二甲基十二烷基氯化銨、苄基二甲基十六烷基氯化銨、十六烷基三甲基溴化銨、二甲基二(十八烷基)氯化銨、十二烷基三甲基氯化銨、十六烷基三甲基對甲苯磺酸銨、雙十二烷基甲基溴化胺、二(氫化動物脂)二甲基氯化銨、四庚基溴化銨、肆(癸基)溴化銨及奧芬溴銨、鹽酸胍(C(NH2 )3 Cl)或三氟甲磺酸鹽(諸如四丁基三氟甲烷磺酸銨)、二甲基二(十八烷基)氯化銨、二甲基二十六烷基溴化銨、二(氫化動物脂)二甲基氯化銨及聚環氧乙烷(16)動物脂乙基甲基乙基硫酸銨。所涵蓋之陰離子界面活性劑包括但不限於聚(丙烯酸鈉鹽)、聚丙烯酸銨、聚環氧乙烷月桂基醚鈉、磺基丁二酸二己基鈉、十二烷基硫酸鈉、磺基丁二酸二辛鹽、2-磺基丁二酸鹽、2,3-二巰基-1-丙磺酸鹽、二環己基磺基丁二酸鹽鈉鹽、7-乙基-2-甲基-4-十一烷基硫酸鈉、磷酸酯氟表面活性劑、氟表面活性劑及聚丙烯酸酯。兩性離子界面活性劑包括但不限於炔屬二醇或經修飾炔屬二醇、環氧乙烷烷基胺、N,N-二甲基十二胺N-氧化物、椰油胺丙酸鈉、3-(N,N-二甲基十四烷基銨基)丙磺酸鹽及(3-(4-庚基)苯基-3-羥丙基)二甲基銨基丙烷磺酸酯。
就組成量而言,在一個實施例中,其他添加劑與組分(i)、(ii)、(iii)、(iv)、(v)之重量百分比比率在約0.001:1至約10:1範圍內,且在其他實施例中,在約0.1:1至約5:1範圍內。pH調節劑(亦即,四級銨或鏻化合物)之量取決於在基於本文中所揭示之pH值及熟習此項技術者之知識來製備供使用之移除組合物時所尋求之最終pH。
組分之重量百分比比率範圍將覆蓋組合物之所有可能的濃縮或稀釋之實施例。朝向該目的,在一個實施例中,提供可經稀釋以用作清潔溶液之經濃縮移除組合物。經濃縮組合物或「濃縮物」宜准許使用者(例如CMP製程工程師)在使用時將濃縮物稀釋至所需強度及pH。經濃縮水性組合物之稀釋度可在約1:1至約2500:1、約5:1至約200:1或約20:1至約120:1範圍內,其中在工具處或僅在工具之前用溶劑(例如,去離子水)稀釋水性組合物。熟習此項技術者應瞭解,在稀釋之後,本文中所揭示之組分之重量百分比之範圍應保持不變。
就基板而言,咸信本發明之組合物適用於清潔如本文中所闡述之低k介電材料。
由本發明提供且咸信適用於自低k介電材料移除鈰之說明性組合物包括以下物質: 表1
Figure 108130162-A0304-0001
在又另一實施例中,本文中所描述之組合物進一步包含鈰粒子及/或CMP污染物。鈰粒子及污染物在清潔已開始之後變為組合物之組分且將溶解及/或懸浮於組合物中。
藉由簡單添加各別成份且混合至均質狀態來容易地調配出移除組合物。此外,組合物可易於調配為單封裝調配物或在使用時或使用前混合之多部分調配物,例如,多部分調配物之各別部分可在工具處或在工具上游之儲槽中混合。各別成份之濃度可以組合物之特定倍數廣泛變化,亦即更稀釋或更濃縮的,且應瞭解,本文中所描述之組合物可不同且替代地包含與本文中之揭示內容一致的成份之任何組合、由其組成或基本上由其組成。
因此,另一態樣係關於一種套組,該套組在一或多個容器中包括經調適以形成本文中所描述之組合物的一或多種組分。套組可在一或多個容器中包括一種適用於自其上具有鈰粒子及化學機械研磨(CMP)污染物之微電子裝置移除該等粒子及CMP污染物的套組,其中該套組之一或多個容器含有至少一種氧化劑;至少一種錯合劑;至少一種清潔劑;至少一種四級銨化合物;及水以用於在工廠處或在使用時與額外溶劑及/或水組合。套組之容器必須適用於儲存及運送組合物,且可為例如NOWPak®容器(Entegris, Inc., Billerica, Mass., USA)。
在一個實施例中,含有水性移除組合物之組分的一或多個容器包括用於使該一或多個容器中之組分呈用於摻混及分配的流體連通的方式。舉例而言,參看NOWPak®容器,可向該一或多個容器中之襯墊外部施加氣體壓力以使得襯墊之內含物的至少一部分釋放且因此能夠實現用於摻混及分配之流體連通。可替代地,可向可用於能夠實現流體連通之習知可加壓容器或泵的頂部空間施加氣體壓力。另外,在某些實施例中,系統包括分配端口用以將經摻混移除組合物分配至處理工具。
當應用於微電子製造操作時,本文中所描述之移除組合物經有效採用以自微電子裝置之表面清潔鈰粒子及/或CMP污染物(例如,CMP後殘餘物及污染物)。在某些實施例中,水性移除組合物移除至少85%、至少90%、至少95%或至少99%之在粒子移除之前存在於裝置上的鈰粒子。
在CMP後粒子及污染物移除應用中,本文中所描述之水性移除組合物可與各種各樣之習知清潔工具(諸如兆音波及電刷洗滌)一起使用,該等工具包括但不限於Verteq單晶圓超高頻音波Goldfinger、OnTrak系統DDS (雙邊洗滌器)、SEZ或其他單晶圓噴霧沖洗液、Applied Materials Mirra-Mesa™/Reflexion™/Reflexion LK™及超高頻音波分批濕式清洗台系統(Megasonic batch wet bench systems)。
在使用本文中所描述之組合物自具有鈰粒子及CMP污染物之微電子裝置其上移除該等鈰粒子及污染物時,通常在約20℃至約90℃或約20℃至約50℃之範圍內的溫度下使水性移除組合物與裝置接觸約5秒至約10分鐘,或約1秒至20分鐘,或約15秒至約5分鐘之時段。此類接觸時間及溫度為說明性的,且可在方法之廣泛實踐內採用自裝置有效地至少部分移除鈰粒子及CMP污染物之任何其他適合的時間及溫度條件。「至少部分清潔」與「實質性移除」兩者在某些實施例中皆對應於移除至少85%、至少90%、至少95%或至少99%之在粒子移除之前存在於裝置上的鈰粒子。
正如可在本文中所描述之組合物之給定最終用途應用中所需且有效的,在達成所需粒子移除作用之後,可容易地自先前已施加之裝置移除水性移除組合物。在一個實施例中,沖洗溶液包括去離子水。其後,可使用氮氣或旋轉乾燥循環來乾燥裝置。
本發明之又另一態樣係關於根據本文中所描述之方法製得之經改良之微電子裝置及含有此類微電子裝置之產品。
如熟習此項技術者容易地確定,另一態樣係關於一種再循環水性移除組合物,其中該移除組合物可經再循環直至粒子及/或污染物負載達至水性移除組合物可容納之最大量。
又一態樣係關於製造包含微電子裝置之物品的方法,該方法包含使微電子裝置與水性移除組合物接觸持續充足時間以自具有具有鈰粒子及CMP污染物之微電子裝置其上移除該等粒子及污染物及使用本文所描述之移除組合物來將微電子裝置併入至該物品中。
在另一態樣中,提供一種自具有鈰粒子及CMP污染物之微電子裝置其上移除該等鈰粒子及污染物之方法。因此在另一態樣中,本發明提供一種用於自具有鈰粒子及化學機械研磨污染物之微電子裝置其上移除該等粒子及污染物之方法,該方法包含: (i)使微電子裝置與本發明之組合物接觸;及 (ii)用包含去離子水之水溶液自該微電子裝置至少部分移除該等粒子及污染物。
本發明可進一步藉由其較佳實施例之以下實例說明,但應理解,除非另外具體指示,否則僅出於說明之目的包括此等實例且不意欲限制本發明之範疇。
實驗部分 燒杯浸漬實驗程序 1. 將基板浸漬在稀釋鈰漿液中5分鐘,隨後浸漬在DIW (去離子水)沖洗液中30秒 2. 將漿液暴露之基板浸漬在稀釋清潔器中1分鐘,隨後浸漬在DIW沖洗液中30秒效能評估 ( 度量衡 ) 效能評估度量衡 SEM 收集之試片後清潔影像 •由影像分析軟體(Image J)計算之鈰粒子之總面積
Figure 108130162-A0304-0002

Claims (10)

  1. 一種組合物,其包含:(i)至少一種氧化劑;(ii)至少一種錯合劑;(iii)至少一種清潔劑;(iv)至少一種四級銨化合物;及(v)水,其中該組合物實質上不含蝕刻劑。
  2. 如請求項1之組合物,其中該氧化劑選自過氧化氫、過碘酸、第三丁基過氧化氫、過氧乙酸、過硫酸鉀、過硫酸銨、過氧硫酸鉀、過錳酸鉀及過氧化脲。
  3. 如請求項1之組合物,其中該錯合劑選自通式NR1R2R3之化合物,其中R1、R2及R3可彼此相同或不同且選自氫、直鏈或分支鏈C1-C6烷基、直鏈或分支鏈C1-C6羥烷基及直鏈或分支鏈C1-C6羥烷基之C1-C6烷基醚。
  4. 如請求項1之組合物,其中該錯合劑選自4-(2-羥乙基)嗎啉(HEM)、1,2-環己烷二胺-N,N,N',N'-四乙酸(CDTA)、乙二胺四乙酸(EDTA)、間苯二甲胺(MXDA)、亞胺二乙酸(IDA)、2-(羥乙基)亞胺二乙酸(HIDA)、氮基三乙酸、尿素、脲衍生物、丙胺酸、精胺酸、天冬醯胺、天冬胺酸、半胱胺酸、麩胺酸、麩醯胺酸、組胺酸、異白胺酸、白胺酸、離胺酸、甲硫 胺酸、苯丙胺酸、脯胺酸、絲胺酸、蘇胺酸、色胺酸、酪胺酸、纈胺酸及其組合。
  5. 如請求項1之組合物,其中該錯合劑選自1-羥基亞乙基-1,1-二膦酸(HEDP)、1,5,9-三氮雜環十二烷-N,N',N"-參(亞甲基膦酸)(DOTRP)、1,4,7,10-四氮雜環十二烷-N,N',N",N'''-肆(亞甲基膦酸)(DOTP)、氮基參(亞甲基)三膦酸、二亞乙基三胺五(亞甲基膦酸)(DETAP)、胺基三(亞甲基膦酸)、雙(六亞甲基)三胺五亞甲基膦酸、1,4,7-三氮雜環壬烷-N,N',N"-參(亞甲基膦酸)(NOTP)、羥乙基二磷酸酯、氮基參(亞甲基)膦酸、2-膦醯基-丁烷-1,2,3,4-四甲酸、羧基乙基膦酸、胺基乙基膦酸、嘉磷塞(glyphosate)、乙二胺四(亞甲基膦酸)苯基膦酸、及其鹽。
  6. 如請求項1之組合物,其中該組合物中之該錯合劑之量以該組合物之總重量計在約0.01wt%至約10wt%範圍內。
  7. 如請求項1之組合物,其中該清潔劑選自甲醇、乙醇、異丙醇、丁醇、四氫糠醇(THFA)、3-氯-1,2-丙二醇、3-氯-1-丙硫醇、1-氯-2-丙醇、2-氯-1-丙醇、3-氯-1-丙醇、3-溴-1,2-丙二醇、1-溴-2-丙醇、3-溴-1-丙醇、3-碘-1-丙醇、3-胺基-4-辛醇、4-氯-1-丁醇、2-氯乙醇、二氯甲烷、氯仿、乙酸、丙酸、三氟乙酸、四氫呋喃N-甲基吡咯啶酮(NMP)、環己基吡咯啶酮、N-辛基吡咯啶酮、N-苯基吡咯啶酮、甲基二乙醇胺、甲酸甲酯、二甲基甲醯胺(DMF)、二甲亞碸(DMSO)、四亞甲基碸(環丁碸)、乙醚、苯氧基-2-丙醇(PPh)、苯丙酮、乳酸乙酯、乙酸乙酯、苯甲酸乙酯、 乙腈、丙酮、乙二醇、丙二醇(PG)、1,3-丙二醇、二噁烷、丁醯基內酯、碳酸伸丁酯、碳酸伸乙酯、碳酸伸丙酯、二丙二醇、二乙二醇單甲醚及其組合。
  8. 如請求項1之組合物,其中該組合物中之清潔劑以該組合物之總重量計以約0.0001重量%至約5重量百分比%之範圍存在。
  9. 一種用於自微電子裝置上移除鈰粒子及化學機械研磨污染物之方法,該微電子裝置上具有該等粒子及污染物,該方法包含:(i)使該微電子裝置與如請求項1之組合物接觸;及(ii)用包含去離子水之水溶液自該微電子裝置至少部分移除該等粒子及污染物。
  10. 如請求項9之方法,其中該微電子裝置包含低k介電質,其係選自多晶矽、PETEOS、碳化矽、聚合物膜、碳氧化矽、氮氧化矽及氮化矽、含矽混合有機/無機材料、有機矽酸鹽玻璃(OSG)、TEOS、氟化矽酸鹽玻璃(FSG)、二氧化矽,及摻碳氧化物(CDO)玻璃及摻碳玻璃。
TW108130162A 2018-08-28 2019-08-23 用於鈰粒子之化學機械研磨後(post cmp)清潔組合物 TWI754163B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862723759P 2018-08-28 2018-08-28
US62/723,759 2018-08-28

Publications (2)

Publication Number Publication Date
TW202016277A TW202016277A (zh) 2020-05-01
TWI754163B true TWI754163B (zh) 2022-02-01

Family

ID=69642103

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108130162A TWI754163B (zh) 2018-08-28 2019-08-23 用於鈰粒子之化學機械研磨後(post cmp)清潔組合物

Country Status (6)

Country Link
US (1) US11085011B2 (zh)
JP (1) JP7212764B2 (zh)
KR (1) KR102522365B1 (zh)
CN (1) CN112771144A (zh)
TW (1) TWI754163B (zh)
WO (1) WO2020046539A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020096760A1 (en) * 2018-11-08 2020-05-14 Entegris, Inc. Post cmp cleaning composition
CN113496868B (zh) * 2020-04-03 2023-03-10 重庆超硅半导体有限公司 一种硅片的抛光后清洗方法
EP4204506A4 (en) * 2020-08-28 2024-04-10 Fujifilm Electronic Mat Usa Inc CLEANING COMPOSITIONS AND METHODS OF USE THEREOF
EP4225882A1 (en) 2020-10-05 2023-08-16 Entegris, Inc. Post cmp cleaning compositions
WO2022076252A1 (en) * 2020-10-05 2022-04-14 Entegris, Inc. Microelectronic device cleaning composition
WO2023004106A1 (en) * 2021-07-23 2023-01-26 Ascend Performance Materials Operations Llc Aqueous solutions containing amino carboxylic acid chelators
WO2023183316A1 (en) * 2022-03-23 2023-09-28 Entegris, Inc. Post cmp cleaning composition

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201127939A (en) * 2009-10-24 2011-08-16 Wai Mun Lee Troika acid semiconductor cleaning compositions and methods of use
TW201343905A (zh) * 2012-02-15 2013-11-01 Advanced Tech Materials 利用後段化學機械拋光移除之組成物及其使用方法
TW201504397A (zh) * 2013-06-06 2015-02-01 Advanced Tech Materials 選擇性蝕刻氮化鈦之組成物及方法
TW201627497A (zh) * 2014-10-31 2016-08-01 安堤格里斯公司 無胺之化學機械研磨後(post cmp)組成物及其使用方法
US20180204764A1 (en) * 2017-01-17 2018-07-19 Entegris, Inc. Post-etch residue removal for advanced node beol processing
US20180204736A1 (en) * 2017-01-18 2018-07-19 Entegris, Inc. Compositions and methods for removing ceria particles from a surface

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7208049B2 (en) * 2003-10-20 2007-04-24 Air Products And Chemicals, Inc. Process solutions containing surfactants used as post-chemical mechanical planarization treatment
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US20050079803A1 (en) * 2003-10-10 2005-04-14 Siddiqui Junaid Ahmed Chemical-mechanical planarization composition having PVNO and associated method for use
CN1875325B (zh) * 2003-10-29 2011-01-26 马林克罗特贝克公司 含有金属卤化物腐蚀抑制剂的碱性后等离子体蚀刻/灰化残余物去除剂和光致抗蚀剂剥离组合物
JP4736445B2 (ja) * 2004-02-09 2011-07-27 三菱化学株式会社 半導体デバイス用基板洗浄液及び洗浄方法
JP2009512194A (ja) * 2005-10-05 2009-03-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド ポストエッチング残渣を除去するための酸化性水性洗浄剤
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
TW200940705A (en) * 2007-10-29 2009-10-01 Ekc Technology Inc Copper CMP polishing pad cleaning composition comprising of amidoxime compounds
TW200936750A (en) * 2007-10-29 2009-09-01 Ekc Technology Inc Amidoxime compounds as chelating agents in semiconductor processes
US8802609B2 (en) * 2007-10-29 2014-08-12 Ekc Technology Inc Nitrile and amidoxime compounds and methods of preparation for semiconductor processing
WO2009058274A1 (en) * 2007-10-29 2009-05-07 Ekc Technology, Inc. Chemical mechanical polishing and wafer cleaning composition comprising amidoxime compounds and associated method for use
WO2012051380A2 (en) * 2010-10-13 2012-04-19 Advanced Technology Materials, Inc. Composition for and method of suppressing titanium nitride corrosion
WO2014123126A1 (ja) * 2013-02-06 2014-08-14 三菱化学株式会社 半導体デバイス用基板洗浄液及び半導体デバイス用基板の洗浄方法
US20160122696A1 (en) * 2013-05-17 2016-05-05 Advanced Technology Materials, Inc. Compositions and methods for removing ceria particles from a surface
US20150104952A1 (en) * 2013-10-11 2015-04-16 Ekc Technology, Inc. Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper
US10351809B2 (en) 2015-01-05 2019-07-16 Entegris, Inc. Post chemical mechanical polishing formulations and method of use

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201127939A (en) * 2009-10-24 2011-08-16 Wai Mun Lee Troika acid semiconductor cleaning compositions and methods of use
TW201343905A (zh) * 2012-02-15 2013-11-01 Advanced Tech Materials 利用後段化學機械拋光移除之組成物及其使用方法
TW201504397A (zh) * 2013-06-06 2015-02-01 Advanced Tech Materials 選擇性蝕刻氮化鈦之組成物及方法
TW201627497A (zh) * 2014-10-31 2016-08-01 安堤格里斯公司 無胺之化學機械研磨後(post cmp)組成物及其使用方法
US20180204764A1 (en) * 2017-01-17 2018-07-19 Entegris, Inc. Post-etch residue removal for advanced node beol processing
US20180204736A1 (en) * 2017-01-18 2018-07-19 Entegris, Inc. Compositions and methods for removing ceria particles from a surface

Also Published As

Publication number Publication date
KR20210038690A (ko) 2021-04-07
US20200071642A1 (en) 2020-03-05
TW202016277A (zh) 2020-05-01
US11085011B2 (en) 2021-08-10
WO2020046539A1 (en) 2020-03-05
KR102522365B1 (ko) 2023-04-18
JP7212764B2 (ja) 2023-01-25
JP2021536669A (ja) 2021-12-27
CN112771144A (zh) 2021-05-07

Similar Documents

Publication Publication Date Title
TWI754163B (zh) 用於鈰粒子之化學機械研磨後(post cmp)清潔組合物
JP6503102B2 (ja) 窒化チタンハードマスク及びエッチ残留物除去
KR102405063B1 (ko) 텅스텐 및 코발트 상용성을 갖는 에치후 잔류물을 제거하기 위한 수성 및 반-수성 세정제
JP7443300B2 (ja) セリア粒子を表面から除去するための組成物及び方法
TWI821455B (zh) 化學機械研磨後清潔組合物
JP7262596B2 (ja) セリア除去用組成物
US11124746B2 (en) Post CMP cleaning composition
KR20230171453A (ko) 세정 조성물