KR102522365B1 - 세리아 입자에 대한 cmp 후 세정 조성물 - Google Patents

세리아 입자에 대한 cmp 후 세정 조성물 Download PDF

Info

Publication number
KR102522365B1
KR102522365B1 KR1020217008577A KR20217008577A KR102522365B1 KR 102522365 B1 KR102522365 B1 KR 102522365B1 KR 1020217008577 A KR1020217008577 A KR 1020217008577A KR 20217008577 A KR20217008577 A KR 20217008577A KR 102522365 B1 KR102522365 B1 KR 102522365B1
Authority
KR
South Korea
Prior art keywords
acid
composition
propanol
silicon
chloro
Prior art date
Application number
KR1020217008577A
Other languages
English (en)
Other versions
KR20210038690A (ko
Inventor
엘리자베스 토마스
마이클 화이트
다니엘라 화이트
아타누 다스
Original Assignee
엔테그리스, 아이엔씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엔테그리스, 아이엔씨. filed Critical 엔테그리스, 아이엔씨.
Publication of KR20210038690A publication Critical patent/KR20210038690A/ko
Application granted granted Critical
Publication of KR102522365B1 publication Critical patent/KR102522365B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D11/00Special methods for preparing compositions containing mixtures of detergents ; Methods for using cleaning compositions
    • C11D11/0005Special cleaning or washing methods
    • C11D11/0011Special cleaning or washing methods characterised by the objects to be cleaned
    • C11D11/0023"Hard" surfaces
    • C11D11/0047Electronic devices, e.g. PCBs or semiconductors
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2068Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/33Amino carboxylic acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/042Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/044Hydroxides or bases
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • C11D3/2079Monocarboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • C11D3/2086Hydroxy carboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/22Carbohydrates or derivatives thereof
    • C11D3/222Natural or synthetic polysaccharides, e.g. cellulose, starch, gum, alginic acid or cyclodextrin
    • C11D3/225Natural or synthetic polysaccharides, e.g. cellulose, starch, gum, alginic acid or cyclodextrin etherified, e.g. CMC
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/36Organic compounds containing phosphorus
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/36Organic compounds containing phosphorus
    • C11D3/362Phosphates or phosphites
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/36Organic compounds containing phosphorus
    • C11D3/364Organic compounds containing phosphorus containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/36Organic compounds containing phosphorus
    • C11D3/365Organic compounds containing phosphorus containing carboxyl groups
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3746Macromolecular compounds obtained by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3757(Co)polymerised carboxylic acids, -anhydrides, -esters in solid and liquid compositions
    • C11D3/3765(Co)polymerised carboxylic acids, -anhydrides, -esters in solid and liquid compositions in liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3746Macromolecular compounds obtained by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3769(Co)polymerised monomers containing nitrogen, e.g. carbonamides, nitriles or amines
    • C11D3/3776Heterocyclic compounds, e.g. lactam
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3746Macromolecular compounds obtained by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/378(Co)polymerised monomers containing sulfur, e.g. sulfonate
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3942Inorganic per-compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3947Liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/395Bleaching agents
    • C11D3/3953Inorganic bleaching agents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02065Cleaning during device manufacture during, before or after processing of insulating layers the processing being a planarization of insulating layers
    • C11D2111/22

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Molecular Biology (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

본 발명은, 상부에 화학적 기계적 연마 (CMP)-후 오염물 및 세리아 입자를 갖는 마이크로전자 장치로부터 상기 입자 및 오염물을 세정하기 위한 제거 조성물 및 방법을 제공한다. 상기 조성물은 마이크로전자 장치의 표면으로부터의 세리아 입자 및 CMP 부산물 오염물 물질의 매우 효과적인 제거를 달성한다.

Description

세리아 입자에 대한 CMP 후 세정 조성물
본 발명은 일반적으로, 상부에 세리아 입자 및 다른 화학적 기계적 연마 슬러리 오염물을 갖는 마이크로전자 장치로부터 상기 입자 및 오염물을 제거하기 위한 조성물에 관한 것이다.
마이크로전자 장치 웨이퍼는 집적 회로를 형성하는 데 사용된다. 마이크로전자 장치 웨이퍼는 절연성, 전도성 또는 반전도성 특성을 갖는 상이한 물질들의 침착을 위해 영역이 패턴화되어 있는, 실리콘 등의 기판을 포함한다.
정확한 패터닝을 얻기 위해, 기판 위에 층을 형성하는 데 사용된 과잉 물질은 제거되어야 한다. 또한, 기능적이고 신뢰성 있는 회로부를 제작하기 위해, 후속 공정 전에 편평 또는 평면 마이크로전자 웨이퍼 표면을 준비하는 것이 중요하다. 따라서, 마이크로전자 장치 웨이퍼의 특정 표면을 제거하고/하거나 연마하는 것이 필요하다.
화학적 기계적 연마 또는 평탄화 ("CMP")는 마모와 같은 물리적 공정을 산화 또는 킬레이트화 등의 화학적 공정과 결합시킴으로써, 마이크로전자 장치 웨이퍼의 표면으로부터 물질을 제거하고 표면을 연마 (예를 들어, 평탄화)하는 것인 공정이다. 그의 가장 기본적인 형태에서, CMP는 제거, 평탄화, 및 연마 공정 동안 마이크로전자 장치 웨이퍼의 표면을 문지르는 연마 패드에 활성 화학적 성질을 갖는 연마제 슬러리를 적용하는 것을 포함한다. 순전히 물리적 작용을 이용하거나 또는 순전히 화학적 작용을 이용하는 제거 또는 연마 공정은 신속하고 균일한 제거를 달성하는 데 있어서 둘의 상승적 조합만큼 효과적이지 못하다. 또한, 집적 회로의 제작에서, CMP 슬러리는 후속 포토리소그래피, 또는 패터닝, 에칭 및 박막 공정 동안 고도의 평면 표면이 제조될 수 있도록 금속 및 기타 물질의 복합 층을 포함하는 필름을 또한 우선적으로 제거할 수 있어야 한다.
얕은 트렌치 격리 (STI) 공정을 사용하여 실리콘 기판에 격리 영역을 형성하는 FEOL(front-end-of-the-line) 방법에서는, 패드 산화물 필름 및 패드 질화물 필름을 반도체 기판 상에 침착시키고, 격리 영역에 해당하는 기판의 일부분이 노출되도록 패턴화한다. 이어서, 기판의 노출된 영역을 에칭하여 트렌치를 형성한다. 그 후에, 기판에 희생 산화 공정을 실시하여, 기판 에칭에 의해 야기된 손상을 제거한 다음, 트렌치 표면 상에 벽 산화물 필름을 형성한다. 그 다음에, 트렌치-매립 산화물 필름 (예를 들어, HDP-산화물 필름으로 지칭되는 고밀도 플라즈마 화학적 증착에 의해 형성된 산화물 필름)이, 트렌치에 매립되는 것과 같은 방식으로 기판의 표면 상에 침착된다. 이어서, 패드 질화물 필름이 노출될 때까지 HDP-산화물 필름의 표면에 화학적 기계적 연마를 실시한다. 이어서 생성된 기판을 세정하고 트렌치 에칭 동안 에칭 배리어로서 사용되었던 패드 질화물 필름을 제거하고, 격리 영역의 형성을 완료한다.
세리아 입자를 사용한 CMP 슬러리는 실리카-함유 슬러리에 비해, 일반적으로 절연체에 대해 더 빠른 연마 속도를 달성한다. 또한, 세리아계 슬러리는 산화물 침식을 최소화하면서 STI 패턴 평탄화를 달성하는 능력 때문에 가장 흔히 사용된다. 불리하게도, 세리아계 슬러리는 산화규소 및 질화규소 표면에 대해 세리아 입자의 반대로 하전된 제타 전위 때문에 STI 구조로부터 제거하기가 어렵다. 이러한 잔류물이 웨이퍼 상에 남아 있는 상태에서 장치가 제조되는 경우, 잔류물로 인해 단락이 발생하고 전기 저항이 증가할 것이다. 세리아 입자는 또한 세리아 슬러리를 사용한 CMP 공정 이후에 FinFET 구조에서도 문제가 된다.
현재 세리아 입자를 제거하는 데 가장 효율적인 습식 세정 제제는 희석 플루오린화수소산 (DHF)이다. 그러나, DHF는 산화규소 및 다른 저-k 유전체 물질을 불리하게 에칭한다.
따라서, 질화규소, 저-k 유전체 (예를 들어, 산화규소), 및 텅스텐-함유 층 등의 아래에 놓이는 물질을 손상시키지 않으면서 마이크로전자 장치의 표면으로부터 세리아 입자를 효과적으로 제거하는 세리아 입자 제거 조성물 및 방법에 대한 요구가 남아 있다. 세리아 입자 제거 조성물은 또한 마이크로전자 장치의 표면으로부터 CMP 슬러리 오염물을 효과적으로 제거해야 한다.
본 발명은 일반적으로, 상부에 세리아 입자 및 CMP 오염물을 갖는 마이크로전자 장치, 특히 PETEOS, 질화규소 및 폴리-Si 기판을 갖는 마이크로전자 장치로부터 상기 입자 및 CMP 오염물을 세정하는 데 특히 유용한 제거 조성물 및 방법에 관한 것이다. 한 측면에서, 본 발명은, 상부에 양으로 하전된 세리아 입자를 갖는 마이크로전자 기판을 산화제를 사용하여 처리하는 것을 제공한다.
또 다른 측면에서, 상부에 세리아 입자 및 CMP 오염물을 갖는 마이크로전자 장치로부터 상기 입자 및 오염물을 제거하는 방법이 기재되며, 상기 방법은 마이크로전자 장치로부터 상기 입자 및 오염물을 적어도 부분적으로 세정하기에 충분한 시간 동안 마이크로전자 장치를 제거 조성물과 접촉시키는 것을 포함하고, 여기서 상기 제거 조성물은 (i) 적어도 1종의 산화제; (ii) 적어도 1종의 착물화제; (iii) 적어도 1종의 세정제; (iv) 적어도 1종의 4급 암모늄 또는 4급 포스포늄 화합물 또는 무기 수산화물 및 (v) 물; 및 임의로 다른 성분을 포함한다.
본 발명은 일반적으로, 상부에 양으로 하전된 세리아 입자 및 CMP 오염물을 갖는 마이크로전자 장치로부터 상기 물질(들)을 제거하는 데 유용한 조성물에 관한 것이다. 세리아 입자 및 CMP 오염물은 상기 조성물의 사용에 의해 효과적으로 제거되며, 추가로 조성물은 질화규소 및 저-k 유전체 (예를 들어, 산화규소) 층과 양립가능하다.
제1 측면에서, 본 발명은 본원에 제시된 바와 같은 조성물을 포함하거나, 그로 이루어지거나, 또는 그로 본질적으로 이루어진 조성물을 제공한다. 한 실시양태에서, 본 발명은 하기를 포함하는 조성물을 제공한다:
(i) 적어도 1종의 산화제;
(ii) 적어도 1종의 착물화제;
(iii) 적어도 1종의 세정제;
(iv) 적어도 1종의 4급 암모늄 또는 4급 포스포늄 화합물 또는 무기 수산화물; 및
(v) 물.
본원에서 사용된 용어 "산화제(들)"는 과산화수소; 다른 과-화합물 예컨대 퍼옥소모노술페이트, 퍼보레이트, 퍼클로레이트, 퍼아이오데이트, 퍼술페이트, 퍼망가네이트, 및 퍼아세테이트 음이온을 함유하는 염 및 산; 및 아민-N-옥시드를 포함하나, 이에 제한되지는 않는다. 추가의 예는 FeCl3, FeF3, Fe(NO3)3, Sr(NO3)2, CoF3, MnF3, 오존 (2KHSO5.KHSO4.K7SO4), 아이오딘산, 산화바나듐(V), 산화바나듐(IV,V), 바나듐산암모늄, 암모늄 다원자 염 (예를 들어, 암모늄 퍼옥소모노술페이트, 아염소산암모늄 (NH4ClO2), 염소산암모늄 (NH4ClO3), 아이오딘산암모늄 (NH4IO3), 질산암모늄 (NH4NO3), 과붕산암모늄 (NH4BO3), 과염소산암모늄 (NH4ClO4), 퍼아이오딘산암모늄 (NH4IO4), 과황산암모늄 ((NH4)2S2O8), 차아염소산암모늄 (NH4ClO)), 텅스텐산암모늄 ((NH4)10H2(W2O7)), 나트륨 다원자 염 (예를 들어, 과황산나트륨 (Na2S2O8), 차아염소산나트륨 (NaClO), 과붕산나트륨), 칼륨 다원자 염 (예를 들어, 아이오딘산칼륨 (KIO3), 과망가니즈산칼륨 (KMnO4), 과황산칼륨, 질산 (HNO3), 과황산칼륨 (K2S2O8), 차아염소산칼륨 (KClO)), 테트라메틸 암모늄 다원자 염 (예를 들어, 테트라메틸암모늄 클로라이트 ((N(CH3)4)ClO2), 테트라메틸암모늄 클로레이트 ((N(CH3)4)ClO3), 테트라메틸암모늄 아이오데이트 ((N(CH3)4)IO3), 테트라메틸암모늄 퍼보레이트 ((N(CH3)4)BO3), 테트라메틸암모늄 퍼클로레이트 ((N(CH3)4)ClO4), 테트라메틸암모늄 퍼아이오데이트 ((N(CH3)4)IO4), 테트라메틸암모늄 퍼술페이트 ((N(CH3)4)S2O8)), 테트라부틸암모늄 다원자 염 (예를 들어, 테트라부틸암모늄 퍼옥소모노술페이트), 퍼옥소모노황산, 질산제2철 (Fe(NO3)3), 우레아 과산화수소 ((CO(NH2)2)H2O2), 퍼아세트산 (CH3(CO)OOH), 1,4-벤조퀴논, 톨루퀴논, 디메틸-1,4-벤조퀴논, 클로라닐, 알록산, N-메틸모르폴린 N-옥시드, 트리메틸아민 N-옥시드, 및 그의 조합을 포함한다. 산화제의 다른 예는 퍼브로민산, 텔루르산 트리플루오로퍼아세트산, m-클로로퍼벤조산, t-부틸 히드로퍼옥시드, 디벤조일 퍼옥시드, 퍼옥시황산칼륨 (예를 들어, 옥손(Oxone)® 듀폰(DuPont)), 메틸에틸케톤 퍼옥시드, 아세톤 퍼옥시드, 에틸히드로퍼옥시드, 및 쿠멘 히드로퍼옥시드를 포함한다.
한 실시양태에서, 산화제의 양은 조성물의 총 중량을 기준으로 약 0.001 중량% 내지 5 중량%의 범위이고, 또 다른 실시양태에서 약 0.001 중량% 내지 약 2 중량%의 범위이다.
본원에 사용된 용어 "착물화제"는 관련 기술분야의 통상의 기술자에게 착물화제, 킬레이트화제 및/또는 격리제이거나, 또는 세리아와 상호작용하는 것으로 이해되는 화합물을 포함한다. 착물화제는 본원에 기재된 조성물을 사용하여 제거되는 금속 원자 및/또는 금속 이온과 화학적으로 결합하거나 또는 물리적으로 고정될 것이다. 착물화제는 화학식 NR1R2R3을 갖는 종을 포함하며, 여기서 R1, R2 및 R3은 서로 동일하거나 상이할 수 있고, 수소, 직쇄 또는 분지형 C1-C6 알킬 (예를 들어, 메틸, 에틸, 프로필, 부틸, 펜틸, 및 헥실) 기, 직쇄 또는 분지형 C1-C6 히드록시알킬 (예를 들어, 히드록시메틸, 히드록시에틸, 히드록시프로필, 히드록시부틸, 히드록시펜틸, 및 히드록시헥실) 기, 및 상기 정의된 바와 같은 직쇄 또는 분지형 C1-C6 히드록시알킬 기의 C1-C6 알킬 에테르로부터 선택된다. 특정 실시양태에서, R1, R2 및 R3 중 적어도 하나는 직쇄 또는 분지형 C1-C6 히드록시알킬 기이다. 예는 알칸올아민 예컨대 아미노에틸에탄올아민, N-메틸아미노에탄올, 아미노에톡시에탄올, 디메틸아미노에톡시에탄올, 디에탄올아민, N-메틸디에탄올아민, 모노에탄올아민 (MEA), 트리에탄올아민 (TEA), 1-아미노-2-프로판올, 2-아미노-1-부탄올, 이소부탄올아민, 트리에틸렌디아민, 다른 C1-C8 알칸올아민 및 그의 조합을 포함하지만, 이에 제한되지는 않는다. (하기 표 1의 예시적인 예에서, 알칸올아민이 "아민" 열에 열거되어 있음.) 아민이 알킬에테르 성분을 포함하는 경우, 아민은 알콕시아민, 예를 들어 1-메톡시-2-아미노에탄으로 간주될 수 있다. 대안적으로, 또는 NR1R2R3 아민 이외에, 착물화제는 4-(2-히드록시에틸)모르폴린 (HEM), 1,2-시클로헥산디아민-N,N,N',N'-테트라아세트산 (CDTA), 에틸렌디아민테트라아세트산 (EDTA), m-크실렌디아민 (MXDA), 이미노디아세트산 (IDA), 2-(히드록시에틸)이미노디아세트산 (HIDA), 니트릴로트리아세트산, 티오우레아, 1,1,3,3-테트라메틸우레아, 우레아, 우레아 유도체, 요산, 알라닌, 아르기닌, 아스파라긴, 아스파르트산, 시스테인, 글루탐산, 글루타민, 히스티딘, 이소류신, 류신, 리신, 메티오닌, 페닐알라닌, 프롤린, 세린, 트레오닌, 트립토판, 티로신, 발린, 및 그의 조합을 포함하나 이에 제한되지는 않는 다관능성 아민일 수 있다. 대안적으로, 또는 상기 언급된 착물화제 이외에, 추가의 착물화제는 포스포네이트 (예를 들어, 1-히드록시에틸리덴-1,1-디포스폰산 (HEDP), 1,5,9-트리아자시클로도데칸-N,N',N''-트리스(메틸렌포스폰산) (DOTRP), 1,4,7,10-테트라아자시클로도데칸-N,N',N'',N'''-테트라키스(메틸렌포스폰산) (DOTP), 니트릴로트리스(메틸렌)트리포스폰산, 디에틸렌트리아민펜타키스(메틸렌포스폰산) (DETAP), 아미노트리(메틸렌포스폰산), 비스(헥사메틸렌)트리아민 펜타메틸렌 포스폰산, 1,4,7-트리아자시클로노난-N,N',N''-트리스(메틸렌포스폰산 (NOTP), 히드록시에틸디포스포네이트, 니트릴로트리스(메틸렌)포스폰산, 2-포스포노-부탄-1,2,3,4-테트라카르복실산, 카르복시 에틸 포스폰산, 아미노에틸 포스폰산, 글리포세이트, 에틸렌 디아민 테트라(메틸렌포스폰산) 페닐포스폰산, 그의 염, 및 그의 유도체) 및/또는 카르복실산 (예를 들어, 옥살산, 숙신산, 술포숙신산, 술포프탈산, 말레산, 말산, 말론산, 아디프산, 프탈산, 시트르산, 시트르산나트륨, 시트르산칼륨, 시트르산암모늄, 트리카르발릴산, 트리메틸올프로피온산, 타르타르산, 글루쿠론산, 2-카르복시피리딘, 에티드론산, 아미노 트리스(메틸렌포스폰산)) 및/또는 술폰산 예컨대 트리온 (4,5-디히드록시-1,3-벤젠디술폰산 이나트륨 염)을 포함할 수 있다. 특정 실시양태에서, 적어도 1종의 착물화제는 모노에탄올아민, 트리에탄올아민, 황산, 시트르산 및 그의 조합으로부터 선택된 종을 포함한다. 한 실시양태에서, 제거 조성물 중의 착물화제(들)의 양은 제거 조성물의 총 중량을 기준으로 약 0.01 중량% 내지 약 10 중량%의 범위이다.
조성물은 또한 적어도 1종의 세정제를 포함한다. 상기 세정제는 (i) 1종 이상의 수혼화성 용매(들) 및/또는 (ii) 1종 이상의 중합체(들) 중 적어도 하나로부터 선택된다.
수혼화성 용매의 예는 글리콜, 및 글리콜 에테르, 예컨대, 비제한적으로, 메탄올, 에탄올, 이소프로판올, 부탄올, 및 고급 알콜 (예컨대 C2-C4 디올 및 C2-C4 트리올), 테트라히드로푸르푸릴 알콜 (THFA), 할로겐화 알콜 (예컨대 3-클로로-1,2-프로판디올, 3-클로로-1-프로판티올, 1-클로로-2-프로판올, 2-클로로-1-프로판올, 3-클로로-1-프로판올, 3-브로모-1,2-프로판디올, 1-브로모-2-프로판올, 3-브로모-1-프로판올, 3-아이오도-1-프로판올, 4-클로로-1-부탄올, 2-클로로에탄올), 3-아미노-4-옥탄올, 디클로로메탄, 클로로포름, 아세트산, 프로피온산, 트리플루오로아세트산, 테트라히드로푸란, N-메틸피롤리디논 (NMP), 시클로헥실피롤리디논, N-옥틸피롤리디논, N-페닐피롤리디논, 메틸디에탄올아민, 메틸 포르메이트, 디메틸 포름아미드 (DMF), 디메틸술폭시드 (DMSO), 테트라메틸렌 술폰 (술폴란), 디에틸 에테르, 페녹시-2-프로판올 (PPh), 프로프리오페논, 에틸 락테이트, 에틸 아세테이트, 에틸 벤조에이트, 아세토니트릴, 아세톤, 에틸렌 글리콜, 프로필렌 글리콜 (PG), 1,3-프로판디올, 디옥산, 부티릴 락톤, 부틸렌 카르보네이트, 에틸렌 카르보네이트, 프로필렌 카르보네이트, 디프로필렌 글리콜, 디에틸렌 글리콜 모노메틸 에테르, 트리에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 모노에틸 에테르, 트리에틸렌 글리콜 모노에틸 에테르, 에틸렌 글리콜 모노프로필 에테르, 에틸렌 글리콜 모노부틸 에테르, 디에틸렌 글리콜 모노부틸 에테르 (즉, 부틸 카르비톨), 트리에틸렌 글리콜 모노부틸 에테르, 에틸렌 글리콜 모노헥실 에테르, 디에틸렌 글리콜 모노헥실 에테르, 에틸렌 글리콜 페닐 에테르, 프로필렌 글리콜 메틸 에테르, 디프로필렌 글리콜 메틸 에테르 (DPGME), 트리프로필렌 글리콜 메틸 에테르 (TPGME), 디프로필렌 글리콜 디메틸 에테르, 디프로필렌 글리콜 에틸 에테르, 프로필렌 글리콜 n-프로필 에테르, 디프로필렌 글리콜 n-프로필 에테르 (DPGPE), 트리프로필렌 글리콜 n-프로필 에테르, 프로필렌 글리콜 n-부틸 에테르, 디프로필렌 글리콜 n-부틸 에테르, 트리프로필렌 글리콜 n-부틸 에테르, 프로필렌 글리콜 페닐 에테르, 에틸렌 글리콜 모노페닐 에테르, 디에틸렌 글리콜 모노페닐 에테르 헥사에틸렌 글리콜 모노페닐에테르, 디프로필렌 글리콜 메틸 에테르 아세테이트, 테트라에틸렌 글리콜 디메틸 에테르 (TEGDE), 이염기성 에스테르, 글리세린 카르보네이트, N-포르밀 모르폴린, 트리에틸 포스페이트, 및 그의 조합을 포함한다. 중합체는, 존재하는 경우에, 메타크릴산 단독중합체 및, 예를 들어, 아크릴아미도메틸프로판 술폰산 및 말레산과의 공중합체; 말레산/비닐 에테르 공중합체; 폴리(비닐피롤리돈)/비닐 아세테이트; 단독중합체 예컨대 포스폰화 폴리에틸렌글리콜 올리고머, 폴리(아크릴산) (PAA), 폴리(아크릴아미드), 폴리(비닐 아세테이트), 폴리(에틸렌 글리콜) (PEG), 폴리프로필렌 글리콜) (PPG), 폴리(스티렌 술폰산), 폴리(비닐 술폰산), 폴리(비닐 포스폰산), 폴리(비닐 인산), 폴리(에틸렌이민), 폴리(프로필렌이민), 폴리알릴아민, 폴리에틸렌 옥시드 (PEO), 폴리비닐 피롤리돈 (PVP), PPG-PEG-PPG 블록 공중합체, PEG-PPG-PEG 블록 공중합체, 폴리(비닐 알콜), 폴리(히드록시에틸)아크릴레이트, 폴리(히드록시에틸)메타크릴레이트, 히드록시에틸 셀룰로스, 메틸히드록시에틸 셀룰로스, 히드록시프로필 셀룰로스, 메틸히드록시프로필 셀룰로스, 크산탄 검, 칼륨 알기네이트, 펙틴, 카르복시메틸셀룰로스, 글루코사민, 폴리(디알릴디메틸암모늄) 클로라이드, PEG화 (즉, 폴리에틸렌글리콜화) 메타크릴레이트/아크릴레이트 공중합체, 폴리 MADQuat 및 그의 공중합체, 디메틸아미노메타크릴레이트 중합체 및 그의 공중합체, 트리메틸암모늄 메틸메타크릴레이트 중합체 (즉, 수혼화성 용매) 및 그의 공중합체, 및 그의 조합을 포함하나, 이에 제한되지는 않는다. 상기 공중합체는 랜덤 또는 블록 공중합체일 수 있다. 존재하는 경우, 조성물 중의 중합체(들)의 양은 조성물의 총 중량을 기준으로 약 0.0001 중량% 내지 약 5 중량%의 범위이다. 또 다른 실시양태에서 조성물 중의 중합체(들)의 양은 조성물의 총 중량을 기준으로 약 0.0001 중량% 내지 약 20 중량%의 범위이다.
특정 실시양태에서, 조성물의 pH는 8 초과, 9 초과, 10 초과 또는 11 초과, 14 미만, 13 미만, 12 미만, 또는 11 미만이다. 특정 실시양태에서, pH는 약 8 내지 11.5이고; 희석 후에, 특정 실시양태에서 pH는 7 초과, 8 초과, 9 초과 또는 10 초과, 13 미만, 12 미만, 또는 11 미만이다. 특정 실시양태에서, 희석된 조성물의 pH는 약 8 내지 12이다.
참조의 용이성을 위해, "마이크로전자 장치"는 마이크로전자, 집적 회로, 또는 컴퓨터 칩 적용에서 사용하기 위해 제조된 반도체 기판, 평면 패널 디스플레이, 상 변화 메모리 장치, 태양광 패널, 및 기타 제품, 예컨대 태양전지 기판, 광전지, 및 마이크로전자기계 시스템 (MEMS)에 상응한다. 태양전지 기판은 규소, 무정형 규소, 다결정질 규소, 단결정질 규소, CdTe, 구리 인듐 셀레니드, 구리 인듐 술피드, 및 갈륨 상 비소화갈륨을 포함하나, 이에 제한되지는 않는다. 태양전지 기판은 도핑되거나 또는 도핑되지 않을 수 있다. 용어 "마이크로전자 장치"는 어떠한 방식으로도 제한하려는 것이 아니며 궁극적으로 마이크로전자 장치 또는 마이크로전자 조립체가 될 임의의 기판을 포함하는 것으로 이해해야 한다.
본원에서 사용된 "세리아 입자"는, 예를 들어 화학식 Ce2O3 및 CeO2를 갖는 산화세륨을 포함한, 화학적 기계적 연마 슬러리에 사용될 수 있는 세륨계 연마제 입자에 상응한다. "세리아 입자"는 산화세륨을 포함하거나, 그로 이루어지거나, 또는 그로 본질적으로 이루어질 수 있다는 것을 인지해야 한다.
본원에서 사용된 "오염물"은 CMP 슬러리에 존재하는 화학물질, 연마 슬러리의 반응 부산물, CMP-후 잔류물, 습식 에칭 조성물에 존재하는 화학물질, 습식 에칭 조성물의 반응 부산물, 및 CMP 공정, 습식 에칭, 플라즈마 에칭 또는 플라즈마 애싱 공정의 부산물인 임의의 기타 물질에 상응한다.
본원에서 사용된 "CMP-후 잔류물"은 연마 슬러리로부터의 입자, 예를 들어, 슬러리에 존재하는 화학물질, 연마 슬러리의 반응 부산물, 탄소-풍부 입자, 연마 패드 입자, 브러시에서 떨어져 나온 입자, 기자재의 구성 입자, 속성상 금속, 유기, 유기금속, 유기규산 또는 무기 물질, 예를 들어, 규소-함유 물질, 티타늄-함유 물질, 질소-함유 물질, 산소-함유 물질, 중합체 잔류물 물질, 구리-함유 잔류물 (산화구리 잔류물 포함), 텅스텐-함유 잔류물 물질, 코발트-함유 잔류물 물질, 에칭 기체 잔류물, 예컨대 염소 및 플루오린, 및 그의 조합, 및 CMP 공정의 부산물인 임의의 기타 물질에 상응한다.
본원에서 사용된 용어 "저-k 유전체 물질"은 약 3.5 미만의 유전 상수를 갖는, 층상 마이크로전자 장치에서 유전체 물질로서 사용되는 임의의 물질에 상응한다. 특정 실시양태에서, 저-κ 유전체 물질은 저극성 물질, 예컨대 규소-함유 유기 중합체, 규소-함유 혼성 유기/무기 물질, 유기실리케이트 유리 (OSG), TEOS, 플루오린화 실리케이트 유리 (FSG), 이산화규소, 옥시탄화규소, 옥시질화규소, 질화규소, 탄소-도핑된 산화물 (CDO) 또는 탄소-도핑된 유리, 예를 들어 노벨루스 시스템즈, 인크.(Novellus Systems, Inc.)로부터의 코랄(CORAL)TM, 어플라이드 머티리얼스, 인크.(Applied Materials, Inc.)로부터의 블랙 다이아몬드(BLACK DIAMOND)TM (예를 들어, PECVD에 대하여 명칭 BD1, BD2 및 BD3), 다우(Dow)로부터의 실크 (SiLK)TM 유전성 수지 (다관능성 시클로펜타디에논 및 아세틸렌-함유 물질의 반응에 의한 가교된 폴리페닐렌을 기재로 하는 중합체; 예를 들어 본원에 참조로 포함되는 미국 특허 번호 5,965,679 참조), 및 나노포어, 인크(Nanopore, Inc)의 나노글래스(NANOGLASS)TM (실리케 에어로겔/크세로겔 (나노다공성 실리카로서 공지됨)) 등을 포함한다. 저-κ 유전체 물질은 다양한 밀도 및 다양한 다공도를 가질 수 있다는 것을 인지해야 한다.
본원에 사용된 용어 "에천트"는 플루오린화수소산 (HF); 플루오로규산 (H2SiF6); 플루오로붕산; 암모늄 플루오로실리케이트 염 ((NH4)2SiF6); 테트라메틸암모늄 헥사플루오로포스페이트; 플루오린화암모늄; 암모늄 비플루오라이드; 4급 암모늄 테트라플루오로보레이트 및 4급 포스포늄 테트라플루오로보레이트 및 그의 조합을 지칭한다.
본원에 사용된 용어 "금속 부식 억제제"는 비-이온성 계면활성제 예컨대 폴리폭스(PolyFox) PF-159 (옴노바 솔루션스(OMNOVA Solutions)), 폴리에틸렌 글리콜) ("PEG"), 폴리(프로필렌 글리콜) ("PPG"), 에틸렌 옥시드/프로필렌 옥시드 블록 공중합체 예컨대 플루로닉(Pluronic) F-127 (바스프), 폴리소르베이트 폴리옥시에틸렌 (20) 소르비탄 모노올레에이트 (트윈(Tween) 80), 폴리옥시에틸렌 (20) 소르비탄 모노스테아레이트 (트윈 60), 폴리옥시에틸렌 (20) 소르비탄 모노팔미테이트 (트윈 40), 폴리옥시에틸렌 (20) 소르비탄 모노라우레이트 (트윈 20)), 폴리옥시프로필렌/폴리옥시에틸렌 블록 공중합체 (예를 들어, 플루로닉 L31, 플루로닉 31R1, 플루로닉 25R2 및 플루로닉 25R4), 및 그의 조합; 및 이러한 화합물과 하기의 조합: 아졸 예컨대 5-아미노테트라졸, 5-페닐-벤조트리아졸, 1H-테트라졸-5-아세트산, 1-페닐-2-테트라졸린-5-티온, 벤즈이미다졸, 메틸테트라졸, 비스무티올 I, 시토신, 구아닌, 티민, 피라졸, 이미노디아세트산 (IDA), 프로판티올, 벤조히드록삼산, 시트르산, 아스코르브산, 5-아미노-1,3,4-티아디아졸-2-티올 (ATDT), 벤조트리아졸 (BTA), 1,2,4-트리아졸 (TAZ), 톨릴트리아졸, 5-메틸-벤조트리아졸 (mBTA), 5-페닐-벤조트리아졸, 5-니트로-벤조트리아졸, 벤조트리아졸 카르복실산, 3-아미노-5-메르캅토-1,2,4-트리아졸, 1-아미노-1,2,4-트리아졸, 히드록시벤조트리아졸, 2-(5-아미노-펜틸)-벤조트리아졸, 1-아미노-1,2,3-트리아졸, 1-아미노-5-메틸-1,2,3-트리아졸, 3-아미노-1,2,4-트리아졸 (3-ATA), 3-메르캅토-1,2,4-트리아졸, 3-이소프로필-1,2,4-트리아졸, 5-페닐티올-벤조트리아졸, 할로-벤조트리아졸 (할로=F, Cl, Br 또는 I), 나프토트리아졸, 2-메르캅토벤즈이미다졸 (MBI), 2-메르캅토벤조티아졸, 4-메틸-2-페닐이미다졸, 2-메트캅토티아졸린, 5-아미노-1,2,4-트리아졸 (5-ATA), 소듐 도데실 술페이트 (SDS), ATA-SDS, 3-아미노-5-메르캅토-1,2,4-트리아졸, 펜틸렌테트라졸, 5-페닐-1H-테트라졸, 5-벤질-1H-테트라졸, 알부민 O, 2-벤질피리딘, 숙신이미드, 2,4-디아미노-6-메틸-1,3,5-트리아진, 티아졸, 트리아진, 메틸테트라졸, 1,3-디메틸-2-이미다졸리디논, 1,5-펜타메틸렌테트라졸, 1-페닐-5-메르캅토테트라졸, 디아미노메틸트리아진, 이미다졸린 티온, 4-메틸-4H-1,2,4-트리아졸-3-티올, 4-아미노-4H-1,2,4-트리아졸, 3-아미노-5-메틸티오-1H-1,2,4-트리아졸, 벤조티아졸, 이미다졸, 인디아졸, 아데닌, 숙신이미드, 아데노신, 카르바졸, 사카린, 요산, 벤조인옥심, 양이온성 4급 염 (예를 들어, 벤즈알코늄 클로라이드, 벤질디메틸도데실암모늄 클로라이드, 미리스틸트리메틸암모늄 브로마이드, 도데실트리메틸암모늄 브로마이드, 헥사데실피리디늄 클로라이드, 앨리쿼트(Aliquot) 336 (코그니스(Cognis)), 벤질디메틸페닐암모늄 클로라이드, 크로다쿼트(Crodaquat) TES (크로다. 인크.(Croda. Inc.)), 레보쿼트 CPEM (위트코(Witco)), 헥사데실트리메틸암모늄 p-톨루엔술포네이트, 헥사데실트리메틸암모늄 히드록시드, 1-메틸-1'-테트라데실-4,4'-비피리듐 디클로라이드, 알킬트리메틸암모늄 브로마이드, 암프롤륨 히드로클로라이드, 벤제토늄 히드록시드, 벤제토늄 클로라이드, 벤질디메틸헥사데실암모늄 클로라이드, 벤질디메틸테트라데실암모늄 클로라이드, 벤질도데실디메틸암모늄 브로마이드, 벤질도데실디메틸암모늄 클로라이드, 세틸피리디늄 클로라이드, 콜린 p-톨루엔술포네이트 염, 디메틸디옥타데실암모늄 브로마이드, 도데실에틸디메틸암모늄 브로마이드, 도데실트리메틸암모늄 클로라이드, 에틸헥사데실디메틸암모늄 브로마이드, 지라드 시약, 헥사데실(2-히드록시에틸)디메틸암모늄 디히드로겐 포스페이트, 덱사데실피리디늄 브로마이드, 헥사데실트리메틸암모늄 브로마이드, 헥사데실트리메틸암모늄 클로라이드, 메틸벤제토늄 클로라이드, 히아민(Hyamine)® 1622, 루비쿼트(Luviquat)TM, N,N',N'-폴리옥시에틸렌 (10)-N-탈로우-1,3-디아미노프로판 액체, 옥시페노늄 브로마이드, 테트라헵틸암모늄 브로마이드, 테트라키스(데실)브로민화암모늄, 톤조늄 브로마이드, 트리도데실암모늄 클로라이드, 트리메틸옥타데실암모늄 브로마이드, 1-메틸-3-n-옥틸이미다졸륨 테트라플루오로보레이트, 1-데실-3-메틸이미다졸륨 테트라플루오로보레이트, 1-데실-3-메틸이미다졸륨 클로라이드, 트리도데실메틸암모늄 브로마이드, 디메틸디스테아릴암모늄 클로라이드, 세틸트리메틸암모늄 브로마이드, 미리스틸트리메틸암모늄 브로마이드, 및 헥사메토늄 클로라이드), 음이온성 계면활성제 (예를 들어, 도데실벤젠술폰산, 소듐 도데실벤젠술포네이트, 도데실포스폰산 (DDPA), 및 그의 조합).
본원에 사용된 용어 "저-k 부동태화제"는 저-k 층의 화학적 공격을 감소시키고 추가의 산화로부터 웨이퍼를 보호하는 화합물을 지칭한다. 붕산이 저-k 부동태화제의 한 예이지만, 다른 히드록실 첨가제, 예를 들어 3-히드록시-2-나프토산, 말론산, 이미노디아세트산, 암모늄 펜타보레이트, 우레아, 메틸트리에톡시실란 및 그의 혼합물이 이러한 목적을 위해 공지되어 있다.
"실질적으로 함유하지 않는"은 본원에서 특정 실시양태에서 2 중량% 미만, 1 중량% 미만, 0.5 중량% 미만, 또는 0.1 중량% 미만으로 정의된다. "함유하지 않는"은 특정 실시양태에서 환경 오염의 이유로 0.001 중량% 미만에 상응하는 것으로 의도되고, 또 다른 실시양태에서는 0.0 중량%이다.
일부 실시양태에서, 조성물은 (a) 부식 억제제; (b) 에천트; 및 (c) 부동태화제를 실질적으로 함유하지 않는다. 다른 실시양태에서, 조성물은 (a) 부식 억제제; (b) 에천트; 및 (c) 부동태화제를 함유하지 않는다.
본원에서 사용된 "약"은 명시된 값의 +/-0.5%에 상응하는 것으로 의도된다.
본원에 사용된 용어 "완충제"는 통상의 완충제 예컨대 포스페이트 염 (예를 들어, 인산수소이암모늄, 인산이수소암모늄, 인산암모늄) 및 탄산염 예컨대 탄산수소칼륨 및 탄산칼륨을 지칭한다. 존재하는 경우에, 조성물은 조성물의 총 중량을 기준으로 약 0.1 중량% 내지 약 20 중량%의 완충 종을 포함한다.
본원에서 사용된, 상부에 세리아 입자 및 CMP 오염물을 갖는 마이크로전자 장치로부터 상기 입자 및 오염물을 제거하는 데 있어서의 "적합성"은 마이크로전자 장치로부터의 상기 입자/오염물의 적어도 부분적인 제거에 상응한다. 세정 효능은 마이크로전자 장치 상의 대상물의 감소에 의해 등급화된다. 예를 들어, 세정전 및 세정후 분석은 원자력 현미경을 사용하여 수행될 수 있다. 샘플 상의 입자는 픽셀 범위로 기록될 수 있다. 히스토그램 (예를 들어, 시그마 스캔 프로(Sigma Scan Pro))을 적용하여 특정 강도 (예를 들어, 231-235)의 픽셀 및 카운팅된 입자의 수를 필터링할 수 있다. 입자 감소는 하기 식을 사용하여 계산할 수 있다:
Figure 112021033828113-pct00001
명백히, 세정 효능의 결정 방법은 단지 예로서 제공된 것으로, 이에 한정되는 것이 아니다. 대안적으로, 세정 효능은 미립자 물질에 의해 덮인 총 표면의 백분율로서 간주될 수 있다. 예를 들어, AFM은 z-면 스캔을 수행하여 특정 높이 임계값을 초과하는 관심 지형 영역을 식별하고, 이어서 상기 관심 영역에 포함되는 총 표면의 면적을 계산하도록 프로그래밍될 수 있다. 관련 기술분야의 통상의 기술자는 상기 세정-후 관심 영역에 의해 덮인 면적이 적을수록, 제거 조성물이 더 효과적이라는 것을 용이하게 이해할 수 있다. 특정 실시양태에서, 입자/오염물의 적어도 75%가 본원에 기재된 조성물을 사용하여 마이크로전자 장치로부터 제거되고, 입자/오염물의 적어도 90%, 적어도 95%, 또는 적어도 99%가 제거된다.
본원에 기재된 조성물은 이하에 더욱 상세히 기재되는 바와 같이, 매우 다양한 특정 제제로 구체화될 수 있다.
이러한 모든 조성물에서, 조성물의 특정 성분이 제로 하한을 포함하는 중량% 범위와 관련하여 논의되는 경우, 이러한 성분은 조성물의 다양한 구체적 실시양태에서 존재하거나 또는 부재할 수 있고, 이러한 성분이 존재하는 경우에, 이들은 이러한 성분이 사용된 조성물의 총 중량을 기준으로 0.00001 중량% 정도의 낮은 농도로 존재할 수 있다는 것이 이해될 것이다.
pH를 목적하는 종점으로 조정하기 위해, 4급 암모늄 화합물 및/또는 4급 포스포늄 화합물을 사용할 수 있다. 본원에 사용된 용어 "4급 암모늄 화합물"은 그의 통상적인 의미를 지칭하고, 테트라알킬암모늄 히드록시드, 화학식 NR4R5R6R7OH를 갖는 화합물을 포함하며, 여기서 R4, R5, R6 및 R7은 서로 동일하거나 상이할 수 있고, 수소, 직쇄 또는 분지형 C1-C6 알킬 (예를 들어, 메틸, 에틸, 프로필, 부틸, 펜틸, 및 헥실) 기, C1-C6 히드록시알킬 (예를 들어, 히드록시메틸, 히드록시에틸, 히드록시프로필, 히드록시부틸, 히드록시펜틸, 및 히드록시헥실) 기, 메틸트리에틸암모늄 히드록시드, 디에틸디메틸암모늄 히드록시드, 에틸트리메틸암모늄 히드록시드, 콜린 히드록시드, 메틸트리(히드록시에틸)암모늄 히드록시드, 수산화칼륨, 수산화세슘, 및 치환 또는 비치환된 C6-C10 아릴 기 (예를 들어, 벤질 기)로부터 선택된다. 테트라메틸암모늄 히드록시드 (TMAH), 테트라에틸암모늄 히드록시드 (TEAH), 테트라프로필암모늄 히드록시드 (TPAH), 테트라부틸암모늄 히드록시드 (TBAH), 트리부틸메틸암모늄 히드록시드 (TBMAH), 벤질트리메틸암모늄 히드록시드 (BTMAH), 콜린 히드록시드, 에틸트리메틸암모늄 히드록시드, 트리스(2-히드록시에틸)메틸 암모늄 히드록시드, 디에틸디메틸암모늄 히드록시드, 및 그의 조합을 포함하는 상업적으로 입수가능한 테트라알킬암모늄 히드록시드가 사용될 수 있다.
대안적으로 또는 추가로, 화학식 (PR8R9R10R11)OH를 갖는 4급 포스포늄 화합물을 사용하여 pH를 조정할 수 있고, 여기서 R8, R9, R10, 및 R11은 서로 동일하거나 상이할 수 있고, 수소, 직쇄 C1-C6 알킬 (예를 들어, 메틸, 에틸, 프로필, 부틸, 펜틸, 및 헥실) 기, 분지형 C1-C6 알킬 기, C1-C6 히드록시알킬 (예를 들어, 히드록시메틸, 히드록시에틸, 히드록시프로필, 히드록시부틸, 히드록시펜틸, 및 히드록시헥실) 기, 치환된 C6-C10 아릴 기, 비치환된 C6-C10 아릴 기 (예를 들어, 벤질 기), 및 그의 임의의 조합으로 이루어진 군으로부터 선택되고; 테트라메틸포스포늄 히드록시드 (TMPH), 테트라에틸포스포늄 히드록시드 (TEPH), 테트라프로필포스포늄 히드록시드 (TPPH), 테트라부틸포스포늄 히드록시드 (TBPAH), 트리부틸메틸포스포늄 히드록시드 (TBMPAH), 벤질트리메틸포스포늄 히드록시드 (BTMPH), 에틸트리메틸포스포늄 히드록시드, 트리스(2-히드록시에틸)메틸 포스포늄 히드록시드, 디에틸디메틸포스포늄 히드록시드, 및 그의 조합을 포함하는 상업적으로 입수가능한 테트라알킬포스포늄 히드록시드가 사용될 수 있다. 산은, 질산, 황산, 인산, 염산, 브로민화수소산, 메탄술폰산, 벤젠술폰산, p-톨루엔술폰산, 트리플루오로메탄술폰산, 아세트산, 락트산, 글리콜산, 및 그의 임의의 조합을 포함하나, 이에 제한되지는 않는다. 한 실시양태에서, pH 조정제는 KOH를 포함한다. 또 다른 실시양태에서, pH 조정제는 콜린 히드록시드를 포함한다. 또 다른 실시양태에서, pH 조정제는 수산화암모늄을 포함한다. 대안적으로, 4급 암모늄 화합물 및/또는 4급 포스포늄 화합물 이외에도, pH 조정제는 적어도 1종의 알칼리 금속 수산화물을 추가로 포함할 수 있다. 또 다른 실시양태에서, pH 조정제는 KOH 및 본원에 열거된 적어도 1종의 추가의 수산화물을 포함한다. 또 다른 실시양태에서, pH 조정제는 KOH, 및 콜린 히드록시드 및 수산화암모늄 중 적어도 하나를 포함한다. 이들 열거된 실시양태 중 임의의 것에, pH 조정제는 적어도 1종의 산, 예를 들어, 황산, 시트르산, 또는 시트르산과 황산의 조합을 추가로 포함할 수 있다.
대안적으로 또는 추가로, pH는 KOH, CsOH, 및/또는 수산화암모늄을 포함하는 알칼리 수산화물인 무기 수산화물 화합물을 사용하여 조정될 수 있다.
추가적으로, 조성물은 원하는 대로 다른 첨가제, 예컨대 플루오라이드 함유 화합물 및/또는 계면활성제를 함유할 수 있다.
본원에서 사용된 "플루오라이드 함유 화합물"은 또 다른 원자에 이온 결합된 플루오라이드 이온 (F-)을 포함하는 염 또는 산 화합물 (예컨대 HF)에 상응한다.
본원에서 사용된 용어 "계면활성제"는 두 액체 사이 또는 액체와 고체 사이의 표면 장력 (또는 계면 장력)을 낮추는, 전형적으로 소수성 기 (예를 들어, 탄화수소 (예를 들어, 알킬) "테일") 및 친수성 기를 함유하는 유기 양친매성 화합물인 유기 화합물을 지칭한다. 존재하는 경우에, 본원에 기재된 조성물에 사용하기 위한 계면활성제는 양쪽성 염, 양이온성 계면활성제, 음이온성 계면활성제, 쯔비터이온성 계면활성제, 비-이온성 계면활성제, 및 그의 조합, 예컨대, 비제한적으로, 데실포스폰산, 도데실포스폰산 (DDPA), 테트라데실포스폰산, 헥사데실포스폰산, 비스(2-에틸헥실)포스페이트, 옥타데실포스폰산, 퍼플루오로헵탄산, 퍼플루오로데칸산, 트리플루오로메탄술폰산, 포스포노아세트산, 도데실벤젠술폰산 (DDBSA), 다른 R1 벤젠 술폰산 또는 그의 염 (여기서 R1 은 직쇄형 또는 분지형 C8-C18 알킬 기임), 도데세닐숙신산, 디옥타데실 히드로겐 포스페이트, 옥타데실 디히드로겐 포스페이트, 도데실아민, 도데세닐숙신산 모노디에탄올 아미드, 라우르산, 팔미트산, 올레산, 주니페르산, 12 히드록시스테아르산, 옥타데실포스폰산 (ODPA), 도데실 포스페이트를 포함한다. 고려되는 비-이온성 계면활성제는 폴리옥시에틸렌 라우릴 에테르, 도데세닐숙신산 모노디에탄올 아미드, 에틸렌디아민 테트라키스 (에톡실레이트-블록-프로폭실레이트) 테트롤, 폴리에틸렌 글리콜, 폴리프로필렌 글리콜, 폴리에틸렌 또는 폴리프로필렌 글리콜 에테르, 에틸렌 옥시드 및 프로필렌 옥시드 기재의 블록공중합체, 폴리옥시프로필렌 수크로스 에테르, t-옥틸페녹시폴리에톡시에탄올, 10-에톡시-9,9-디메틸데칸-1-아민, 폴리옥시에틸렌 (9) 노닐페닐에테르, 분지형, 폴리옥시에틸렌 (40) 노닐페닐에테르, 분지형, 디노닐페닐 폴리옥시에틸렌, 노닐페놀 알콕실레이트, 폴리옥시에틸렌 소르비톨 헥사올레에이트, 폴리옥시에틸렌 소르비톨 테트라올레에이트, 폴리에틸렌 글리콜 소르비탄 모노올레에이트, 소르비탄 모노올레에이트, 알콜 알콕실레이트, 알킬-폴리글루코시드, 에틸 퍼플루오로부티레이트, 1,1,3,3,5,5-헥사메틸-1,5-비스[2-(5-노르보르넨-2-일)에틸]트리실록산, 단량체 옥타데실실란 유도체, 실록산 개질된 폴리실라잔, 실리콘-폴리에테르 공중합체, 및 에톡실화 플루오로계면활성제를 포함하나, 이에 제한되지는 않는다. 고려되는 양이온성 계면활성제는 세틸 트리메틸암모늄 브로마이드 (CTAB), 헵타데칸플루오로옥탄 술폰산, 테트라에틸암모늄, 스테아릴 트리메틸암모늄 클로라이드, 4-(4-디에틸아미노페닐아조)-1-(4-니트로벤질)피리듐 브로마이드, 세틸피리디늄 클로라이드 1수화물, 벤즈알코늄 클로라이드, 벤제토늄 클로라이드 벤질디메틸도데실암모늄 클로라이드, 벤질디메틸헥사데실암모늄 클로라이드, 헥사데실트리메틸암모늄 브로마이드, 디메틸디옥타데실암모늄 클로라이드, 도데실트리메틸암모늄 클로라이드, 헥사데실트리메틸암모늄 p-톨루엔술포네이트, 디도데실디메틸암모늄 브로마이드, 디(수소화 탈로우)디메틸암모늄 클로라이드, 테트라헵틸암모늄 브로마이드, 테트라키스(데실)브로민화암모늄, 및 옥시페노늄 브로마이드, 구아니딘 히드로클로라이드 (C(NH2)3Cl) 또는 트리플레이트 염 예컨대 테트라부틸암모늄 트리플루오로메탄술포네이트, 디메틸디옥타데실암모늄 클로라이드, 디메틸디헥사데실암모늄 브로마이드, 디(수소화 탈로우)디메틸암모늄 클로라이드, 및 폴리옥시에틸렌 (16) 탈로우 에틸모늄 에토술페이트를 포함하나, 이에 제한되지는 않는다. 고려되는 음이온성 계면활성제는 폴리(아크릴산 나트륨 염), 암모늄 폴리아크릴레이트, 소듐 폴리옥시에틸렌 라우릴 에테르, 소듐 디헥실술포숙시네이트, 소듐 도데실 술페이트, 디옥틸술포숙시네이트 염, 2-술포숙시네이트 염, 2,3-디메르캅토-1-프로판술폰산 염, 디시클로헥실 술포숙시네이트 나트륨 염, 소듐 7-에틸-2-메틸-4-운데실 술페이트, 포스페이트 플루오로계면활성제, 플루오로계면활성제, 및 폴리아크릴레이트를 포함하나, 이에 제한되지는 않는다. 쯔비터이온성 계면활성제는 아세틸렌계 디올 또는 개질된 아세틸렌계 디올, 에틸렌 옥시드 알킬아민, N,N-디메틸도데실아민 N-옥시드, 소듐 코카민프로피네이트, 3-(N,N-디메틸미리스틸암모니오)프로판술포네이트, 및 (3-(4-헵틸)페닐-3-히드록시프로필)디메틸암모니오프로판술포네이트를 포함하나, 이에 제한되지는 않는다.
조성량과 관련하여, 다른 첨가제(들) 대 성분 (i), (ii), (iii), (iv), (v)의 중량% 비는 한 실시양태에서 약 0.001:1 내지 약 10:1의 범위이고, 다른 실시양태에서 약 0.1:1 내지 약 5:1이다. pH 조정제 (즉, 4급 암모늄 또는 포스포늄 화합물)의 양은 본원에 개시된 pH 값 및 관련 기술분야의 통상의 기술자의 지식에 기초하여, 사용하기 위한 제거 조성물을 제조할 때 얻으려는 최종 pH에 따라 달라진다.
성분의 중량% 비의 범위는 조성물의 모든 가능한 농축 또는 희석된 실시양태를 포괄할 것이다. 이를 위해, 한 실시양태에서, 세정 용액으로서 사용하기 위해 희석될 수 있는 농축된 제거 조성물이 제공된다. 농축된 조성물 또는 "농축물"은 유리하게는 사용자 (예를 들아 CMP 공정 기술자)가 사용 시점에 농축물을 원하는 농도 및 pH로 희석할 수 있도록 한다. 농축된 수성 제거 조성물의 희석은 약 1:1 내지 약 2500:1, 약 5:1 내지 약 200:1, 또는 약 20:1 내지 약 120:1의 범위일 수 있고, 여기서 수성 제거 조성물은 도구 사용시에 또는 그 직전에 용매, 예를 들어 탈이온수로 희석된다. 관련 기술분야의 통상의 기술자는, 본원에 개시된 성분의 중량% 비의 범위가 희석 후에도 변하지 않은 상태로 유지되어야 한다는 것을 인지할 것이다.
기판과 관련하여, 본 발명의 조성물은 본원에 제시된 저 k 유전체 물질을 세정하는 데 유용한 것으로 여겨진다.
본 발명에 의해 제공되는, 저-k 유전체 물질로부터의 세리아의 제거에 유용한 것으로 여겨지는 예시적 조성물은 하기를 포함한다:
표 1
Figure 112021033828113-pct00002
Figure 112021033828113-pct00003
Figure 112021033828113-pct00004
또 다른 실시양태에서, 본원에 기재된 조성물은 세리아 입자 및/또는 CMP 오염물을 추가로 포함한다. 세리아 입자 및 오염물은 세정이 시작된 후 조성물의 성분이 되어 조성물에 용해되고/되거나 현탁될 것이다.
제거 조성물은 각각의 구성성분을 단순 첨가하고 균질한 상태로 혼합함으로써 용이하게 제제화된다. 또한, 조성물은 단일-패키지 제제로서, 또는 사용 시점에 또는 사용 시점 전에 혼합되는 다중-부분 제제로서 용이하게 제제화될 수 있고, 예를 들어, 다중-부분 제제의 개별 부분은 도구에서 또는 도구 상류의 저장 탱크에서 혼합될 수 있다. 각각의 구성성분의 농도는 특정 배량의 조성으로, 즉, 더욱 희석된 것 또는 더욱 농축된 것으로 광범위하게 달라질 수 있고, 본원에 기재된 조성물은 다양하게 그리고 대안적으로 본원의 개시내용과 일치하는 임의의 구성성분 조합을 포함하거나, 그로 이루어지거나, 또는 그로 본질적으로 이루어질 수 있다는 것이 인지될 것이다.
따라서, 또 다른 측면은 본원에 기재된 조성물을 형성하도록 채택된 하나 이상의 성분을 하나 이상의 용기에 포함하는 키트에 관한 것이다.
키트는 하나 이상의 용기 내에, 상부에 세리아 입자 및 화학적 기계적 연마 (CMP) 오염물을 갖는 마이크로전자 장치로부터 상기 입자 및 CMP 오염물을 제거하기에 적합한 성분을 갖는 하나 이상의 용기를 포함하는 키트를 포함할 수 있으며, 여기서 상기 키트의 하나 이상의 용기는 적어도 1종의 산화제; 적어도 1종의 착물화제; 적어도 1종의 세정제; 적어도 1종의 4급 암모늄 화합물; 및 제작시 또는 사용 시점에 물 및/또는 추가의 용매와 배합하기 위한 물을 함유한다. 키트의 용기는 조성물의 저장 및 운송에 적합해야 하며, 예를 들어, 나우팍(NOWPak)® 용기 (엔테그리스, 인크.(Entegris, Inc.), 미국 매사추세츠주 빌레리카)일 수 있다.
한 실시양태에서, 수성 제거 조성물의 성분을 함유하는 하나 이상의 용기는 블렌딩 및 분배를 위해 상기 하나 이상의 용기에 있는 성분들을 유체 연통시키는 수단을 포함한다. 예를 들어, 나우팩® 용기와 관련하여, 가스 압력이 상기 하나 이상의 용기에 있는 라이너의 외부에 적용되어 라이너의 내용물 중 적어도 일부가 방출되도록 하여 블렌딩 및 분배를 위한 유체 연통을 가능하게 할 수 있다. 대안적으로, 가스 압력이 종래의 가압가능한 용기의 헤드 공간에 적용될 수 있거나, 또는 펌프가 사용되어 유체 연통을 가능하게 할 수 있다. 또한, 특정 실시양태에서, 시스템은 블렌딩된 제거 조성물을 공정 도구에 분배하기 위한 분배 포트를 포함한다.
마이크로전자 제조 작업에 적용된 바와 같이, 본원에 기재된 제거 조성물은 마이크로전자 장치의 표면으로부터 세리아 입자 및/또는 CMP 오염물 (예를 들어, CMP-후 잔류물 및 오염물)을 세정하는 데 유용하게 사용된다. 특정 실시양태에서, 수성 제거 조성물은 입자 제거 전에 장치에 존재하는 세리아 입자의 적어도 85%, 적어도 90%, 적어도 95%, 또는 적어도 99%를 제거한다.
CMP-후 입자 및 오염물 제거 적용에서, 본원에 기재된 수성 제거 조성물은 매우 다양한 통상적인 세정 도구, 예컨대 베르테크(Verteq) 단일 웨이퍼 메가소닉 골드핑거(Goldfinger), 온트랙(OnTrak) 시스템 DDS (양면 스크러버), SEZ 또는 다른 단일 웨이퍼 스프레이 린스, 어플라이드 머티어리얼스(Applied Materials) 미라-메사(Mirra-Mesa)™/리플렉션(Reflexion)™/리플렉션 LK™, 및 메가소닉 배치 습식 벤치 시스템을 포함하나 이에 제한되지 않는 메가소닉 및 브러시 스크러빙과 함께 사용될 수 있다.
상부에 세리아 입자 및 CMP 오염물을 갖는 마이크로전자 장치로부터 상기 입자 및 오염물을 제거하기 위한 본원에 기재된 조성물의 사용에서, 수성 제거 조성물을 전형적으로 약 5초 내지 약 10분, 약 1초 내지 20분, 또는 약 15초 내지 약 5분의 시간 동안 약 20℃ 내지 약 90℃, 또는 약 20℃ 내지 약 50℃ 범위의 온도에서 장치와 접촉시킨다. 이러한 접촉 시간 및 온도는 예시적인 것이며, 방법의 광범위한 실행 내에서, 장치로부터 세리아 입자 및 CMP 오염물을 적어도 부분적으로 제거하기에 효과적인 임의의 다른 적합한 시간 및 온도 조건이 사용될 수 있다. "적어도 부분적으로 세정하는" 및 "실질적 제거"는 둘 다 특정 실시양태에서 입자 제거 전에 장치에 존재한 세리아 입자의 적어도 85%, 적어도 90%, 적어도 95%, 또는 적어도 99%를 제거할 때에 상응한다.
원하는 입자 제거 작용의 달성 이후에, 수성 제거 조성물은, 본원에 기재된 조성물의 주어진 최종 용도 적용에서 원하고 효과적일 수 있는 바와 같이, 이전에 적용된 장치로부터 용이하게 제거될 수 있다. 한 실시양태에서, 헹굼 용액은 탈이온수를 포함한다. 이후, 장치는 질소 또는 스핀-건조 사이클을 사용하여 건조될 수 있다.
또 다른 측면은 본원에 기재된 방법에 따라 제조된 개선된 마이크로전자 장치 및 이러한 마이크로전자 장치를 함유하는 제품에 관한 것이다.
또 다른 측면은 재활용 수성 제거 조성물에 관한 것이며, 여기서 제거 조성물은 입자 및/또는 오염물 로딩이 수성 제거 조성물이 수용할 수 있는 최대 양에 도달할 때까지 (이는 관련 기술분야의 통상의 기술자에 의해 용이하게 결정됨) 재활용될 수 있다.
또 추가 측면은 마이크로전자 장치를 포함하는 물품을 제조하는 방법에 관한 것이며, 상기 방법은 상부에 세리아 입자 및 CMP 오염물을 갖는 마이크로전자 장치로부터 상기 입자 및 오염물을 제거하기에 충분한 시간 동안 마이크로전자 장치를 수성 제거 조성물과 접촉시키고, 본원에 기재된 제거 조성물의 사용으로 상기 마이크로전자 장치를 상기 물품에 혼입시키는 것을 포함한다.
또 다른 측면에서, 상부에 세리아 입자 및 CMP 오염물을 갖는 마이크로전자 장치로부터 상기 입자 및 오염물을 제거하는 방법이 제공된다. 따라서, 또 다른 측면에서, 본 발명은, 하기 단계를 포함하는, 상부에 세리아 입자 및 화학적 기계적 연마 오염물을 갖는 마이크로전자 장치로부터 상기 입자 및 오염물을 제거하는 방법을 제공한다:
(i) 마이크로전자 장치를 본 발명의 조성물과 접촉시키는 단계; 및
(ii) 탈이온수를 포함하는 수용액을 사용하여 상기 마이크로전자 장치로부터 상기 입자 및 오염물을 적어도 부분적으로 제거하는 단계.
본 발명은 그의 바람직한 실시양태의 하기 실시예에 의해 추가로 설명될 수 있지만, 이러한 실시예는 단지 예시의 목적으로 포함되는 것이고, 달리 명확하게 지시되지 않는 한 본 발명의 범주를 한정하도록 의도되지 않는다는 것이 이해될 것이다.
실험 섹션
비커-침지 실험 절차
1. 기판을 희석된 세리아 슬러리 중에 5분 동안 침지시킨 후, 30초 동안 DIW (탈이온수)로 헹굼
2. 슬러리에 노출된 기판을 희석된 세정제 중에 1분 동안 침지시킨 후, 30초 동안 DIW로 헹굼
성능 평가 (계측):
성능 평가 계측: SEM에 의해 수집된 쿠폰의 세정 후 이미지
Figure 112021033828113-pct00005
이미지 분석 소프트웨어 (이미지 J(Image J))에 의해 계산된 세리아 입자의 총 면적
Figure 112021033828113-pct00006

Claims (15)

  1. (i) 적어도 1종의 산화제;
    (ii) 적어도 1종의 착물화제;
    (iii) 적어도 1종의 세정제;
    (iv) 적어도 1종의 4급 암모늄 또는 4급 포스포늄 화합물 또는 무기 수산화물; 및
    (v) 물
    을 포함하며,
    pH가 8 초과이고, 부식 억제제 및 에천트를 함유하지 않는 조성물.
  2. 제1항에 있어서, 산화제가 과산화수소, 퍼아이오딘산, t-부틸히드로퍼옥시드, 퍼아세트산, 과황산칼륨, 과황산암모늄, 퍼옥시황산칼륨, 과망가니즈산칼륨, 및 우레아 과산화수소로부터 선택되는 것인 조성물.
  3. 제1항에 있어서, 착물화제가 화학식 NR1R2R3의 화합물로부터 선택되며, 여기서 R1, R2 및 R3은 서로 동일하거나 상이할 수 있고, 수소, 직쇄 또는 분지형 C1-C6 알킬, 직쇄 또는 분지형 C1-C6 히드록시알킬, 및 직쇄 또는 분지형 C1-C6 히드록시알킬 기의 C1-C6 알킬 에테르로부터 선택되는 것인 조성물.
  4. 제1항에 있어서, 착물화제가 4-(2-히드록시에틸)모르폴린 (HEM), 1,2-시클로헥산디아민-N,N,N',N'-테트라아세트산 (CDTA), 에틸렌디아민테트라아세트산 (EDTA), m-크실렌디아민 (MXDA), 이미노디아세트산 (IDA), 2-(히드록시에틸)이미노디아세트산 (HIDA), 니트릴로트리아세트산, 티오우레아, 1,1,3,3-테트라메틸우레아, 우레아, 우레아 유도체, 요산, 알라닌, 아르기닌, 아스파라긴, 아스파르트산, 시스테인, 글루탐산, 글루타민, 히스티딘, 이소류신, 류신, 리신, 메티오닌, 페닐알라닌, 프롤린, 세린, 트레오닌, 트립토판, 티로신, 발린, 및 그의 조합으로부터 선택되는 것인 조성물.
  5. 제1항에 있어서, 착물화제가 1-히드록시에틸리덴-1,1-디포스폰산 (HEDP), 1,5,9-트리아자시클로도데칸-N,N',N''-트리스(메틸렌포스폰산) (DOTRP), 1,4,7,10-테트라아자시클로도데칸-N,N',N'',N'''-테트라키스(메틸렌포스폰산) (DOTP), 니트릴로트리스(메틸렌)트리포스폰산, 디에틸렌트리아민펜타키스(메틸렌포스폰산) (DETAP), 아미노트리(메틸렌포스폰산), 비스(헥사메틸렌)트리아민 펜타메틸렌 포스폰산, 1,4,7-트리아자시클로노난-N,N',N''-트리스(메틸렌포스폰산 (NOTP), 히드록시에틸디포스포네이트, 니트릴로트리스(메틸렌)포스폰산, 2-포스포노-부탄-1,2,3,4-테트라카르복실산, 카르복시 에틸 포스폰산, 아미노에틸 포스폰산, 글리포세이트, 에틸렌 디아민 테트라(메틸렌포스폰산) 페닐포스폰산, 및 그의 염으로부터 선택되는 것인 조성물.
  6. 제1항에 있어서, 제거 조성물 중의 착물화제의 양이 조성물의 총 중량을 기준으로 0.01 중량% 내지 10 중량%의 범위인 조성물.
  7. 제1항에 있어서, 세정제가 메탄올, 에탄올, 이소프로판올, 부탄올, 테트라히드로푸르푸릴 알콜 (THFA), 3-클로로-1,2-프로판디올, 3-클로로-1-프로판티올, 1-클로로-2-프로판올, 2-클로로-1-프로판올, 3-클로로-1-프로판올, 3-브로모-1,2-프로판디올, 1-브로모-2-프로판올, 3-브로모-1-프로판올, 3-아이오도-1-프로판올, 3-아미노-4-옥탄올, 4-클로로-1-부탄올, 2-클로로에탄올), 디클로로메탄, 클로로포름, 아세트산, 프로피온산, 트리플루오로아세트산, 테트라히드로푸란, N-메틸피롤리디논 (NMP), 시클로헥실피롤리디논, N-옥틸피롤리디논, N-페닐피롤리디논, 메틸디에탄올아민, 메틸 포르메이트, 디메틸 포름아미드 (DMF), 디메틸술폭시드 (DMSO), 테트라메틸렌 술폰 (술폴란), 디에틸 에테르, 페녹시-2-프로판올 (PPh), 프로프리오페논, 에틸 락테이트, 에틸 아세테이트, 에틸 벤조에이트, 아세토니트릴, 아세톤, 에틸렌 글리콜, 프로필렌 글리콜 (PG), 1,3-프로판디올, 디옥산, 부티릴 락톤, 부틸렌 카르보네이트, 에틸렌 카르보네이트, 프로필렌 카르보네이트, 디프로필렌 글리콜, 디에틸렌 글리콜 모노메틸 에테르, 및 그의 조합으로부터 선택되는 수혼화성 유기 용매인 조성물.
  8. 제1항에 있어서, 세정제가 수혼화성 유기 용매이고, 조성물의 총 중량을 기준으로 0.0001 중량% 내지 5 중량%의 범위로 존재하는 것인 조성물.
  9. 상부에 세리아 입자 및 화학적 기계적 연마 오염물을 갖는 마이크로전자 장치로부터 상기 입자 및 오염물을 제거하는 방법이며, 하기 단계를 포함하는 방법:
    (i) 저-k 유전체 물질을 포함하는 마이크로전자 장치를 제1항의 조성물과 접촉시키는 단계; 및
    (ii) 탈이온수를 포함하는 수용액을 사용하여 상기 마이크로전자 장치로부터 상기 입자 및 오염물을 적어도 부분적으로 제거하는 단계.
  10. 제9항에 있어서, 저-k 유전체 물질이 폴리-Si, PETEOS, 탄화규소, 중합체 필름, 옥시탄화규소, 옥시질화규소 및 질화규소, 규소-함유 유기 중합체, 규소-함유 혼성 유기/무기 물질, 유기실리케이트 유리 (OSG), TEOS, 플루오린화 실리케이트 유리 (FSG), 이산화규소, 및 탄소-도핑된 산화물 (CDO) 유리 및 탄소 도핑된 유리로부터 선택되는 물질을 포함하는 것인 방법.
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
KR1020217008577A 2018-08-28 2019-08-02 세리아 입자에 대한 cmp 후 세정 조성물 KR102522365B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862723759P 2018-08-28 2018-08-28
US62/723,759 2018-08-28
PCT/US2019/044948 WO2020046539A1 (en) 2018-08-28 2019-08-02 Post cmp cleaning compositions for ceria particles

Publications (2)

Publication Number Publication Date
KR20210038690A KR20210038690A (ko) 2021-04-07
KR102522365B1 true KR102522365B1 (ko) 2023-04-18

Family

ID=69642103

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217008577A KR102522365B1 (ko) 2018-08-28 2019-08-02 세리아 입자에 대한 cmp 후 세정 조성물

Country Status (6)

Country Link
US (1) US11085011B2 (ko)
JP (1) JP7212764B2 (ko)
KR (1) KR102522365B1 (ko)
CN (1) CN112771144A (ko)
TW (1) TWI754163B (ko)
WO (1) WO2020046539A1 (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112996893A (zh) * 2018-11-08 2021-06-18 恩特格里斯公司 化学机械研磨后(post cmp)清洁组合物
CN113496868B (zh) * 2020-04-03 2023-03-10 重庆超硅半导体有限公司 一种硅片的抛光后清洗方法
CN116325085A (zh) * 2020-08-28 2023-06-23 富士胶片电子材料美国有限公司 清洁组合物及其使用方法
EP4225882A1 (en) * 2020-10-05 2023-08-16 Entegris, Inc. Post cmp cleaning compositions
WO2022076252A1 (en) * 2020-10-05 2022-04-14 Entegris, Inc. Microelectronic device cleaning composition
TW202313944A (zh) * 2021-07-23 2023-04-01 美商阿散德性能材料營運公司 含有氨基羧酸螯合劑的水溶液
US20230323248A1 (en) * 2022-03-23 2023-10-12 Entegris, Inc. Post cmp cleaning composition

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005260213A (ja) * 2004-02-09 2005-09-22 Mitsubishi Chemicals Corp 半導体デバイス用基板洗浄液及び洗浄方法
US20110094536A1 (en) * 2009-10-24 2011-04-28 Wai Mun Lee Troika Acid Semiconductor Cleaning Compositions and Methods of Use
JP2014170927A (ja) * 2013-02-06 2014-09-18 Mitsubishi Chemicals Corp 半導体デバイス用基板洗浄液及び半導体デバイス用基板の洗浄方法
US20180204736A1 (en) * 2017-01-18 2018-07-19 Entegris, Inc. Compositions and methods for removing ceria particles from a surface

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7208049B2 (en) 2003-10-20 2007-04-24 Air Products And Chemicals, Inc. Process solutions containing surfactants used as post-chemical mechanical planarization treatment
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US20050079803A1 (en) * 2003-10-10 2005-04-14 Siddiqui Junaid Ahmed Chemical-mechanical planarization composition having PVNO and associated method for use
WO2005043245A2 (en) * 2003-10-29 2005-05-12 Mallinckrodt Baker Inc. Alkaline, post plasma etch/ash residue removers and photoresist stripping compositions containing metal-halide corrosion inhibitors
KR101444468B1 (ko) * 2005-10-05 2014-10-30 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
WO2009058288A1 (en) * 2007-10-29 2009-05-07 Ekc Technology, Inc. Amidoxime compounds as chelating agents in semiconductor processes
US20090137191A1 (en) * 2007-10-29 2009-05-28 Wai Mun Lee Copper cmp polishing pad cleaning composition comprising of amidoxime compounds
US8802609B2 (en) * 2007-10-29 2014-08-12 Ekc Technology Inc Nitrile and amidoxime compounds and methods of preparation for semiconductor processing
US20090130849A1 (en) * 2007-10-29 2009-05-21 Wai Mun Lee Chemical mechanical polishing and wafer cleaning composition comprising amidoxime compounds and associated method for use
KR101891363B1 (ko) * 2010-10-13 2018-08-24 엔테그리스, 아이엔씨. 티타늄 니트라이드 부식을 억제하기 위한 조성물 및 방법
JP2015512971A (ja) 2012-02-15 2015-04-30 インテグリス,インコーポレイテッド 組成物を使用したcmp後除去及び使用方法
US20160122696A1 (en) 2013-05-17 2016-05-05 Advanced Technology Materials, Inc. Compositions and methods for removing ceria particles from a surface
CN105683336A (zh) * 2013-06-06 2016-06-15 高级技术材料公司 用于选择性蚀刻氮化钛的组合物和方法
US20150104952A1 (en) 2013-10-11 2015-04-16 Ekc Technology, Inc. Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper
WO2016069576A1 (en) 2014-10-31 2016-05-06 Entegris, Inc. Non-amine post-cmp compositions and method of use
TWI726859B (zh) 2015-01-05 2021-05-11 美商恩特葛瑞斯股份有限公司 後化學機械拋光配方及使用之方法
TWI735732B (zh) * 2017-01-17 2021-08-11 美商恩特葛瑞斯股份有限公司 高階節點製程後端處理之蝕刻後殘留物去除

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005260213A (ja) * 2004-02-09 2005-09-22 Mitsubishi Chemicals Corp 半導体デバイス用基板洗浄液及び洗浄方法
US20110094536A1 (en) * 2009-10-24 2011-04-28 Wai Mun Lee Troika Acid Semiconductor Cleaning Compositions and Methods of Use
JP2014170927A (ja) * 2013-02-06 2014-09-18 Mitsubishi Chemicals Corp 半導体デバイス用基板洗浄液及び半導体デバイス用基板の洗浄方法
US20180204736A1 (en) * 2017-01-18 2018-07-19 Entegris, Inc. Compositions and methods for removing ceria particles from a surface

Also Published As

Publication number Publication date
TW202016277A (zh) 2020-05-01
TWI754163B (zh) 2022-02-01
CN112771144A (zh) 2021-05-07
US11085011B2 (en) 2021-08-10
KR20210038690A (ko) 2021-04-07
WO2020046539A1 (en) 2020-03-05
JP2021536669A (ja) 2021-12-27
JP7212764B2 (ja) 2023-01-25
US20200071642A1 (en) 2020-03-05

Similar Documents

Publication Publication Date Title
KR102522365B1 (ko) 세리아 입자에 대한 cmp 후 세정 조성물
JP6503102B2 (ja) 窒化チタンハードマスク及びエッチ残留物除去
KR102405063B1 (ko) 텅스텐 및 코발트 상용성을 갖는 에치후 잔류물을 제거하기 위한 수성 및 반-수성 세정제
JP7443300B2 (ja) セリア粒子を表面から除去するための組成物及び方法
KR102545630B1 (ko) 화학 기계적 연마 후 세정 조성물
KR102659845B1 (ko) 세리아 제거 조성물
KR102531512B1 (ko) Cmp 후 세정 조성물
CN117295811A (zh) 清洁组合物

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant