JP2015512971A - 組成物を使用したcmp後除去及び使用方法 - Google Patents

組成物を使用したcmp後除去及び使用方法 Download PDF

Info

Publication number
JP2015512971A
JP2015512971A JP2014557813A JP2014557813A JP2015512971A JP 2015512971 A JP2015512971 A JP 2015512971A JP 2014557813 A JP2014557813 A JP 2014557813A JP 2014557813 A JP2014557813 A JP 2014557813A JP 2015512971 A JP2015512971 A JP 2015512971A
Authority
JP
Japan
Prior art keywords
acid
composition
ether
glycol
ammonium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2014557813A
Other languages
English (en)
Inventor
リウ,ジュン
エー. バーンズ,ジェフリー
エー. バーンズ,ジェフリー
アイ. クーパー,エマニュエル
アイ. クーパー,エマニュエル
サン,ライシェン
トーマス,エリザベス
チャン,ジェイソン
Original Assignee
インテグリス,インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by インテグリス,インコーポレイテッド filed Critical インテグリス,インコーポレイテッド
Publication of JP2015512971A publication Critical patent/JP2015512971A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/02Anionic compounds
    • C11D1/12Sulfonic acids or sulfuric acid esters; Salts thereof
    • C11D1/22Sulfonic acids or sulfuric acid esters; Salts thereof derived from aromatic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/38Cationic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/66Non-ionic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/66Non-ionic compounds
    • C11D1/72Ethers of polyoxyalkylene glycols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/044Hydroxides or bases
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2003Alcohols; Phenols
    • C11D3/2041Dihydric alcohols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2068Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • C11D3/2079Monocarboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • C11D3/2082Polycarboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/34Organic compounds containing sulfur
    • C11D3/3409Alkyl -, alkenyl -, cycloalkyl - or terpene sulfates or sulfonates
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3942Inorganic per-compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/06Hydroxides
    • C11D2111/22

Abstract

【課題】 自身上に化学機械研磨(CMP)後の残渣及び汚染物質を有するマイクロ電子デバイスから前記残渣及び汚染物質を洗浄するアミン不含組成物及びプロセスを提供する。【解決手段】 アミン不含組成物は少なくとも1つの酸化剤、少なくとも1つの錯化剤、少なくとも1つの塩基性化合物、及び水を含み、約2.5〜約11.5の範囲のpHを有することが好ましい。組成物は、低k誘電体材料又は銅相互接続材料を損なわずに、マイクロ電子デバイスの表面からCMP後の残渣及び汚染物質材料を非常に効率的に洗浄することを達成する。【選択図】 なし

Description

(関連出願の相互参照)
[0001] 本出願は、Jun Liu、jeffrey Barners、Emanuel I. Cooper、Laisheng Sun、Steven Medd、Jieh-Hwa Shyu、Luch Dai及びZachary Wanの、「Improved Post-Chemical Mechanical Polishing Formulations and Methods of Using Same」と題した2012年2月15日出願の米国仮特許出願第61/599,162号、Jun Liu、Jeffrey A. Barnes、Laisheng Sun及びElizabeth Thomasの、「Low pH Post-CMP Residue Removal Composition and Method of Use」と題した2012年5月24日出願の米国仮特許出願第61/651,287号、Jun Liu、Jeffrey A. Barnes、Laisheng Sun及びElizabeth Thomasの、「Low pH Post-CMP Residue Removal Composition and Method of Use」と題した2012年6月7日出願の米国仮特許出願第61/656,992号、及びJun Liu、Jeffrey A. Barnes、Emanuel I. Cooper、Laisheng Sun、Elizabeth Thomas及びJason Changの、「Post-CMP Removal Using Compositions Comprising Surfactant」と題した2012年6月18日出願の米国仮特許出願第61/661,160号に対する優先権を主張し、上記各出願は参照により全体が本明細書に組み込まれる。
[0002] 本発明は、自身上に残渣及び/又は汚染物質を有するマイクロ電子デバイスからそれらを実質的かつ効率的に洗浄するアミン不含組成物に関する。
[0003] 銅の方が伝導性が高く、それは相互接続性能の大幅な改善につながるので、集積回路(IC)製造業者が先進のマイクロ電子機器用途にはアルミニウム及びアルミニウム合金を銅に代替していることは周知である。また、銅をベースとした相互接続部はアルミニウムより耐エレクトロマイグレーション性に優れ、それにより相互接続の信頼性が改善される。それはそれとして、銅を導入するには、特定の難問に直面する。例えば、二酸化珪素(SiO)及び他の誘電体材料に対する銅(Cu)の接着性は、一般に不良である。接着不良の結果、製造プロセス中にCuが隣接する膜から層間剥離してしまう。また、Cuイオンは電気的バイアスでSiO中に拡散し、誘電体中のCu濃度が非常に低くても、Cu線間の誘電体漏電が増加する。また、能動デバイスが位置する下地のシリコン中に銅が拡散すると、デバイスの性能が低下することがある。
[0002] 二酸化珪素(SiO)及び他の金属間誘電体(IMD)/レベル間誘電体(ILD)中の銅の高い拡散性という問題は、大きな懸念のままである。この問題に対応するために、銅を封入し、銅原子の拡散を阻止する適切なバリア層で、集積回路基板を被覆しなければならない。導電性材料と非導電性材料の両方を含むバリア層は通常、パターン化された誘電体層上に、銅を付着させる前に形成される。バリア層の通常の材料にはタンタル(Ta)、窒化タンタル(TaN)、タングステン(W)、チタン(Ti)、窒化チタン(TiN)、ルテニウム(Ru)、コバルト(Co)、モリブデン(Mo)、レニウム(Rh)、及びその合金が含まれる。
[0003] ディープサブミクロンの半導体を製造する際に、銅のダマシンプロセスを使用して、低k誘電体層中に導電性の銅線及びバイアを形成する。ダマシンプロセスの1つの重要なステップは、誘電体層表面上の余分な銅を除去する銅の化学機械研磨(CMP)である。CMPプロセスは、CMPスラリーが存在する状態で制御された圧力及び温度の下、湿潤研磨パッドに対して半導体デバイスの薄く平坦な基板を保持し、回転することを含む。スラリーは、特定のCMPプロセス及び要件に対して適宜、研磨材料及び化学添加剤を含有する。CMPプロセスの後、研磨スラリー、スラリーに添加された化学物質、及び研磨スラリーの反応副産物からの粒子で構成された汚染物質が、ウェーハ表面上に残る。汚染物質はすべて、マイクロ電子デバイスの製造プロセスの別のステップの前に、デバイス信頼性の低下及びデバイスへの欠陥の導入を回避するために除去しなければならない。これらの汚染物質の粒子は0.3μmより小さいことが多い。
[0004] これに関する1つの特定の問題は、CMP処理後にマイクロ電子デバイス基板上に残される残渣である。このような残渣には、CMP材料及びベンゾトリアゾール(BTA)などの腐食防止剤化合物がある。除去しない場合、これらの残渣は銅線に損傷を引き起こすか、又は銅の金属被覆を大幅に粗くし、さらにCMP後にデバイス基板上に適用される層の接着不良を引き起こすことがある。銅の金属被覆が極度に粗くなることが特に問題になる。何故なら、過度に粗い銅は、製品のマイクロ電子デバイスの電気的性能を不良にすることがあるからである。そのために、CMP後の残渣及び汚染物質を除去するCMP後除去用組成物が開発されている。
[0005] 従来の洗浄技術は、汚染物質を除去するために、メガソニックス、ジェッティング又はブラシ掛けと組み合わせて、ウェーハ表面上で水酸化アンモニウム系のアルカリ性溶液などの洗浄溶液の流体流を使用する。上記洗浄溶液は、ウェーハ表面を攻撃するか、又はウェーハから剥がれた汚染物質を除去する前に汚染物質と反応することによって汚染物質を除去する。不都合なことに、汚染物質には、洗浄溶液中の化学成分に化学的に不活性なものもある。さらに、当技術分野で知られているアミン含有洗浄溶液は臭いがして、工場内にアミン蒸気を放出し、これがフォトレジストの作用を阻害することがある。
[0006] マイクロ電子デバイスをCMP後に洗浄し、CMP残渣及び汚染物質を上記デバイスの表面から実質的に欠陥がなく実質的に引っ掻き傷がない状態で除去するために、改良されたアミン不含組成物を提供すると、当技術分野で大きな前進となる。水性組成物は、露出した低k誘電体材料及び相互接続部及びバイア材料、例えば、銅及び/又はアルミニウム含有材料を損傷せずに、デバイスの表面からの残渣及び汚染物質の実質的な除去を実現する。
[0007] 本発明は、一般的に、自身上に残渣及び汚染物質を有するマイクロ電子デバイスから上記残渣及び/又は汚染物質を洗浄するアミン不含組成物及びプロセスに関する。一態様では、本明細書に記載する組成物は、少なくとも1つの酸化剤、少なくとも1つの錯化剤、少なくとも1つの塩基性化合物、少なくとも1つの緩衝剤、及び水を含むか、それらで構成されるか、又は基本的にそれらで構成され、組成物は化学機械研磨プロセスに通常使用されるアミン、第四塩基、フッ化物含有供給源、研磨材を実質的に有しない。任意選択で、洗浄用組成物はさらに少なくとも1つの溶媒和物、少なくとも1つの界面活性剤、又はその両方を含むことができる。残渣はCMP後の残渣を含むことがある。
[0008] 別の態様では、本発明は、1つ又は複数の容器内に以下のアミン不含組成物を形成する試薬のうち1つ又は複数を含むキットに関し、該1つ又は複数の試薬は、少なくとも1つの酸化剤、少なくとも1つの錯化剤、少なくとも1つの塩基性化合物、少なくとも1つの緩衝剤、及び水からなる群から選択され、組成物は化学機械研磨プロセスに通常使用されるアミン、第四塩基、フッ化物含有供給源、及び研磨材を実質的に有せず、キットは、自身上にCMP後の残渣及び汚染物質を有するマイクロ電子デバイスから上記残渣及び汚染物質を洗浄するのに適切なアミン不含組成物を形成するようになっている。少なくとも1つの酸化剤は、洗浄装置にて、又はその上流でアミン不含組成物に添加することができる。
[0009] さらに別の態様では、本発明は、自身上に残渣及び汚染物質を有するマイクロ電子デバイスから上記残渣及び汚染物質を洗浄する方法に関し、該方法は、上記残渣及び汚染物質をマイクロ電子デバイスから少なくとも部分的に洗浄するのに十分な時間だけ、マイクロ電子デバイスをアミン不含組成物に接触させることを含み、アミン不含組成物は少なくとも1つの酸化剤、少なくとも1つの錯化剤、少なくとも1つの塩基性化合物、少なくとも1つの緩衝剤、及び水を含み、組成物は化学機械研磨プロセスに通常使用されるアミン、第四塩基、フッ化物含有供給源、及び研磨材を実質的に有しない。残渣はCMP後の残渣を含むことがある。
[0010] 別の態様では、本発明は、CMP後の残渣及び汚染物質を有するマイクロ電子デバイスからそれらを除去する方法に関し、該方法は、
マイクロ電子デバイスをCMPスラリーで研磨するステップと、
少なくとも1つの酸化剤、少なくとも1つの錯化剤、少なくとも1つの塩基性化合物、少なくとも1つの緩衝剤、及び水を含むアミン不含組成物にマイクロ電子デバイスを接触させるステップとを含み、組成物は、マイクロ電子デバイスからCMP後の残渣及び汚染物質を実質的に除去するのに十分な時間だけ、化学機械研磨プロセスに通常使用されるアミン、第四塩基、フッ化物含有供給源、及び研磨材を実質的に有しない。
[0011] さらに別の態様では、本発明は、自身上に残渣及び汚染物質を有するマイクロ電子デバイスを洗浄する方法に関し、該方法は、自身上に残渣及び汚染物質を有するマイクロ電子デバイスからそれらを除去するのに十分な時間、マイクロ電子デバイスをアミン不含組成物に接触させるステップを含み、上記組成物は少なくとも1つの酸化剤、少なくとも1つの錯化剤、少なくとも1つの塩基性化合物、少なくとも1つの緩衝剤、及び水を含み、組成物は、化学機械研磨プロセスで通常使用されるアミン、第四塩基、フッ化物含有供給源、及び研磨材を実質的に有しない。
[0012] さらに別の態様では、本発明は自身上にCMP後の残渣及び汚染物質を有するマイクロ電子デバイスを洗浄方法に関し、該方法は、自身上にCMP後の残渣及び汚染物質を有するマイクロ電子デバイスからそれらを除去するのに十分な時間、マイクロ電子デバイスをアミン不含組成物に接触させるステップを含み、上記組成物は少なくとも1つの酸化剤、少なくとも1つの錯化剤、少なくとも1つの塩基性化合物、少なくとも1つの緩衝剤、及び水を含み、組成物は化学機械研磨プロセスで通常使用されるアミン、第四塩基、フッ化物含有供給源、及び研磨材を実質的に有しない。
[0013] 別の態様では、本発明は、マイクロ電子デバイスの製造方法に関し、該方法は、自身上にCMP後の残渣及び汚染物質を有するマイクロ電子デバイスから上記残渣及び汚染物質を少なくとも部分的に洗浄するのに十分な時間、マイクロ電子デバイスを本明細書に記載するアミン不含洗浄用組成物に接触させるステップを含む。
[0014] 本発明のさらに別の態様は、本明細書で述べた方法及び/又は組成物を使用して、自身上にCMP後の残渣及び汚染物質を有するマイクロ電子デバイスから上記残渣及び汚染物質を洗浄するステップを含む本発明の方法を使用して作成された改良型のマイクロ電子デバイス、及びそれを組み込んだ製品、及び任意選択でマイクロ電子デバイスを製品に組み込むことに関する。
[0015] 本発明の別の態様は、アミン不含洗浄用組成物、マイクロ電子デバイスウェーハ、及びCMP後の残渣及び汚染物質を含む製造物に関し、アミン不含洗浄用組成物は、少なくとも1つの酸化剤、少なくとも1つの錯化剤、少なくとも1つの塩基性化合物、少なくとも1つの緩衝剤、及び水を含み、組成物は、化学機械研磨プロセスに通常使用されるアミン、第四塩基、フッ化物含有供給源、及び研磨剤を実質的に有しない。
[0016] 本発明の他の態様、特徴及び利点は、以降の開示及び特許請求の範囲からさらに十分に明らかになる。
[0017] 本発明は、一般的に、自身上にCMP後の残渣及び汚染物質を有するマイクロ電子デバイスから上記残渣及び汚染物質を洗浄するアミン不含組成物に関する。洗浄用組成物は露出した材料と相溶性である一方、マイクロ電子デバイスの表面からCMP後の残渣及び汚染物質を実質的に除去する。
[0018] 参照を容易にするために、「マイクロ電子デバイス」は、マイクロ電子機器、集積回路、又はコンピュータチップ用途に使用するように製造された半導体基板、フラットパネルディスプレイ、相転移メモリデバイス、ソーラーパネル及び他の製品、例えば、ソーラー基板、光電装置、及び微小電子機械システム(MEMS)に対応する。「マイクロ電子デバイス」という用語は、いかなる意味でも限定するものではなく、最終的にマイクロ電子デバイス又はマイクロ電子アセンブリになる任意の基板を含むことを理解されたい。
[0019] 本明細書で使用する「残渣」は、マイクロ電子デバイスの製造中に発生する粒子に対応し、これはプラズマエッチング、アッシング、化学機械研磨、ウェットエッチング、及びそれらの組み合わせを含むが、これらに限定されない。
[0020] 本明細書で使用する「汚染物質」は、例えばベンゾトリアゾール(BTA)などのCMPスラリー中に存在する化学物質、研磨スラリーの反応副産物、ウェットエッチング組成物中に存在する化学物質、ウェットエッチング組成物の反応副産物、及びCMPプロセス、ウェットエッチング、プラズマエッチング又はプラズマアッシングプロセスの副産物である任意の他の物質に対応する。
[0021] 本明細書で使用する「CMP後の残渣」は、研磨スラリーからの粒子、例えば、シリカ含有粒子、スラリー中に存在する化学物質、研磨スラリーの反応副産物、炭素が豊富な粒子、研磨パッドの粒子、ブラシで分離した粒子、構成粒子の機器材料、銅、酸化銅、有機残渣、及びCMPプロセスの副産物である任意の他の物質に対応する。
[0022] 本明細書に定義するように、「低k誘電体材料」は、層状マイクロ電子デバイスの誘電体材料として使用される任意の材料に対応し、材料は約3.5未満の比誘電率を有する。低k誘電体材料は極性が低い材料を含むことが好ましく、例えば、シリコン含有有機ポリマー、シリコン含有ハイブリッド有機/無機材料、有機珪酸塩ガラス(OSG)、TEOS、フッ化珪酸塩ガラス(FSG)、二酸化珪素、炭素ドープ酸化物(CDO)ガラス、Novellus Systems, Inc.のCORAL(商標)、Applied Materials, Inc.のBLACK DIAMOND(商標)、Dow Corning, Inc.のSiLK(商標)、及びNanopore, Inc.のNANOGLASS(商標)などである。低k誘電体材料は密度及び多孔率が変動してもよいことを理解されたい。
[0023] 本明細書に定義するように、「クリーンなアミン不含組成物」は、自身上にCMP後及び/又は汚染物質を有するマイクロ電子デバイスと接触する直前のアミン不含組成物に対応する。
[0024] 本明細書に定義するように、「錯化剤」という用語は、当業者が、錯化剤、キレート剤及び/又は金属イオン封鎖剤であると理解する化合物を含む。錯化剤は、本発明の組成物を使用して除去される金属原子及び/又は金属イオンと化学的に結合するか、又はそれを物理的に保持する。
[0025] 本明細書に定義するように、「バリア材料」という用語は、例えば銅の相互接続部などの金属線を封止して、誘電体材料への例えば銅などの上記金属の拡散を最小化するために当技術分野で使用される任意の材料に対応する。従来のバリア層材料はタンタル又はチタン、その窒化物及び珪化物、及びその合金を含む。直接めっき可能な拡散バリアとして働くことができる候補材料には、ルテニウム(Ru)、コバルト(Co)、タングステン(W)、モリブデン(Mo)、レニウム(Rh)、及びその合金が含まれる。
[0026] 本明細書で使用するように、「約」という用語は、表示された値の±5%に対応するものとする。
[0027] 「実質的に有しない」とは、本明細書では、2wt%未満、好ましくは1wt%未満、より好ましくは0.5wt%未満、より好ましくは0.1wt%未満、最も好ましくは0wt%であると定義される。
[0028] 本明細書に定義するように、「エッチング後の残渣」という用語は、気相プラズマエッチングプロセス、例えばBEOLデュアルダマシンプロセスの後に残る物質に対応する。エッチング後の残渣は、有機質、有機金属、有機珪質、又は無機質の性質であってもよく、例えば、シリコン含有物質、炭素系有機物質、及び酸素及びフッ素を含むエッチングガスの残渣であってよいが、これらに限定されない。本明細書で使用する「アッシュ後の残渣」という用語は、硬化したフォトレジスト及び/又は底部反射防止コーティング(BARC)材料を除去するための酸化又は還元プラズマアッシング後に残る物質に対応する。アッシュ後の残渣は有機質、有機金属、有機珪質、又は無機質の性質でよい。
[0029] 組成物が水性である場合、水酸化アンモニウム(NHOH)をアンモニア(NH)と区別なく使用できることが、当業者には理解される。
[0030] 本発明では、「アミン」という用語は少なくとも1つの第一、第二、又は第三アミンと定義されるが、但し、(i)アミド基、(ii)カルボン酸基及びアミン基(例えばアミノ酸)を含む種、(iii)アンモニア、(iv)アミン基を含む界面活性剤、及び(v)アミン−N−酸化物は、本発明による「アミン」とは見なされない。アミンの式はNRで表すことができ、R、R及びRは相互に同じであっても、又は異なっていてもよく、水素、直鎖状又は分岐状C−Cアルキル(例えば、メチル、エチル、プロピル、ブチル、ペンチル、ヘキシル)、C−C10アリル(例えばベンジル)、直鎖状又は分岐状C−Cアルカノール(例えば、メタノール、エタノール、プロパノール、ブタノール、ペンタノール、ヘキサノール)、及びそれらの組み合わせからなる群から選択される。
[0031] 自身上に上記残渣及び汚染物質を有するマイクロ電子デバイスから上記残渣及び汚染物質を洗浄する場合、本明細書で使用する「適合性」とは、マイクロ電子デバイスから上記残渣/汚染物質を少なくとも部分的に除去することに相当する。洗浄効率は、マイクロ電子デバイス上の対象物の減少によって等級付けられる。例えば、原子間力顕微鏡を使用して洗浄前及び洗浄後分析を実施することができる。サンプル上の粒子は、ある範囲のピクセルとして登録することができる。ヒストグラム(例えばSigma Scan Pro)を適用して、特定の強度、例えば231〜235のピクセルをフィルタリングし、粒子の数をカウントすることができる。粒子の減少は下式を使用して計算することができる。
洗浄効率={(洗浄前の対象物の数−洗浄後の対象物の数)/洗浄前の対象物の数}×100
洗浄効率を判定する方法は、例示のためにのみ提供され、これに限定されるものではないことに留意されたい。あるいは、洗浄効率は粒子状物質によって覆われた総表面のパーセンテージと見なすことができる。例えば、z面の走査を実行して、特定の高さ閾値より上の該当するトポグラフィ区域を識別し、次に上記該当区域に覆われた表面全体の面積を計算するように、AFMをプログラムすることができる。洗浄後に上記該当区域によって覆われる区域が小さいほど、洗浄用組成物が効果的であることが当業者には容易に理解されよう。本明細書に記載する組成物を使用して、マイクロ電子デバイスから少なくとも75%の残渣/汚染物質が除去されることが好ましく、より好ましくは少なくとも90%、より好ましくは少なくとも95%、最も好ましくは少なくとも99%の残渣/汚染物質が除去されることが好ましい。
[0032] 本発明の組成物は、以降でさらに詳細に述べるように、多種多様な個別の配合で実現することができる。
[0033] このような組成物ではすべて、組成物の個々の成分をゼロの下限を含む重量百分率の範囲に関して検討されるが、このような成分は組成物の様々な個々の実施形態で存在するか存在しないことがあり、このような成分が存在する場合、このような成分を使用する組成物の総重量に基づき、わずか0.001重量パーセントの濃度で存在し得ることが理解されよう。
[0034] 第1の態様では、本発明は、CMP後の残渣及び汚染物質を洗浄するアミン不含組成物に関し、上記第1の組成物は少なくとも1つの酸化剤、少なくとも1つの錯化剤、少なくとも1つの塩基性化合物、少なくとも1つの緩衝剤、及び水を含む。任意選択で、第1の組成物はさらに少なくとも1つの溶媒和物、少なくとも1つの界面活性剤、又はそれらの組み合わせを含むことができる。第1の組成物の成分は、組成物の総重量に基づき、以下の範囲の重量パーセントで存在する。
Figure 2015512971

希釈後、当業者には容易に理解されるように、濃縮された第1の組成物中の成分の重量パーセント値は希釈因数のファクタとして変化する。
[0035] 一実施形態では、第1の組成物は少なくとも1つの酸化剤、少なくとも1つの錯化剤、少なくとも1つの塩基性化合物、少なくとも1つの緩衝剤、及び水を含むか、それらで構成されるか、又は基本的にそれらで構成される。別の実施形態では、第1の組成物は少なくとも1つの酸化剤、少なくとも1つの錯化剤、少なくとも1つの塩基性化合物、少なくとも1つの緩衝剤、少なくとも1つの溶媒和物、及び水を含むか、それらで構成されるか、又は基本的にそれらで構成される。さらに別の実施形態では、第1の組成物は少なくとも1つの酸化剤、少なくとも1つの錯化剤、少なくとも1つの塩基性化合物、少なくとも1つの界面活性剤、少なくとも1つの緩衝剤、及び水を含むか、それらで構成されるか、又は基本的にそれらで構成される。さらに別の実施形態では、第1の組成物は少なくとも1つの酸化剤、少なくとも1つの錯化剤、少なくとも1つの塩基性化合物、少なくとも1つの界面活性剤、少なくとも1つの溶媒和物、少なくとも1つの緩衝剤、及び水を含むか、それらで構成されるか、又は基本的にそれらで構成される。水はイオン除去することが好ましい。少なくとも1つの酸化剤を洗浄装置のすぐ上流に、又は洗浄装置に添加できることを理解されたい。
[0036] 本発明の広範囲の実施において、第1の組成物のpH範囲は約2.5〜約12の範囲である。洗浄される表面の性質に応じて、例えば約2.5〜7の範囲にあるわずかに酸性の第1の組成物が好ましいこともあり、例えば7〜約12の範囲にあるわずかに塩基性の第1の組成物が好ましいこともある。
[0037] 本明細書に記載する組成物は、エッチング後の残渣除去、アッシュ後の残渣除去、表面の準備、めっき後洗浄、CMP後の残渣除去、銅シードのエッチング/洗浄、シリコンバイア貫通(TSV)洗浄、MEMS洗浄、及びコバルト及びコバルト合金の表面洗浄を含むが、これらに限定されない用途に有用性を有することがある。
[0038] 想定される塩基性化合物にはアルカリ金属水酸化物、水酸化アンモニウム、及びそれらの組み合わせが含まれ、アルカリ金属水酸化物にはKOH、CsOH、及びそれらの組み合わせが含まれる。第1の組成物の塩基性化合物はKOHを含むことが好ましい。
[0039] 本明細書で想定される錯化剤には、その塩の少なくとも1つのCOOH基又はカルボキシレート基を含む有機酸が含まれ、それには乳酸、マレイン酸、アスコルビン酸、リンゴ酸、クエン酸、安息香酸、フマル酸、コハク酸、シュウ酸、マロン酸、マンデル酸、無水マレイン酸、フタル酸、アスパラギン酸、グルタミン酸、グルタル酸、グリコール酸、グリオキシル酸、フェニル酢酸、キナ酸、ピロメリト酸、酒石酸、テレフタル酸、トリメリト酸、トリメシン酸、グルコン酸、グリセリン酸、蟻酸、酢酸、プロピオン酸、アクリル酸、アジピン酸、イタコン酸、グルクロン酸、グリシン、リシン、β−アラニン、ヒスチジン、フェニルアラニン、システイン、ロイシン、セリン、8−ヒドロキシキノリン、2,4−ペンタンジオン、ベンゼテトラカルボン酸、ピルビン酸、タンニン酸、スルファニル酸、2−ヒドロキシホスホノカルボン酸(HPAA)、ピロカテコール、ピロガロール、没食子酸、タンニン酸、エチレンジアミンテトラ酢酸(EDTA)、ジエチレントリアミンペンタ酢酸(DTPA)、(1,2−シクロヘキシレンジニトリロ)四酢酸(CDTA)、イミノ二酢酸、2−ホスホノブタン−1,2,4−トリカルボン酸(PBTCA)、他の脂肪族及び芳香族カルボン酸、その塩、さらに以上の酸の組み合わせが含まれるが、これらに限定されない。代替物又は追加物として、想定される他の錯化剤にはホスホン酸及びその誘導体(例えばヒドロキシエチリデンジホスホン酸(HEDP)、1−ヒドロキシエタン−1,1−ジホスホン酸、ニトリロ−トリス(メチレンホスホン酸)、サリチル酸、p−トルエンスルホン酸、スルホサリチル酸及びその誘導体、及びその任意の組み合わせが含まれる。第1の組成物の錯化剤は、クエン酸、HEDP、スルホサリチル酸、及びその任意の組み合わせを含むことが好ましい。
[0040] 想定される酸化剤にはオゾン、硝酸、気泡、シクロヘキシルアミノスルホン酸、過酸化水素(H)、FeCl(水和物及び非水和物の両方)、オキソン(2KHSO・KHSO・KSO)、アンモニウム多原子塩(例えばペルオキソ一硫酸アンモニウム、亜塩素酸アンモニウム(NHClO)、塩素酸アンモニウム(NHClO)、ヨウ素酸アンモニウム(NHIO)、過ホウ酸アンモニウム(NHBO)、過塩素酸アンモニウム(NHClO)、過ヨウ素酸アンモニウム(NHIO)、過硫酸アンモニウム((NH)、次亜塩素酸アンモニウム(NHClO))、過ホウ酸ナトリウム(NaBO)、ナトリウム多原子塩(例えば過硫酸ナトリウム(Na)、次亜塩素酸ナトリウム(NaClO))、カリウム多原子塩(例えばヨウ素酸カリウム(KIO)、過マンガン酸カリウム(KMnO)、過硫酸カリウム、過硫酸カリウム(K)、次亜塩素酸カリウム(KClO))、テトラメチルアンモニウム多原子塩(例えば塩化テトラメチルアンモニウム((N(CH)ClO)、塩化テトラメチルアンモニウム((N(CH)ClO)、ヨウ素酸テトラメチルアンモニウム((N(CH)IO)、過ホウ酸テトラメチルアンモニウム((N(CH)BO)、過塩素酸テトラメチルアンモニウム((N(CH)ClO)、過ヨウ素酸テトラメチルアンモニウム((N(CH)IO)、過硫酸テトラメチルアンモニウム((N(CH)S))、テトラブチルアンモニウム多原子塩(例えばペルオキソ一硫酸テトラブチルアンモニウム)、ペルオキソ硫酸、硝酸第二鉄(Fe(NO)、アミン−N−オキシド(例えばN−メチルモルフォリン−N−オキシド(NMMO));トリメチルアミン−N−オキシド:トリエチルアミン−N−オキシド;ピリジン−N−オキシド;N−エチルモルフォリン−N−オキシド;N−メチルピロリジン−N−オキシド;N−エチルピロリジン−N−オキシド)過酸化尿素((CO(NH)H)、過酢酸(CH(CO)OOH)、過ヨウ素酸、重クロム酸カリウム、塩素酸カリウム、2−ニトロフェノール、1,4−ベンゾキノン、ペルオキシ安息香酸、ペルオキシフタル酸塩、酸化バナジウム(例えばVO、V13)、メタバナジウム酸アンモニウム、タングステン酸アンモニウム、硝酸ナトリウム、硝酸カリウム、硝酸アンモニウム、硝酸ストロンチウム、硫酸、及びそれらの組み合わせが含まれる。第1の組成物の酸化剤は過酸化水素、NMMO、尿素過酸化水素、及びそれらの組み合わせを含むことが好ましい。
[0041] 緩衝剤は、希釈及び製造中に第1の組成物を安定させ、さらに当業者に容易に判定されるように、適切な組成物のpHを達成するために添加される。想定される緩衝剤にはリン酸二カリウム、炭酸カリウム、ホウ酸、リシン、プロリン、β−アラニン、エチレンジアミン四酢酸(EDTA)、ジエチレントリアミン五酢酸(DTPA)、ジメチルグリオキシム、第二リン酸塩(例えば(NH)HPO、KHPO)、第三リン酸塩(例えば(NHPO、KPO)、第二及び第三リン酸塩の混合物(例えばKHPO/KPO)、第二及び第三炭酸塩の混合物(例えばKCO/KHCO)、ヒドロキシエチリデンジホスホン酸(HEDP)、及びそれらの組み合わせが含まれるが、これらに限定されない。好ましい緩衝剤には第二リン酸塩(例えば(NH)HPO、KHPO)、第三リン酸塩(例えば(NHPO、KPO)、第二及び第三リン酸塩の混合物(例えばKHPO/KPO)、HEDP、及びそれらの組み合わせが含まれる。
[0042] 存在する場合、想定される溶媒和物には2−ピロリジノン、1−(2−ヒドロキシエチル)−2−ピロリジノン、グリセロール、1,4−ブタンジオール、テトラメチレンスルホン(スルホラン)、ジメチルスルホン、エチレングリコール、プロピレングリコール、ジプロピレングリコール、ジエチレングリコールモノメチルエーテル、トリエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、トリエチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノブチルエーテル、ジエチレングリコールモノブチルエーテル(すなわち、ブチルカルビトール)、トリエチレングリコールモノブチルエーテル、エチレングリコールモノヘキシルエーテル、ジエチレングリコールモノヘキシルエーテル、エチレングリコールフェニルエーテル、プロピレングリコール、メチルエーテル、ジプロピレングリコールメチルエーテル(DPGME)、トリプロピレングリコールメチルエーテル(TPGME)、ジプロピレングリコールジメチルエーテル、ジプロピレングリコールエチルエーテル、プロピレングリコールn−プロピルエーテル、ジプロピレングリコールn−プロピルエーテル(DPGPE)、トリプロピレングリコールn−プロピルエーテル、プロピレングリコールn−ブチルエーテル、ジプロピレングリコールn−ブチルエーテル、トリプロピレングリコールn−ブチルエーテル、プロピレングリコールフェニルエーテル、及びそれらの組み合わせが含まれるが、これらに限定されない。存在する場合、第1の組成物の溶媒和物はスルホラン(テトラメチレンスルホン)、1−(2−ヒドロキシエチル)−2−ピロリジノン、及びそれらの組み合わせを含むことが好ましい。
[0043] 存在する場合、本明細書に記載する組成物に使用する界面活性剤には両性塩、アニオン系界面活性剤、カチオン系界面活性剤、両性イオン系界面活性剤、ノンイオン系界面活性剤、及びそれらの組み合わせが含まれるが、これらに限定されず、これには、デシルホスホン酸、ドデシルホスホン酸(DDPA)、テトラデシルホスホン酸、ヘキサデシルホスホン酸、ビス(2−エチルヘキシル)リン酸、オクタデシルホスホン酸、過フルオロヘプタン酸、プレフルオロデカン酸、トリフルオロメタンスルホン酸、ホスホノ酢酸、ドデシルベンゼンスルホン酸(DDBSA)、他のRベンゼンスルホン酸又はそれらの塩(Rが直鎖状又は分岐状C−C18アルキル基の場合)、ドデセニルコハク酸、ジオクタデシルリン酸水素塩、オクタデシルリン酸二水素塩、ドデシルアミン、ドデセニルコハク酸モノジエタノールアミド、ラウリン酸、パルミチン酸、オレイン酸、ビャクシン酸、12ヒドロキシステアリン酸、オクタデシルホスホン酸(ODPA)、ドデシル燐酸塩が含まれるが、これらに限定されない。想定されるノンイオン系界面活性剤には、ポリオキシエチレンラウリルエーテル(Emalmin NL−100(Sanyo)、Brij 30、Brij 98、Brij 35)、ドデセニルコハク酸モノジエタノールアミド(DSDA、Sanyo)、エチレンジアミンテトラキス(エトキシレート−ブロック−プロポキシレート)テトロール(Tetronic 90R4)、ポリエチレングリコール(例えばPEG400)、ポリプロピレングリコール、ポリエチレン又はポリプロピレングリコールエーテル、酸化エチレン及び酸化プロピレン系のブロック共重合体(Newpole PE−68(Sanyo)、Pluronic L31、Pluronic 31R1、Pluronic L61、Pluronic F−127)、ポリオキシプロピレンスクロースエーテル(SN008S、Sanyo)、t−オクチルフェノキシポリエトキシエタノール(Triton X100)、10−エトキシ−9,9−ジメチルデカン−1−アミン(TRITON(登録商標)CF−32)、ポリオキシエチレン(9)ノニルフェニルエーテル、分岐状、ポリオキシエチレン(40)、ノニルフェニルエーテル、分岐状、(例えば、IGEPAL Co 890)、ジノニルフェニルポリオキシエチレン、ノニルフェノールアルコキシレート(例えば、SURFONIC LF−41)、ポリオキシエチレンソルビトールヘキサオレエート、ポリオキシエチレンソルビトールテトラオレエート、ポリエチレングリコールソルビタンモノオレエート(Tween 80)、ソルビタンモノオレエート(Span 80)、Tween 80とSpan 80の組み合わせ、アルコールアルコキシレート(例えば、Plurafac RA−20)、アルキル−ポリグルコシド、エチルパーフルオロブチレート、1,1,3,3,5,5−ヘキサメチル−1,5−ビス[2−(5−ノルボルナン−2−イル)エチル]トリシロキサン、SIS6952.0(Siliclad、Gelest)などの単量体オクタデシルシラン誘導体、PPI−SG10 Siliclad Glide 10(Gelest)などのシロキサン変性ポリシラザン、シリコン−ポリエーテル共重合体、例えば、Silwet L−77(Setre Chemical Company)、Silwet ECO Spreader(Momentive)、及びエトキシ化フッ化界面活性剤(ZONYL(登録商標)FSO、ZONYL(登録商標)FSN−100)が含まれるが、これらに限定されない。想定されるアニオン系界面活性剤には、臭化セチルトリメチルアンモニウム(CTAB)、スルホン酸ヘプタデカンフルオロオクタン、テトラエチルアンモニウム、塩化ステアリルトリメチルアンモニウム(Econol TMS−28、Sanyo)、4−(4−ジエチルアミノフェニルアゾ)−1−(4−ニトロベンジル)臭化ピリジウム、塩化セチルピリジニウム一水和物、塩化ベンズアルコニウム、塩化ベンゼトニウム、塩化ベンジルジメチルドデシルアンモニウム、塩化ベンジルジメチルヘキサデシルアンモニウム、臭化ヘキサデシルトリメチルアンモニウム、塩化ジメチルジオクタデシルアンモニウム、塩化ドデシルトリメチルアンモニウム、ヘキサデシルトリメチルアンモニウムp−スルホン酸トルエン、臭化ヂドデシルジメチルアンモニウム、塩化ジ(水素化タロー)ジメチルアンモニウム、臭化テトラヘプチルアンモニウム、臭化テトラキス(デシル)アンモニウム、Aliquat(登録商標)336及び臭化オキシフェノニウム、塩酸グアニジン(C(NHCl)又はトリフレート塩、例えばテトラブチルアンモニウムトリフルオロメタンスルフォネート、塩化ジメチルジオクタデシルアンモニウム、臭化ジメチルジヘキサデシルアンモニウム、塩化ジ(水素化タロー)ジメチルアンモニウム(例えば、Arquad 2HT−75、Akzo Nobel)、及びポリオキシエチレン(16)タローエチルモニウムエトスルフェート(例えば、CRODAQUAT TES)が含まれるが、これらに限定されない。想定されるカチオン系界面活性剤には、ポリ(アクリル酸ナトリウム塩)、ポリアクリル酸アンモニウム(例えば、DARVAN 821A)、ナトリウムポリオキシエチレンラウリルエーテル、ナトリウム、スルホコハク酸ジヘキシル、ドデシル硫酸ナトリウム、スルホコハク酸ジオクチル塩、2−スルホコハク酸塩、2,3−ジメルカプト−1−プロパンスルホン酸塩、スルホコハク酸ジシクロヘキシルナトリウム塩、ナトリウム7−エチル−2−メチル−4−硫酸ウンデシル(Tergitol 4)、SODOSIL RM02、リン酸フッ化界面活性剤、例えば、Zonyl FSJ及びZONYL(登録商標)UR、フッ化界面活性剤、例えばNOVEC 4300、及びポリアクリレート、例えばSOKALAN CP10Sが含まれるが、これらに限定されない。両性イオン界面活性剤にはアセチレンジオール又は変性アセチレンジオール(例えば、SURFONYL(登録商標)504)、エチレンオキシドアルキルアミン(AOA−8、Sanyo)、N,N−ジメチルドデシルアミンN−オキシド、ナトリウムコカミンプロピネート(LebonApl−D、Sanyo)、3−(N,N−ジメチルミリスチルアンモニオ)プロパンスルホン酸、及び(3−(4−ヘプチル)フェニル−3−ヒドロキシプロピル)ジメチルアンモニオプロパンスルフォネートが含まれるが、これらに限定されない。第1の態様の組成物の少なくとも1つの界面活性剤はスルホン酸ドデシルベンゼン、PEG400、ZONYL FSO、Tween 80、SURFONIC LF−41、IGEPAL Co 890、DARVAN 821A、NOVEC 4300、CRODAQUAT TES、PLURONIC F−127、SOKALAN CP10S、及びそれらの組み合わせを含むことが好ましい。
[0044] 本明細書に記載する第1の組成物は、化学機械研磨プロセスで(洗浄開始前に)通常使用される研磨剤、フッ素含有供給源、アミン、第四アンモニウム塩基、及びその任意の組み合わせを実質的に有しないことが好ましい。第四アンモニウム塩基には式NROHを有する化合物が含まれ、R、R、R及びRは相互に同じ、又は異なってよく、R、R、R又はRのうち少なくとも1つが水素以外の成分でなければならないという条件で、水素、直鎖状又は分岐状C−Cアルキル(例えば、メチル、エチル、プロピル、ブチル、ペンチル、及びヘキシル)、及び置換又は非置換C−C10アリル、例えばベンジルからなる群から選択される。
[0045] 第1の組成物はさらに、高分子電解質(例えば、ポリアクリル酸、ポリ(アクリル−コ−マレイン酸)、1,2,4−トリアゾール及びその誘導体)、銅イオン供給源、及びそれらの組み合わせなどの洗浄添加剤を含むことができる。
[0046] 好ましい実施形態では、第1の組成物は、尿素過酸化水素、クエン酸、KOH、少なくとも1つの界面活性剤、少なくとも1つの緩衝剤、及び水を含むか、それらで構成されるか、又は基本的にそれらで構成される。さらに別の好ましい実施形態では、第1の組成物は尿素過酸化水素、クエン酸、KOH、少なくとも1つの界面活性剤、少なくとも1つの緩衝剤、スルホラン、及び水を含むか、それらで構成されるか、又は基本的にそれらで構成される。別の好ましい実施形態では、第1の組成物は、(i)過酸化水素、尿素過酸化水素、NMMO、及びそれらの組み合わせからなる群から選択される種を含む少なくとも1つの酸化剤、(ii)KOHを含む少なくとも1つの塩基性化合物、(iii)スルホラン、1−(2−ヒドロキシエチル)−2−ピロリジノン、及びそれらの組み合わせからなる群から選択される少なくとも1つの溶媒和物、(iv)第二リン酸塩を含む少なくとも1つの緩衝剤、(v)5−スルホサリチル酸及びその誘導体、HEDP、及びそれらの組み合わせからなる群から選択される種を含む少なくとも1つの錯化剤、(iv)及び水を含むか、それらで構成されるか、又は基本的にそれらで構成され、組成物は化学機械研磨プロセスに通常使用されるアミン、第四塩基、フッ素含有供給源、及び研磨剤を実質的に有せず、pHは約7〜約12の範囲である。第1の組成物は、5Åmin−1未満の銅エッチング速度、及び20%を超える、より好ましくは30%を超える、最も好ましくは40%を超えるBTA除去効率を有することが好ましい。
[0047] 第1の態様の一実施形態では、洗浄溶液として使用するために希釈することができる濃縮された第1の組成物が提供される。濃縮された組成物、すなわち、「濃縮物」によって、使用者、例えばCMPプロセスエンジニアは、使用時点で濃縮物を所望の濃度及び酸性度まで希釈できるので有利である。濃縮された第1の組成物の希釈は、約1:1〜約2500:1の範囲でよく、第1の組成物はツールにて、又はその直前で溶媒、例えば脱イオン水で希釈される。
[0048] 本明細書に記載する第1の組成物の重要な特徴は、組成物中に少量の非水性成分(水以外の成分)が存在することであり、これは重量で約20%未満であることが多い。これは経済的利点である。何故なら、効果的な第1の組成物をさらに経済的に配合することができるからであり、これはCMP後の第1の組成物が大量に使用されるので重要なことである。さらに、第1の組成物は水性であるので、本明細書に記載する第1の組成物はさらに容易に処分される。第1の組成物の寿命が粒子の使用量にのみ依存し、したがって第1の組成物がリサイクル可能であることに留意されたい。
[0049] さらに別の好ましい実施形態では、本明細書に記載する第1の組成物は少なくとも1つの酸化剤、少なくとも1つの錯化剤、少なくとも1つの塩基性化合物、少なくとも1つの緩衝剤、任意選択で少なくとも1つの界面活性剤、任意選択で少なくとも1つの溶媒和物、水、残渣及び/又は汚染物質を含むか、それらで構成されるか、又は基本的にそれらで構成される。重要なことは、本明細書に記載する第1の組成物中に残渣及び汚染物質を溶解及び/又は懸濁できることである。残渣はCMP後の残渣を含むことが好ましい。
[0050] 本明細書に記載する第1の組成物は、単純に個別の成分を添加し、均質な状態まで混合することによって容易に配合される。さらに、第1の組成物は、単一パッケージの配合、又は使用時点で、又はその前に混合される多成分配合として容易に配合することができ、例えば多成分配合の個々の部分をツールにて、又はツールの上流の保存タンク内で混合することができる。個々の成分の濃度は、本発明の広範囲の実施において、第1の組成物の固有の倍数で広範に変動させる、すなわち、さらに希釈するか、さらに濃縮することができ、第1の組成物は様々に、及び代替的に本明細書の開示と矛盾しない成分の任意の組み合わせを含むか、それらで構成されるか、又は基本的にそれらで構成できることが理解される。
[0051] したがって、別の態様は、本発明の第1の態様の組成物を形成するように適合した1つ又は複数の成分を1つ又は複数の容器内に含むキットに関する。キットは、工場又は使用時点で追加の水、少なくとも1つの酸化剤、又はその両方と組み合わせるために、少なくとも1つの錯化剤、少なくとも1つの塩基性化合物、少なくとも1つの緩衝剤、任意選択で少なくとも1つの界面活性剤、任意選択で少なくとも1つの溶媒和物、及び水を1つ又は複数の容器内に含むことが好ましい。キットの容器は上記第1の組成物の保存及び輸送に適していなければならず、例えばNOWPak(登録商標)容器(Advanced Technology Materials, Inc.、米国コネチカット州ダンベリ)である。
[0052] 第2の態様では、本発明はCMP後の残渣及び汚染物質を洗浄するアミン不含酸性組成物に関し、上記第2の組成物は、少なくとも1つの錯化剤、少なくとも1つのスルホン酸含有炭化水素、少なくとも1つの塩基性化合物、及び水を含む。任意選択で、第2の組成物はさらに少なくとも1つの分散剤、少なくとも1つの界面活性剤、少なくとも1つの酸化剤、又はその任意の組み合わせを含むことができる。第2の組成物の成分は、組成物の総重量に基づき、以下の重量パーセントの範囲で存在する。
Figure 2015512971

希釈後、当業者には容易に理解されるように、濃縮された第2の組成物中の成分の重量パーセント値は希釈因数のファクタとして変化する。
[0053] 一実施形態では、第2の組成物は、少なくとも1つの錯化剤、少なくとも1つのスルホン酸含有炭化水素、少なくとも1つの塩基性化合物、及び水を含むか、それらで構成されるか、又は基本的にそれらで構成される。別の実施形態では、第2の組成物は少なくとも1つの錯化剤、少なくとも1つのスルホン酸含有炭化水素、少なくとも1つの分散剤、少なくとも1つの塩基性化合物、及び水を含むか、それらで構成されるか、又は基本的にそれらで構成される。さらに別の実施形態では、第2の組成物は少なくとも1つの錯化剤、少なくとも1つのスルホン酸含有炭化水素、少なくとも1つの塩基性化合物、少なくとも1つの界面活性剤、及び水を含むか、それらで構成されるか、又は基本的にそれらで構成される。さらに別の実施形態では、第2の組成物は少なくとも1つの錯化剤、少なくとも1つのスルホン酸含有炭化水素、少なくとも1つの塩基性化合物、少なくとも1つの界面活性剤、少なくとも1つの分散剤、及び水を含むか、それらで構成されるか、又は基本的にそれらで構成される。別の実施形態では、第2の組成物は少なくとも1つの錯化剤、少なくとも1つのスルホン酸含有炭化水素、少なくとも1つの分散剤、少なくとも1つの塩基性化合物、少なくとも1つの酸化剤、及び水を含むか、それらで構成されるか、又は基本的にそれらで構成される。水はイオン除去することが好ましい。塩基性化合物としてKOHを使用することが好ましい。
[0054] 本発明の広範囲の実施において、第2の組成物のpH範囲は約7.0未満、より好ましくは4.5未満、より好ましくは約1〜約4の範囲、最も好ましくは約2〜約3の範囲である。
[0055] 本明細書に記載する第2の組成物は、エッチング後の残渣除去、アッシュ後の残渣除去、表面の準備、めっき後洗浄、CMP後の残渣除去、銅シードのエッチング/洗浄、シリコンバイア貫通(TSV)洗浄、MEMS洗浄、及びコバルト及びコバルト合金の表面洗浄を含むが、これらに限定されない用途に有用性を有することがある。
[0056] 本明細書に記載する組成物に使用する例示的界面活性剤には、第1の組成物に関して本明細書で紹介したように、両性塩、アニオン系界面活性剤、カチオン系界面活性剤、両性イオン系界面活性剤、ノンイオン系界面活性剤、及びそれらの組み合わせが含まれるが、これらに限定されない。第2の組成物の少なくとも1つの界面活性剤はドデシルベンゼンスルホン酸、ドデシルホスホン酸、及びドデシルリン酸塩を含むことが好ましい。
[0057] 想定される塩基性化合物には、アルカリ金属水酸化物、水酸化アンモニウム、及びそれらの組み合わせが含まれ、アルカリ金属酸化物にはKOH、CsOH、及びそれらの組み合わせが含まれる。第2の組成物の塩基性化合物はKOHを含むことが好ましい。
[0058] 本明細書に記載する第2の組成物に使用する分散剤は、マイクロ電子デバイスウェーハの表面で除去された残渣及び汚染物質の分散を増大させ、再付着を最小化するために含まれる。本明細書で想定される分散剤には、15,000未満の平均分子量を有するアクリル酸又はその塩を含有する有機ポリマーが含まれ、以降はこれを低分子量アクリル酸含有ポリマーと呼ぶ。低分子量アクリル酸含有ポリマーは、15,000未満、好ましくは約3,000〜約10,000の平均分子量を有する。低分子量アクリル酸含有ポリマーは、基本的なアクリル酸又はアクリル酸塩モノマー単位を含むホモ重合体又は共重合体とすることができる。共重合体は基本的に任意の適切な他のモノマー単位を含むことができ、それには変性アクリル酸、フマル酸、マレイン酸、イタコン酸、アコニット酸、メサコン酸、シトラコン酸、及びメチレンマロン酸又はその塩、無水マレイン酸、アルキレン、ビニルメチルエーテル、スチレン及びその任意の混合物が含まれる。市販の低分子量アクリル酸含有ホモ重合体などのポリ(アクリル)酸を含む好ましい分散剤には、商標名Acusol 445(Rohm and Haas、米国ペンシルバニア州フィラデルフィア)又はSokalonで販売されているものが含まれる。
[0059] 本明細書で想定されるスルホン酸含有炭化水素には、直鎖状及び分岐状C−Cアルカン、例えば、メタン、エタン、プロパン、ブタン、ペンタン、ヘキサン、スルホン酸、直鎖状及び分岐状C−Cアルケン、例えば、エタン、プロペン、ブタン、ペンテン、ヘキサン、スルホン酸、及び置換又は非置換C−C14アリルスルホン酸、及びそれらの塩、例えば、ナトリウム、カリウムなどが含まれる。スルホン酸含有炭化水素には、メタンスルホン酸(MSA)、エタンスルホン酸、プロパンスルホン酸、ブタンスルホン酸、ペンタンスルホン酸、ヘキサンスルホン酸、エタンスルホン酸、トルエンスルホン酸、及びそれらの組み合わせが含まれる。スルホン酸含有炭化水素はMSAを含むことが最も好ましい。
[0060] 本明細書で想定される錯化剤には、第1の組成物に関して以上で紹介した種が含まれる。第2の組成物の錯化剤はクエン酸を含むことが好ましい。
[0061] 本明細書で想定される酸化剤には、第1の組成物に関して以上で紹介した種が含まれる。酸化剤は過酸化水素又は尿素過酸化水素を含むことが好ましい。
[0062] 本発明の第2の組成物は、化学機械研磨プロセスで(洗浄を開始する前に)通常使用される研磨剤、フッ素含有供給源、アミン、第四アンモニア塩基、及びそれらの任意の組み合わせを実質的に有しないことが好ましい。第四アンモニア塩基は式NROHを有する化合物を含み、R、R、R及びRは相互に同じ、又は異なってよく、R、R、R又はRのうち少なくとも1つが水素以外の成分でなければならないという条件で、水素、直鎖状又は分岐状C−Cアルキル(例えば、メチル、エチル、プロピル、ブチル、ペンチル、及びヘキシル)、及び置換又は非置換C−C10アリル、例えばベンジルからなる群から選択される。
[0063] 第2の組成物はさらに、高分子電解質(例えば、ポリアクリル酸、ポリ(アクリル−コ−マレイン酸)、1,2,4−トリアゾール及びそれらの誘導体)、緩衝剤、溶媒和物、銅イオン供給源、及びそれらの組み合わせなどの洗浄添加剤を含むことができる。
[0064] 好ましい実施形態では、第2の組成物は、メタンスルホン酸、クエン酸、KOH及び水を含むか、それらで構成されるか、又は基本的にそれらで構成される。さらに別の好ましい実施形態では、第2の組成物は、メタンスルホン酸、クエン酸、KOH、過酸化水素、及び水を含むか、それらで構成されるか、又は基本的にそれらで構成される。
[0065] 第2の組成物は以下の配合で配合することができ、配合の活性成分は、組成物の総重量に基づき、以下の重量パーセントであり、残余は水である。
Figure 2015512971
[0066] 一実施形態では、洗浄溶液として使用するために希釈することができる濃縮された第2の組成物が提供される。濃縮された第2の組成物、すなわち、「濃縮物」によって、使用者、例えばCMPプロセスエンジニアは、使用時点で濃縮物を所望の濃度及び酸性度まで希釈できるので有利である。濃縮された第2の組成物の希釈は、約1:1〜約2500:1の範囲でよく、第2の組成物はツールにて、又はその直前で溶媒、例えば脱イオン水で希釈される。希釈後に、本明細書で開示する組成物の重量パーセント比の範囲は不変のままであることを理解されたい。
[0067] 本明細書に記載する第2の組成物の重要な特徴は、組成物中に少量の非水性成分(水以外の成分)が存在することであり、これは重量で約10%未満であることが多い。これは経済的利点である。何故なら、効果的な第2の組成物をさらに経済的に配合することができるからであり、これはCMP後の第2の組成物が大量に使用されるので重要なことである。さらに、第2の組成物は水性であるので、本明細書に記載する第2の組成物はさらに容易に処分される。第2の組成物の寿命が粒子の使用量にのみ依存し、したがって第2の組成物がリサイクル可能であることに留意されたい。
[0068] さらに別の好ましい実施形態では、本明細書に記載する第2の組成物は、少なくとも1つの錯化剤、少なくとも1つのスルホン酸含有炭化水素、少なくとも1つの塩基性化合物、水、残渣及び/又は汚染物質、任意選択で少なくとも1つの分散剤、任意選択で少なくとも1つの界面活性剤、及び任意選択で少なくとも1つの酸化剤を含むか、それらで構成されるか、又は基本的にそれらで構成される。重要なことは、本明細書に記載する第2の組成物中に残渣及び汚染物質を溶解及び/又は懸濁できることである。残渣はCMP後の残渣を含むことが好ましい。
[0069] メルクインデックスによると、メタンスルホン酸は銅に対して腐食性である(Merck Index、第11版、1989、938ページ)。驚くことに、本明細書で述べ、メタンスルホン酸を含む第2の組成物は、曝露した銅、アルミニウム及び/又はタングステン相互接続材料を容易に腐食しない。さらに、マイクロ電子デバイス上のTEOS、BLACK DIAMOND(商標)などの低k誘電体材料、及び他の超低k誘電体材料などの誘電体材料は、本発明の第2の組成物によって損なわれない。また、塩基性化合物を第2の組成物に組み込んで、約1〜約4の範囲、より好ましくは約2〜約3の範囲のpHを有する組成物を生成した結果、BTA除去効率が上昇し、銅のエッチング速度が低下した組成物になったことは予想外であった。
[0070] 本明細書に記載する第2の組成物は、単純に個別の成分を添加し、均質な状態まで混合することによって容易に配合される。さらに、第2の組成物は、単一パッケージの配合、又は使用時点で、又はその前に混合される多成分配合として容易に配合することができ、例えば多成分配合の個々の部分をツールにて、又はツールの上流の保存タンク内で混合することができる。個々の成分の濃度は、本発明の広範囲の実施において、第2の組成物の固有の倍数で広範に変動させる、すなわち、さらに希釈するか、さらに濃縮することができ、第2の組成物は様々に、及び代替的に本明細書の開示と矛盾しない成分の任意の組み合わせを含むか、それらで構成されるか、又は基本的にそれらで構成できることが理解されよう。
[0071] したがって、別の態様は、本発明の組成物を形成するように適合した1つ又は複数の成分を1つ又は複数の容器内に含むキットに関する。キットは、工場又は使用時点で追加の水及び/又は少なくとも1つの酸化剤(存在する場合)と組み合わせるために、少なくとも1つの錯化剤、少なくとも1つのスルホン酸含有炭化水素、少なくとも1つの塩基性化合物、水、任意選択で少なくとも1つの分散剤、及び任意選択で少なくとも1つの界面活性剤を1つ又は複数の容器内に含むことが好ましい。キットの容器は上記第2の組成物の保存及び輸送に適していなければならず、例えばNOWPak(登録商標)容器(Advanced Technology Materials, Inc.、米国コネチカット州ダンベリ)である。
[0072] 第3の態様では、マイクロ電子デバイスの表面からCMP後の残渣及び汚染物質を洗浄するために、第1及び第2のアミン不含組成物を有用に使用する。重要なことは、第1及び第2のアミン不含組成物が低k誘電体材料を損傷せず、デバイス表面の金属相互接続部、例えば銅を実質的に腐食しないことである。第1及び第2のアミン不含組成物は、残渣除去前にデバイス上に存在する残渣の少なくとも85%、より好ましくは少なくとも90%、より好ましくは少なくとも95%、最も好ましくは少なくとも99%を除去することが好ましい。
[0073] CMP後の残渣及び汚染物質洗浄用途で、第1又は第2のアミン不含組成物は、メガソニックス及びブラシ洗浄などの多種多様な従来の洗浄ツールとともに使用することができ、それにはVerteqの単ウェーハメガソニックGoldfinger、OnTrakシステムDDS(両面スクラバ)、SEZ単ウェーハ噴霧リンス、Applied Materials Mirra−Mesa(商標)/Reflexion(商標)/Reflexion LK(商標)、及びMegasonicバッチウェットベンチシステムが含まれるが、これらに限定されない。
[0074] 自身上にCMP後の残渣及び汚染物質を有するマイクロ電子デバイスから上記残渣及び汚染物質を洗浄するために第1又は第2のアミン不含組成物を使用する際に、第1又は第2のアミン不含組成物は通常、約5秒〜約10分間、好ましくは約15秒〜5分間の時間、約20℃〜約50℃の範囲の温度でデバイスと接触する。このような接触時間及び温度は例証であり、デバイスからCMP後の残渣/汚染物質を少なくとも部分的に洗浄するのに効率的である任意の他の適切な時間及び温度状態を使用することができる。「少なくとも部分的に洗浄する」及び「実質的に除去する」とは両方とも、残渣除去の前にデバイス上に存在する残渣の少なくとも85%、より好ましくは少なくとも90%、より好ましくは少なくとも95%、最も好ましくは少なくとも99%除去することに対応する。
[0075] 所望の洗浄作用を達成した後、本発明の組成物の所要の最終用途で望ましく、効率的であるように、第1又は第2のアミン不含組成物は、以前に適用されているデバイスから容易に除去することができる。すすぎ溶液は脱イオン水を含むことが好ましい。その後、窒素又は脱水サイクルを使用して、デバイスを乾燥することができる。
[0076] さらに別の態様は、本明細書に記載する方法により作成した改良型のマイクロ電子デバイスに、及びこのようなマイクロ電子デバイスを含む製品に関する。
[0077] 別の態様はリサイクルされる第1又は第2の組成物に関する。第1又は第2の組成物は、当業者に容易に判断されるように、残渣及び/又は汚染物質の負荷が、個々の組成物によって対応できる最大量に到達するまで再使用することができる。
[0078] さらに別の態様は、マイクロ電子デバイスを備える物品を製造する方法に関し、上記方法は、自身上にCMP後の残渣及び汚染物質を有するマイクロ電子デバイスから上記残渣及び汚染物質を洗浄するのに十分な時間、マイクロ電子デバイスを第1又は第2の組成物に接触させるステップと、上記マイクロ電子デバイスを上記物品に組み込むステップとを含む。
実施例1
[0079] デバイス表面からBTAを除去する配合A−1の効率、さらに銅のエッチング速度及び粗さを評価した。各配合は脱イオン水で30:1に希釈した。デバイスは、クエン酸で5分間前処理して、天然の酸化物を除去した銅のクーポンであった。その後、銅を1wt%のBTA溶液に5分間浸漬し、脱イオン水ですすいだ。ウェーハを室温にて400rpmで攪拌した個々の各配合に浸漬し、その後に脱イオン水ですすぎ、Nで乾燥した。前及び後かすめ角FTIRを使用して計測を実施した。
[0080] 実験結果を表1に示す。
表1:BTA除去効率、銅のエッチング速度及び銅の表面粗さ
Figure 2015512971
[0081] クエン酸、MSA及びKOHを含む配合が、BTAを効率的に除去し、非常に低い銅エッチング速度を示すことが判明した。
実施例2
[0082] 以下の範囲を有する組成物を調製し、BTA除去の効率、さらに銅のエッチング速度及び銅の粗さを判定した。
配合AA:3.5wt%のKHPO、19.36wt%のN−(2−ヒドロキシエチル)−2−ピロリドン、4wt%のHEDP(60%)、pHを10.5にするKOH、残余は水。
配合BB:0.2〜0.9wt%の尿素H、1〜5wt%のKHPO、15〜35wt%のN−(2−ヒドロキシエチル)−2−ピロリドン、2〜15wt%のHEDP(60%)、pHを10.5〜11.5にするKOH、残余は水。
配合CC:0.2〜0.9wt%の尿素H、0.01〜0.1wt%のNMMO(47%)、1〜5wt%のKHPO、15〜35wt%のN−(2−ヒドロキシエチル)−2−ピロリドン、2〜15wt%のHEDP(60%)、pHを10.5〜11.5にするKOH、残余は水。
配合DD:0.2〜0.9wt%の尿素H、1〜5wt%のKHPO、15〜35wt%のN−(2−ヒドロキシエチル)−2−ピロリドン、2〜15wt%のHEDP(60%)、pHを10.5〜11.5にするKOH、残余は水。
配合EE:0.2〜0.9wt%の尿素H、0.01〜0.1wt%のNMMO(47%)、1〜5wt%のKHPO、15〜35wt%のN−(2−ヒドロキシエチル)−2−ピロリドン、2〜15wt%のHEDP(60%)、pHを10.5〜11.5にするKOH、残余は水。
配合FF:0.2〜0.9wt%の尿素H、0.01〜0.1wt%のNMMO(47%)、1〜5wt%のKHPO、10〜20wt%のスルホラン、2〜8wt%の5−スルホサリチル酸、pHを10.5〜11.5にするKOH、残余は水。
配合GG:0.2〜0.9wt%の尿素H、1〜5wt%のKHPO、10〜20wt%のスルホラン、2〜8wt%の5−スルホサリチル酸、pHを10.5〜11.5にするKOH、残余は水。
配合HH:0.2〜0.9wt%の尿素H、1〜5wt%のKHPO、10〜20wt%のスルホラン、2〜8wt%のクエン酸、pHを10.5〜11.5にするKOH、残余は水。
[0083] 配合AAのBTA除去効率は7.14%であり、銅のエッチング速度は0.89Åmin−1(sd:0.10)及び銅の粗さは0.81nm(sd:0.03)であった。対照的に、BB〜HHに開示した範囲を満足する配合は、20%を超える、場合によっては30%を超えるBTA除去効率を有し、銅のエッチング速度は5Åmin−1未満であった。配合の多くで、銅の粗さは減少した。
[0084] 例示的実施形態及び特徴に関して、本明細書で本発明を様々に開示してきたが、上記実施形態及び特徴は本発明を限定するものではなく、本明細書の開示に基づいて他の変形、変更及び他の実施形態を思い付くことができることを当業者であれば理解されよう。したがって、本発明はすべてのこのような変形、変更及び代替実施形態を以降で記載する特許請求の範囲の精神及び範囲に含むものと広義に解釈されたい。

Claims (23)

  1. 表面から残渣及び汚染物質を洗浄する組成物であって、前記組成物が少なくとも1つの酸化剤、少なくとも1つの錯化剤、少なくとも1つの塩基性化合物、少なくとも1つの緩衝剤、及び水を含み、
    前記組成物が、化学機械研磨プロセスに通常使用されるアミン、第四塩基、フッ素含有供給源、及び研磨剤を実質的に有しない組成物。
  2. pHが7〜約12の範囲である、請求項1に記載の組成物。
  3. 前記少なくとも1つの塩基性化合物が、KOH、CsOH、水酸化アンモニウム、及びそれらの組み合わせからなる群から選択される種を含む、請求項1又は2に記載の組成物。
  4. 前記少なくとも1つの塩基性化合物がKOHを含む、請求項1又は2に記載の組成物。
  5. 前記少なくとも1つの錯化剤が乳酸、マレイン酸、アスコルビン酸、リンゴ酸、クエン酸、安息香酸、フマル酸、コハク酸、シュウ酸、マロン酸、マンデル酸、無水マレイン酸、フタル酸、アスパラギン酸、グルタミン酸、グルタル酸、グリコール酸、グリオキシル酸、フェニル酢酸、キナ酸、ピロメリト酸、酒石酸、テレフタル酸、トリメリト酸、トリメシン酸、グルコン酸、グリセリン酸、蟻酸、酢酸、プロピオン酸、アクリル酸、アジピン酸、イタコン酸、グルクロン酸、グリシン、リシン、β−アラニン、ヒスチジン、フェニルアラニン、システイン、ロイシン、セリン、8−ヒドロキシキノリン、2,4−ペンタンジオン、ベンゼテトラカルボン酸、ピルビン酸、タンニン酸、スルファニル酸、2−ヒドロキシホスホノカルボン酸(HPAA)、ピロカテコール、ピロガロール、没食子酸、タンニン酸、エチレンジアミンテトラ酢酸(EDTA)、ジエチレントリアミンペンタ酢酸(DTPA)、(1,2−シクロヘキシレンジニトリロ)四酢酸(CDTA)、イミノ二酢酸、2−ホスホノブタン−1,2,4−トリカルボン酸(PBTCA)、ホスホン酸、ヒドロキシエチリデンジホスホン酸(HEDP)、1−ヒドロキシエタン−1,1−ジホスホン酸、ニトリロ−トリス(メチレンホスホン酸)、サリチル酸、p−トルエンスルホン酸、スルホサリチル酸及びその誘導体、及びその任意の組み合わせからなる群から選択される種を含む、請求項1〜4のいずれかに記載の組成物。
  6. 前記少なくとも1つの錯化剤がクエン酸、ホスホン酸誘導体、スルホサリチル酸又はその誘導体、及びその任意の組み合わせを含む、請求項1〜5のいずれかに記載の組成物。
  7. 前記少なくとも1つの酸化剤がオゾン、硝酸、気泡、シクロヘキシルアミンスルホン酸、過酸化水素、FeCl、オキソン(2KHSO・KHSO・KSO)、ペルオキソ一硫酸アンモニウム、亜塩素酸アンモニウム、塩素酸アンモニウム、ヨウ素三アンモニウム、過ホウ酸アンモニウム、過塩素酸アンモニウム、過ヨウ素酸アンモニウム、過硫酸アンモニウム、次亜塩素酸アンモニウム、過ホウ素酸ナトリウム、過硫酸ナトリウム、次亜塩素酸ナトリウム、ヨウ素酸カリウム、過マンガン酸カリウム、過硫酸カリウム、過硫酸カリウム、次亜塩素酸カリウム、亜塩素酸テトラメチルアンモニウム、塩酸テトラメチルアンモニウム、ヨウ素酸テトラメチルアンモニウム、過ホウ酸テトラメチルアンモニウム、過塩素酸テトラメチルアンモニウム、過ヨウ素酸テトラメチルアンモニウム、過硫酸テトラメチルアンモニウム、ペルオキソ一硫酸テトラブチルアンモニウム、ペルオキソ一硫酸、硝酸第二鉄、N−メチルモルフォリン−N−オキシド、トリメチルアミン−N−オキシド、トリエチルアミン−N−オキシド、ピリジン−N−オキシド、N−エチルモルフォリン−N−オキシド、N−メチルピロリジン−N−オキシド、N−エチルピロリジン−N−オキシド、尿素過酸化水素、過酢酸、過ヨウ素酸、重クロム酸カリウム、塩素酸カリウム、2−ニトロフェノール、1,4−ベンゾキノン、ペルオキシ安息香酸、ペルオキシフタル酸塩、酸化バナジウム、メタバナジウム酸アンモニウム、タングステン酸アンモニウム、硝酸ナトリウム、硝酸カリウム、硝酸アンモニウム、硝酸ストロンチウム、硫酸、及びそれらの組み合わせからなる群から選択される種を含む、請求項1〜6のいずれかに記載の組成物。
  8. 前記少なくとも1つの酸化剤が、過酸化水素、NMMO、尿素過酸化水素、及びそれらの組み合わせからなる群から選択される種を含む、請求項1〜7のいずれかに記載の組成物。
  9. 前記少なくとも1つの緩衝剤がリン酸二カリウム、炭酸カリウム、ホウ酸、リシン、プロリン、β−アラニン、エチレンジアミン四酢酸(EDTA)、ジエチレントリアミン五酢酸(DTPA)、ジメチルグリオキシム、第二リン酸塩(KHPO)、第三リン酸塩(KPO)、第二及び第三リン酸塩の混合物、第二及び第三炭酸塩の混合物、ヒドロキシエチリデンジホスホン酸、及びそれらの組み合わせからなる群から選択される種を含む、請求項1〜8のいずれかに記載の組成物。
  10. 前記少なくとも1つの緩衝剤が、第二リン酸塩(KHPO)第三リン酸塩(KPO)、第二及び第三リン酸塩の混合物、HEDP、及びそれらの組み合わせからなる群から選択される種を含む、請求項1〜9のいずれかに記載の組成物。
  11. 前記組成物の総重量に基づき、少なくとも1つの酸化剤の量が約0.1wt%〜約1wt%であり、少なくとも1つの錯化剤が約1wt%〜約25wt%、少なくとも1つの塩基性化合物が約0.01wt%〜約5wt%、少なくとも1つの緩衝剤が約0.1wt%〜約5wt%、及び水が約66.5wt%〜約95wt%である、請求項1〜10のいずれかに記載の組成物。
  12. 2−ピロリジノン、1−(2−ヒドロキシエチル)−2−ピロリジノン、グリセロール、1,4−ブタンジオール、テトラメチレンスルホン(スルホラン)、ジメチルスルホン、エチレングリコール、プロピレングリコール、ジプロピレングリコール、ジエチレングリコールモノメチルエーテル、トリエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、トリエチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノブチルエーテル、ジエチレングリコールモノブチルエーテル、トリエチレングリコールモノブチルエーテル、エチレングリコールモノブチルエーテル、ジエチレングリコールモノヘキシルエーテル、エチレングリコールフェニルエーテル、プロピレングリコールメチルエーテル、ジプロピレングリコールメチルエーテル(DPGME)、トリプロピレングリコールメチルエーテル(TPGME)、ジプロピレングリコールジメチルエーテル、ジプロピレングリコールエチルエーテル、プロピレングリコールn−プロピルエーテル、ジプロピレングリコールn−プロピルエーテル(DPGPE)、トリプロピレングリコールn−プロピルエーテル、プロピレングリコールn−ブチルエーテル、ジプロピレングリコールn−ブチルエーテル、トリプロピレングリコールn−ブチルエーテル、プロピレングリコールフェニルエーテル、及びそれらの組み合わせからなる群から選択される種を含む少なくとも1つの溶媒和物をさらに含む、請求項1〜11のいずれかに記載の組成物。
  13. スルホラン、1−(2−ヒドロキシエチル)−2−ヒロリジノン、及びそれらの組み合わせを含む少なくとも1つの溶媒和物をさらに含む、請求項1〜12のいずれかに記載の組成物。
  14. 前記組成物の総重量に基づき、少なくとも1つの溶媒和物の量が約5wt%〜約20wt%である、請求項12又は13に記載の組成物。
  15. ドデシルベンゼンスルホン酸(DDBSA)、ポリエチレングリコール、ポリプロピレングリコール、ポリエチレン又はポリプロピレングリコールエーテル、酸化エチレン及び酸化プロピレン系のブロック共重合体、ポリオキシエチレン(40)ノニルフェニルエーテル(分岐状)、ジノニルフェニルポリオキシエチレン、ノニルフェノールアルコキシレート、ポリエチレングリコールソルビタンモノオレエート、ソルビタンモノオレエート、エトキシ化フッ化界面活性剤、ポリオキシエチレン(16)タローエチルモニウムエトスルフェート、ポリアクリル酸アンモニウム、フッ化界面活性剤、ポリアクリル酸、及びそれらの組み合わせからなる群から選択される少なくとも1つの界面活性剤をさらに含む、請求項1〜14のいずれかに記載の組成物。
  16. 前記組成物の総重量に基づき、前記少なくとも1つの界面活性剤の量が約0.001wt%〜約1wt%である、請求項15に記載の組成物。
  17. 前記組成物が尿素過酸化水素、KOH、KHPO、HEDP、少なくとも1つの溶媒和物、及び水を含み、前記pHが約7〜約12の範囲である、請求項1〜16のいずれかに記載の組成物。
  18. 前記組成物が尿素過酸化水素、KOH、KHPO、5−スルホサリチル酸、少なくとも1つの溶媒和物、及び水を含み、前記pHが約7〜約12の範囲である、請求項1〜17のいずれかに記載の組成物。
  19. 前記残渣及び汚染物質が、CMP研磨スラリーからの粒子、前記CMP研磨スラリーに存在する化学物質、前記CPM研磨スラリーの反応副産物、炭素が豊富な粒子、研磨パッド粒子、銅、及び酸化銅からなる群から選択されるCMP後の残渣及び汚染物質を含む、請求項1〜18のいずれかに記載の組成物。
  20. CMP後の残渣及び汚染物質をさらに含む、請求項1〜19のいずれかに記載の組成物。
  21. 自身上に残渣及び汚染物質を有するマイクロ電子デバイスから前記残渣及び汚染物質を洗浄する方法であって、前記残渣及び汚染物質を前記マイクロ電子デバイスから少なくとも部分的に洗浄するのに十分な時間、前記マイクロ電子デバイスを請求項1〜20のいずれかに記載の前記組成物に接触させることを含む方法。
  22. 前記残渣及び汚染物質がCMP後の残渣及び汚染物質を含む、請求項21に記載の方法。
  23. 前記接触することが、約15秒〜約5分間の時間、約20℃〜約50℃の範囲の温度、及びそれらの組み合わせからなる群から選択される条件を含む、請求項21又は22に記載の方法。
JP2014557813A 2012-02-15 2013-02-15 組成物を使用したcmp後除去及び使用方法 Pending JP2015512971A (ja)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US201261599162P 2012-02-15 2012-02-15
US61/599,162 2012-02-15
US201261651287P 2012-05-24 2012-05-24
US61/651,287 2012-05-24
US201261656992P 2012-06-07 2012-06-07
US61/656,992 2012-06-07
US201261661160P 2012-06-18 2012-06-18
US61/661,160 2012-06-18
PCT/US2013/026326 WO2013123317A1 (en) 2012-02-15 2013-02-15 Post-cmp removal using compositions and method of use

Publications (1)

Publication Number Publication Date
JP2015512971A true JP2015512971A (ja) 2015-04-30

Family

ID=48984743

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014557813A Pending JP2015512971A (ja) 2012-02-15 2013-02-15 組成物を使用したcmp後除去及び使用方法

Country Status (8)

Country Link
US (1) US10176979B2 (ja)
EP (1) EP2814895A4 (ja)
JP (1) JP2015512971A (ja)
KR (1) KR102105381B1 (ja)
CN (1) CN104508072A (ja)
SG (1) SG11201404930SA (ja)
TW (1) TWI600756B (ja)
WO (1) WO2013123317A1 (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014088526A (ja) * 2012-10-31 2014-05-15 Neos Co Ltd シリカスケール除去剤組成物
JP2018500456A (ja) * 2014-10-21 2018-01-11 キャボット マイクロエレクトロニクス コーポレイション 腐食抑制剤及び関連組成物並びに方法
WO2018135290A1 (ja) * 2017-01-17 2018-07-26 株式会社ダイセル 半導体基板洗浄剤
JP2018537854A (ja) * 2015-11-19 2018-12-20 オーシーアイ カンパニー リミテッドOCI Company Ltd. 銅エッチング用組成物及び過酸化水素系金属エッチング用組成物
JP2019502803A (ja) * 2015-12-22 2019-01-31 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se 化学機械研磨後の洗浄組成物
JP2019038917A (ja) * 2017-08-24 2019-03-14 攝津製油株式会社 洗浄剤組成物、洗浄剤、及び洗浄方法
JP2019527468A (ja) * 2016-07-14 2019-09-26 キャボット マイクロエレクトロニクス コーポレイション コバルトcmp用の代替的な酸化剤
JP2020188090A (ja) * 2019-05-13 2020-11-19 Jsr株式会社 コバルトを含む基板を処理するための半導体洗浄用または化学機械研磨用組成物
JP2021536669A (ja) * 2018-08-28 2021-12-27 インテグリス・インコーポレーテッド セリア粒子向けのcmp後洗浄用組成物

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
CN105102584B (zh) 2013-03-04 2018-09-21 恩特格里斯公司 用于选择性蚀刻氮化钛的组合物和方法
US9365934B2 (en) * 2013-04-12 2016-06-14 Mitsubishi Gas Chemical Company, Inc. Liquid composition used in etching copper- and titanium-containing multilayer film, etching method in which said composition is used, method for manufacturing multilayer-film wiring, and substrate
KR102338550B1 (ko) 2013-06-06 2021-12-14 엔테그리스, 아이엔씨. 질화 티타늄의 선택적인 에칭을 위한 조성물 및 방법
KR102338526B1 (ko) 2013-07-31 2021-12-14 엔테그리스, 아이엔씨. Cu/W 호환성을 갖는, 금속 하드 마스크 및 에칭-후 잔여물을 제거하기 위한 수성 제형
CN105492576B (zh) 2013-08-30 2019-01-04 恩特格里斯公司 选择性蚀刻氮化钛的组合物和方法
CN103556164B (zh) * 2013-10-28 2015-08-19 沈阳大学 一种钛铝铬氮化物硬质反应膜的退除方法
TWI654340B (zh) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
TWI662379B (zh) 2013-12-20 2019-06-11 美商恩特葛瑞斯股份有限公司 移除離子植入抗蝕劑之非氧化強酸類之用途
WO2015103146A1 (en) 2013-12-31 2015-07-09 Advanced Technology Materials, Inc. Formulations to selectively etch silicon and germanium
WO2015116679A1 (en) * 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
US20160340620A1 (en) 2014-01-29 2016-11-24 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) * 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
US20150344822A1 (en) * 2014-06-02 2015-12-03 Tetra Tech, Inc. Decontaminant and Process for Decontamination of Chemicals from Infrastructural Materials
WO2016043924A1 (en) * 2014-09-18 2016-03-24 Applied Materials, Inc. Method and apparatus for high efficiency post cmp clean using engineered viscous fluid
WO2016069576A1 (en) * 2014-10-31 2016-05-06 Entegris, Inc. Non-amine post-cmp compositions and method of use
JP6454928B2 (ja) * 2015-03-11 2019-01-23 上村工業株式会社 無電解めっき用処理剤、およびこれを用いたプリント配線基板とパッケージの製造方法
US10844333B2 (en) 2015-12-22 2020-11-24 Basf Se Composition for post chemical-mechanical-polishing cleaning
JP6974336B2 (ja) * 2016-02-16 2021-12-01 シーエムシー マテリアルズ,インコーポレイティド Iii−v族材料の研磨方法
WO2017156304A1 (en) * 2016-03-09 2017-09-14 Entegris, Inc. Tungsten post-cmp cleaning compositions
CN105802763B (zh) * 2016-04-13 2018-08-03 乌鲁木齐市疾病预防控制中心 一种光谱分析仪器进样管路汞污染清洗剂
US9685406B1 (en) 2016-04-18 2017-06-20 International Business Machines Corporation Selective and non-selective barrier layer wet removal
EP3469049B1 (en) * 2016-06-10 2020-07-22 Basf Se Composition for post chemical-mechanical-polishing cleaning
KR20190043155A (ko) * 2016-08-24 2019-04-25 피피지 인더스트리즈 오하이오 인코포레이티드 금속 기판을 처리하기 위한 알칼리성 조성물
US10431464B2 (en) 2016-10-17 2019-10-01 International Business Machines Corporation Liner planarization-free process flow for fabricating metallic interconnect structures
WO2018098139A1 (en) 2016-11-25 2018-05-31 Entegris, Inc. Cleaning compositions for removing post etch residue
WO2018160490A1 (en) * 2017-02-28 2018-09-07 Ecolab Usa Inc. Alkaline cleaning composition comprising a hydroxyphosphono carboxylic acid and methods of reducing metal corrosion
JP7125386B2 (ja) * 2017-03-23 2022-08-24 株式会社フジミインコーポレーテッド 研磨用組成物
AT519894A1 (de) * 2017-04-29 2018-11-15 Thonhauser Gmbh Reinigungsverfahren
KR102422952B1 (ko) 2017-06-12 2022-07-19 삼성전자주식회사 금속막 연마용 슬러리 조성물 및 이를 이용하는 반도체 장치의 제조 방법
CN107338126A (zh) * 2017-06-23 2017-11-10 昆山欣谷微电子材料有限公司 一种水基微电子剥离和清洗液组合物
US11175587B2 (en) * 2017-09-29 2021-11-16 Versum Materials Us, Llc Stripper solutions and methods of using stripper solutions
US10672653B2 (en) 2017-12-18 2020-06-02 International Business Machines Corporation Metallic interconnect structures with wrap around capping layers
SG11202004420QA (en) * 2018-01-25 2020-06-29 Merck Patent Gmbh Photoresist remover compositions
CN109179965B (zh) * 2018-11-01 2020-11-17 中国农业大学 一种用于污泥高效脱水的复配调理剂及污泥脱水方法
KR20200077912A (ko) * 2018-12-21 2020-07-01 주식회사 케이씨텍 세정액 조성물 및 그것을 이용한 세정 방법
TW202037758A (zh) * 2019-01-15 2020-10-16 德商德國艾托特克公司 在銅表面形成氧化銅之方法
WO2020163506A1 (en) * 2019-02-08 2020-08-13 Entegris, Inc. Ceria removal compositions
CN113748192B (zh) 2019-04-12 2023-08-29 埃科莱布美国股份有限公司 抗微生物多用途清洁剂及其制备和使用方法
JP7389886B2 (ja) * 2019-07-11 2023-11-30 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング フォトレジストリムーバ組成物
CN111269761B (zh) * 2020-02-13 2021-04-27 金丝甲(上海)安全防范技术有限公司 洗消液及其用于锕系核素和过渡金属核素污染洗消的用途
KR20220012521A (ko) 2020-07-23 2022-02-04 주식회사 케이씨텍 세정액 조성물 및 이를 이용한 세정 방법
TWI824299B (zh) * 2020-09-22 2023-12-01 美商恩特葛瑞斯股份有限公司 蝕刻劑組合物
KR102284465B1 (ko) * 2020-09-24 2021-08-02 양영수 스텐레스 용기의 제조방법
CR20230492A (es) 2021-04-01 2023-11-23 Sterilex LLC Desinfectante/sanitizante en polvo sin quats
CN114854500A (zh) * 2022-05-12 2022-08-05 常州时创能源股份有限公司 一种硅片清洗用添加剂、清洗液及硅片制绒后清洗方法
KR20240041391A (ko) * 2022-09-22 2024-04-01 한양대학교 산학협력단 세정 조성물 및 이를 이용한 기판의 세정방법

Family Cites Families (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
US5466389A (en) * 1994-04-20 1995-11-14 J. T. Baker Inc. PH adjusted nonionic surfactant-containing alkaline cleaner composition for cleaning microelectronics substrates
US7534752B2 (en) 1996-07-03 2009-05-19 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US6323168B1 (en) 1996-07-03 2001-11-27 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
WO1998021415A1 (en) 1996-11-12 1998-05-22 H.B. Zachry Company Precast, modular spar system
US6755989B2 (en) 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US8092707B2 (en) 1997-04-30 2012-01-10 3M Innovative Properties Company Compositions and methods for modifying a surface suited for semiconductor fabrication
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
US6306807B1 (en) 1998-05-18 2001-10-23 Advanced Technology Materials, Inc. Boric acid containing compositions for stripping residues from semiconductor substrates
US6875733B1 (en) 1998-10-14 2005-04-05 Advanced Technology Materials, Inc. Ammonium borate containing compositions for stripping residues from semiconductor substrates
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6723691B2 (en) 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6492308B1 (en) 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6927176B2 (en) 2000-06-26 2005-08-09 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
US6361407B1 (en) * 2000-08-02 2002-03-26 Memc Electronic Materials, Inc. Method of polishing a semiconductor wafer
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6627587B2 (en) 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US20030119692A1 (en) 2001-12-07 2003-06-26 So Joseph K. Copper polishing cleaning solution
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US7419945B2 (en) * 2002-06-07 2008-09-02 Mallinckrodt Baker, Inc. Microelectronic cleaning compositions containing oxidizers and organic solvents
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
TWI258504B (en) * 2003-01-07 2006-07-21 Tosoh Corp Washing solution and washing method using the same
US7736405B2 (en) 2003-05-12 2010-06-15 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for copper and associated materials and method of using same
US7056648B2 (en) 2003-09-17 2006-06-06 International Business Machines Corporation Method for isotropic etching of copper
KR101132533B1 (ko) * 2003-10-29 2012-04-03 아반토르 퍼포먼스 머티리얼스, 인크. 알칼리성, 플라즈마 에칭/애싱 후 잔류물 제거제 및금속-할라이드 부식 억제제를 함유한 포토레지스트스트리핑 조성물
CA2590325A1 (en) 2003-12-02 2005-06-23 Advanced Technology Materials, Inc. Resist, barc and gap fill material stripping chemical and method
US20050145311A1 (en) 2003-12-30 2005-07-07 Walker Elizabeth L. Method for monitoring surface treatment of copper containing devices
US7390744B2 (en) * 2004-01-29 2008-06-24 Applied Materials, Inc. Method and composition for polishing a substrate
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US7253111B2 (en) 2004-04-21 2007-08-07 Rohm And Haas Electronic Materials Cmp Holding, Inc. Barrier polishing solution
WO2006009668A1 (en) * 2004-06-16 2006-01-26 Memc Electronic Materials, Inc. Silicon wafer etching process and composition
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060148666A1 (en) 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7923423B2 (en) 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
WO2006086265A2 (en) * 2005-02-07 2006-08-17 Applied Materials, Inc. Method and composition for polishing a substrate
US7365045B2 (en) 2005-03-30 2008-04-29 Advanced Tehnology Materials, Inc. Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
WO2006110645A2 (en) 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
US20070251551A1 (en) 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
CN102981377B (zh) 2005-06-07 2014-11-12 高级技术材料公司 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
TW200709294A (en) 2005-06-13 2007-03-01 Advanced Tech Materials Compositions and methods for selective removal of metal or metal alloy after metal silicide formation
WO2007027522A2 (en) 2005-08-29 2007-03-08 Advanced Technology Materials, Inc. Composition and method for removing thick film photoresist
WO2007044447A2 (en) 2005-10-05 2007-04-19 Advanced Technology Materials, Inc. Composition and method for selectively etching gate spacer oxide material
US7922824B2 (en) 2005-10-05 2011-04-12 Advanced Technology Materials, Inc. Oxidizing aqueous cleaner for the removal of post-etch residues
CN101421386B (zh) 2005-10-13 2011-08-10 高级技术材料公司 金属相容的光致抗蚀剂和/或牺牲性抗反射涂层去除组合物
US7960328B2 (en) 2005-11-09 2011-06-14 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
TW200734448A (en) 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
US20080076688A1 (en) 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
SG175559A1 (en) 2006-09-25 2011-11-28 Advanced Tech Materials Compositions and methods for the removal of photoresist for a wafer rework application
US20080125342A1 (en) 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
WO2008080096A2 (en) 2006-12-21 2008-07-03 Advanced Technology Materials, Inc. Compositions and methods for the selective removal of silicon nitride
WO2008080097A2 (en) 2006-12-21 2008-07-03 Advanced Technology Materials, Inc. Liquid cleaner for the removal of post-etch residues
TWI516573B (zh) 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
JP5146445B2 (ja) 2007-03-16 2013-02-20 三菱瓦斯化学株式会社 洗浄用組成物、半導体素子の製造方法
US20100112728A1 (en) 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
US7976723B2 (en) 2007-05-17 2011-07-12 International Business Machines Corporation Method for kinetically controlled etching of copper
WO2008157345A2 (en) 2007-06-13 2008-12-24 Advanced Technology Materials, Inc. Wafer reclamation compositions and methods
KR20100051839A (ko) * 2007-08-02 2010-05-18 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 마이크로전자 장치로부터 잔사를 제거하기 위한 플루오라이드 비-함유 조성물
SG183744A1 (en) 2007-08-20 2012-09-27 Advanced Tech Materials Composition and method for removing ion-implanted photoresist
JP5286290B2 (ja) 2008-02-15 2013-09-11 ライオン株式会社 洗浄剤組成物および電子デバイス用基板の洗浄方法、並びに電子デバイス用基板
EP2268765A4 (en) 2008-03-07 2011-10-26 Advanced Tech Materials UNSELECTIVE OXIDIZE WET CLEANING AGENT AND USE
EP2288965A4 (en) 2008-05-01 2011-08-10 Advanced Tech Materials LOW PH MIXTURES FOR REMOVAL OF HIGH DENSITY IMPLANTED RESERVE
CN102216854A (zh) 2008-08-04 2011-10-12 高级技术材料公司 环境友好型聚合物剥离组合物
US8252119B2 (en) 2008-08-20 2012-08-28 Micron Technology, Inc. Microelectronic substrate cleaning systems with polyelectrolyte and associated methods
KR20110063845A (ko) 2008-10-02 2011-06-14 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 실리콘 기판의 금속 로딩 및 표면 패시베이션을 향상시키기 위한 계면활성제/소포제 혼합물의 용도
CN102197124B (zh) 2008-10-21 2013-12-18 高级技术材料公司 铜清洁及保护调配物
WO2010088194A2 (en) 2009-01-28 2010-08-05 Advanced Technology Materials, Inc. Lithographic tool in situ clean formulations
WO2010086745A1 (en) 2009-02-02 2010-08-05 Atmi Taiwan Co., Ltd. Method of etching lanthanum-containing oxide layers
WO2010091045A2 (en) 2009-02-05 2010-08-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of polymers and other organic material from a surface
US8754021B2 (en) 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
CN102169818B (zh) 2009-12-17 2013-12-11 罗门哈斯电子材料有限公司 纹理化半导体衬底的改进方法
KR20130016200A (ko) 2010-01-29 2013-02-14 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 배선이 제공된 반도체를 위한 세정제
JP2013533631A (ja) 2010-07-16 2013-08-22 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド エッチング後残渣を除去するための水性洗浄剤
JP2012036750A (ja) 2010-08-04 2012-02-23 Panasonic Corp 圧縮機
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
TWI559387B (zh) 2010-08-27 2016-11-21 恩特葛瑞斯股份有限公司 預防乾燥期間高縱橫比結構崩塌之方法
KR101827031B1 (ko) 2010-10-06 2018-02-07 엔테그리스, 아이엔씨. 질화 금속을 선택적으로 에칭하기 위한 조성물 및 방법
KR101891363B1 (ko) 2010-10-13 2018-08-24 엔테그리스, 아이엔씨. 티타늄 니트라이드 부식을 억제하기 위한 조성물 및 방법
JPWO2012066894A1 (ja) 2010-11-19 2014-05-12 三菱瓦斯化学株式会社 半導体基板の洗浄用液体組成物およびそれを用いた半導体基板の洗浄方法
WO2012097143A2 (en) 2011-01-13 2012-07-19 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium- containing solutions
JP2012186470A (ja) 2011-02-18 2012-09-27 Sanyo Chem Ind Ltd 銅配線半導体用洗浄剤
JP2012251026A (ja) 2011-05-31 2012-12-20 Sanyo Chem Ind Ltd 半導体用洗浄剤
WO2012174518A2 (en) 2011-06-16 2012-12-20 Advanced Technology Materials, Inc. Compositions and methods for selectively etching silicon nitride
US20130045908A1 (en) 2011-08-15 2013-02-21 Hua Cui Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
US9257270B2 (en) 2011-08-15 2016-02-09 Ekc Technology Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
US8618036B2 (en) 2011-11-14 2013-12-31 International Business Machines Corporation Aqueous cerium-containing solution having an extended bath lifetime for removing mask material
JP6066552B2 (ja) 2011-12-06 2017-01-25 関東化學株式会社 電子デバイス用洗浄液組成物
US9546321B2 (en) 2011-12-28 2017-01-17 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
SG10202102525WA (en) 2012-03-12 2021-04-29 Entegris Inc Methods for the selective removal of ashed spin-on glass
WO2013138278A1 (en) 2012-03-12 2013-09-19 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014088526A (ja) * 2012-10-31 2014-05-15 Neos Co Ltd シリカスケール除去剤組成物
JP2018500456A (ja) * 2014-10-21 2018-01-11 キャボット マイクロエレクトロニクス コーポレイション 腐食抑制剤及び関連組成物並びに方法
JP2018537854A (ja) * 2015-11-19 2018-12-20 オーシーアイ カンパニー リミテッドOCI Company Ltd. 銅エッチング用組成物及び過酸化水素系金属エッチング用組成物
JP2019502803A (ja) * 2015-12-22 2019-01-31 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se 化学機械研磨後の洗浄組成物
JP2019527468A (ja) * 2016-07-14 2019-09-26 キャボット マイクロエレクトロニクス コーポレイション コバルトcmp用の代替的な酸化剤
JP7253924B2 (ja) 2016-07-14 2023-04-07 シーエムシー マテリアルズ,インコーポレイティド コバルトcmp用の代替的な酸化剤
WO2018135290A1 (ja) * 2017-01-17 2018-07-26 株式会社ダイセル 半導体基板洗浄剤
JPWO2018135290A1 (ja) * 2017-01-17 2019-11-07 株式会社ダイセル 半導体基板洗浄剤
US11279905B2 (en) 2017-01-17 2022-03-22 Daicel Corporation Semiconductor substrate cleaning agent
JP7122258B2 (ja) 2017-01-17 2022-08-19 株式会社ダイセル 半導体基板洗浄剤
JP2019038917A (ja) * 2017-08-24 2019-03-14 攝津製油株式会社 洗浄剤組成物、洗浄剤、及び洗浄方法
JP2021536669A (ja) * 2018-08-28 2021-12-27 インテグリス・インコーポレーテッド セリア粒子向けのcmp後洗浄用組成物
JP7212764B2 (ja) 2018-08-28 2023-01-25 インテグリス・インコーポレーテッド セリア粒子向けのcmp後洗浄用組成物
JP2020188090A (ja) * 2019-05-13 2020-11-19 Jsr株式会社 コバルトを含む基板を処理するための半導体洗浄用または化学機械研磨用組成物

Also Published As

Publication number Publication date
EP2814895A1 (en) 2014-12-24
KR20140139498A (ko) 2014-12-05
KR102105381B1 (ko) 2020-04-29
WO2013123317A1 (en) 2013-08-22
US10176979B2 (en) 2019-01-08
SG11201404930SA (en) 2014-09-26
TW201343905A (zh) 2013-11-01
US20160020087A1 (en) 2016-01-21
CN104508072A (zh) 2015-04-08
TWI600756B (zh) 2017-10-01
EP2814895A4 (en) 2015-10-07

Similar Documents

Publication Publication Date Title
US10176979B2 (en) Post-CMP removal using compositions and method of use
US11127587B2 (en) Non-amine post-CMP compositions and method of use
JP6339555B2 (ja) 高いwn/w選択率を有するストリッピング組成物
TWI703210B (zh) 化學機械研磨後調配物及使用方法
KR101912400B1 (ko) TiN 하드 마스크 및 에치 잔류물 제거
US9340760B2 (en) Non-amine post-CMP composition and method of use
US9416338B2 (en) Composition for and method of suppressing titanium nitride corrosion
KR101914817B1 (ko) 비-아민 cmp-후 조성물 및 사용 방법
JP2011517328A (ja) 非選択性酸化物エッチング湿式洗浄組成物および使用方法
WO2005076332A1 (ja) 半導体デバイス用基板洗浄液及び洗浄方法
TW202010872A (zh) 含腐蝕抑制劑之清洗組合物
TW201732024A (zh) 用於化學機械硏磨後清潔之組成物
TW201920616A (zh) 於製造一半導體裝置時用於相對氮化鈦選擇性移除氮化鉭的蝕刻組合物
TWI743026B (zh) 無胺之化學機械研磨後(post cmp)組成物及其使用方法
WO2023096862A1 (en) Microelectronic device cleaning composition
KR102026484B1 (ko) 알루미늄 에칭후 잔류물 제거 및 동시 표면 부동태화