KR101827031B1 - 질화 금속을 선택적으로 에칭하기 위한 조성물 및 방법 - Google Patents

질화 금속을 선택적으로 에칭하기 위한 조성물 및 방법 Download PDF

Info

Publication number
KR101827031B1
KR101827031B1 KR1020137011711A KR20137011711A KR101827031B1 KR 101827031 B1 KR101827031 B1 KR 101827031B1 KR 1020137011711 A KR1020137011711 A KR 1020137011711A KR 20137011711 A KR20137011711 A KR 20137011711A KR 101827031 B1 KR101827031 B1 KR 101827031B1
Authority
KR
South Korea
Prior art keywords
acid
ether
metal gate
ammonium
gate material
Prior art date
Application number
KR1020137011711A
Other languages
English (en)
Other versions
KR20130139278A (ko
Inventor
티안니우 첸
니콜 이 토마스
스티븐 리피
제프리 에이 바네스
에마뉴엘 아이 쿠퍼
펭 장
Original Assignee
엔테그리스, 아이엔씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엔테그리스, 아이엔씨. filed Critical 엔테그리스, 아이엔씨.
Publication of KR20130139278A publication Critical patent/KR20130139278A/ko
Application granted granted Critical
Publication of KR101827031B1 publication Critical patent/KR101827031B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00523Etching material
    • B81C1/00539Wet etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only

Abstract

제 1 및 제 2 금속 게이트 물질을 갖는 미소전자 장치로부터 제 2 금속 게이트 물질(예컨대, 질화 탄탈륨)에 비하여 제 1 금속 게이트 물질(예컨대, 질화 티타늄)을 선택적으로 제거하기 위한 제거 조성물 및 방법이다. 제거 조성물은 불화물을 포함할 수 있거나 그 대신에 불화물을 실질적으로 포함하지 않을 수 있다. 기판은 바람직하게는 고-k/금속 게이트 집적 체계를 포함한다.

Description

질화 금속을 선택적으로 에칭하기 위한 조성물 및 방법{COMPOSITION AND PROCESS FOR SELECTIVELY ETCHING METAL NITRIDES}
본 발명은 제 1 및 제 2 금속 게이트 물질을 포함하는 기판으로부터 제 2 금속 게이트 물질에 비하여 제 1 금속 게이트 물질을 선택적으로 제거하기 위한 조성물 및 방법에 관한 것이다. 바람직하게는, 상기 기판은 고-k/금속 게이트 집적 체계를 포함한다.
오늘날의 집적 회로(IC)의 대부분은 다수의 상호연결 전계 효과 트랜지스터(FET)(또한 산화 금속 반도체 전계 효과 트랜지스터(MOSFET 또는 MOS 트랜지스터)라고도 불림)를 사용하여 구현된다. MOS 트랜지스터는 그 사이에 전류가 흐를 수 있는 기판 내에 반도체 기판 및 이격 소스 및 드레인 영역을 덮는 제어 전극으로서 게이트 전극을 포함한다. 게이트 절연체는 게이트 전극과 반도체 기판 사이에 배치되어 기판으로부터 게이트 전극을 전기적으로 분리한다. 게이트 전극에 적용된 제어 전압은 소스와 드레인 영역 사이의 게이트 전극의 기저가 되는 기판의 채널을 통하여 전류의 흐름을 제어한다. 단일 IC 칩에 더 많은 회로를 포함하는 지속적인 경향이 있다. 회로의 양이 증가하는 것을 포함하기 위하여, 회로에서의 각 개별적인 장치의 크기 및 장치 요소 사이의 크기 및 간격(피처 크기)이 감소되어야 한다.
반도체 장치의 크기 조정을 달성하기 위하여, 다양한 관습에 얽매이지 않는, 민감한 및/또는 이국적인 물질이 고려되고 있다. "고-k 유전체"로도 언급되는, 고 유전 상수 물질, 예컨대 이산화 하프늄(HfO2), 규소 산화질화 하프늄 (HfSiON) 또는 이산화 지르코늄(ZrO2)이 45 nm 노드 기술에 및 게이트 절연체의 크기 조정의 허용을 넘어 고려된다. 페르미(Fermi)-수준 피닝을 방지하기 위하여, 적절한 일함수를 갖는 금속 게이트가 고-k 게이트 유전체 상의 게이트 전극으로서 사용된다. 이러한 금속 게이트 전극은 전형적으로 금속 게이트-형성 물질, 예컨대 란타늄(La), 알루미늄(Al), 마그네슘(Mg), 루테늄(Ru), 티타늄-기반 물질(예컨대 티타늄(Ti) 및 질화 티타늄(TiNx)), 탄탈륨-기반 물질(예컨대 탄탈륨(Ta) 및 질화 탄탈륨(TaNx)), 탄화 티타늄(Ti2C) 또는 탄화 탄탈륨(Ta2C) 등으로 형성된다.
금속 게이트 전극의 최적의 일함수는 NMOS 트랜지스터 또는 PMOS 트랜지스터를 형성하기 위하여 사용된 것인지에 따라 다르다. 그 이유 때문에, 동일한 물질이 NMOS 및 PMOS 트랜지스터용 금속 게이트 전극을 만드는 데에 사용되는 경우, 게이트 전극은 장치의 두 유형 모두의 목적 일함수를 입증할 수 없다. 이 문제는 제 1 물질로부터 NMOS 트랜지스터의 금속 게이트 전극을, 제 2 물질로부터 PMOS 트랜지스터의 금속 게이트 전극을 형성함으로써 제거될 수 있다는 것이 입증되어 왔다. 제 1 물질은 NMOS 게이트 전극을 위한 용인될 수 있는 일함수를 보장할 수 있는 반면, 제 2 물질은 PMOS 게이트 전극을 위한 용인될 수 있는 일함수를 보장할 수 있다. 그러나, 이러한 이중 금속 게이트 장치를 형성하는 공정은 복잡하고 고가일 수 있다. 예를 들어, 고-k/금속 게이트 집적 체계 중의 일함수 금속, 예컨대 TiNx 및 TaNx의 선택적인 에칭은 이들 질화 금속의 유사한 물리적 및 화학적 성질 때문에 도전받아 왔다.
그 끝으로, 본 발명의 목적은 제 1 및 제 2 금속 게이트 물질을 갖는 미소전자 장치로부터 제 2 금속 게이트 물질에 비하여 제 1 금속 게이트 물질을 선택적으로 제거하기 위한 개선된 조성물 및 방법을 제공하는 것이고, 상기 조성물은 기판상의 다른 게이트 적층 물질과 호환가능하다.
본 발명은 일반적으로 제 1 및 제 2 금속 게이트 물질을 포함하는 기판으로부터 제 2 금속 게이트 물질에 비하여 제 1 금속 게이트 물질을 선택적으로 제거하기 위한 조성물 및 방법에 관한 것이다. 바람직하게는, 기판은 고-k/금속 게이트 집적 체계를 포함한다.
한 측면에서, 제 1 금속 게이트 물질 및 제 2 금속 게이트 물질을 포함하는 기판을 제거 조성물과 접촉시켜 제거 조성물이 제 2 금속 게이트 물질에 비하여 제 1 금속 게이트 물질을 선택적으로 제거함을 포함하는, 적어도 제 2 금속 게이트 물질에 비하여 제 1 금속 게이트 물질을 선택적으로 제거하는 방법이 기재되어 있다.
다른 측면에서, 제 1 금속 게이트 물질 및 제 2 금속 게이트 물질을 포함하는 기판을 1 개 이상의 산화제 및 1 개 이상의 질화 금속 억제제를 포함하는 제거 조성물과 접촉시켜 제거 조성물이 제 2 금속 게이트 물질에 비하여 제 1 금속 게이트 물질을 선택적으로 제거함을 포함하는, 적어도 제 2 금속 게이트 물질에 비하여 제 1 금속 게이트 물질을 선택적으로 제거하는 방법이 기재되어 있다.
본 발명의 다른 측면, 특징 및 장점은 뒤따르는 개시 및 첨부된 청구범위로부터 더 완벽하게 명백해질 것이다.
본 발명은 일반적으로 제 1 및 제 2 금속 게이트 물질을 포함하는 기판으로부터 제 2 금속 게이트 물질에 비하여 제 1 금속 게이트 물질을 선택적으로 제거하기 위한 조성물 및 방법에 관한 것이다. 보다 구체적으로, 본 발명은 일반적으로 제 1 및 제 2 금속 게이트 물질을 포함하는 기판으로부터 제 2 금속 게이트 물질에 비하여 제 1 금속 게이트 물질을 선택적으로 제거하기 위한 조성물 및 습식 방법에 관한 것이고, 이때 상기 조성물 및 방법은 기판상에 존재하는 다른 게이트 적층 물질을 실질적으로 제거하지 않는다. 바람직하게는, 기판은 고-k/금속 게이트 집적 체계를 포함한다.
참조의 용이성을 위하여, "미소전자 장치"는 미소전자, 집적 회로, 에너지 수집 또는 컴퓨터 칩 적용에 사용하기 위해 제조되는 반도체 기판, 평면 패널 디스플레이, 상 변화 메모리 장치, 태양 패널, 및 태양 전지 장치, 광전지 및 미소전자기계 시스템(MEMS)을 비롯한 다른 제품에 상응한다. 용어 "미소전자 장치", "미소전자 기판" 및 "미소전자 장치 구조체"는 어떠한 방식으로든 한정하고자 하는 의미가 아니며, 결국 미소전자 장치 또는 미소전자 어셈블리가 될 임의의 기판 또는 구조체를 포함하는 것으로 이해되어야 한다. 미소전자 장치는 패턴화될 수 있고/있거나 피복될 수 있고/있거나 제어장치일 수 있고/있거나 시험 장치일 수 있다.
본원에 정의된 "금속 게이트 물질은 반도체 기판의 미드-갭, 예컨대 Ti, Ta, W, Mo, Ru, Al, La, 질화 티타늄, 질화 탄탈륨, 탄화 탄탈륨, 탄화 티타늄, 질화 몰리브덴, 질화 텅스텐, 산화 루테늄(IV), 규소 질화 탄탈륨, 규소 질화 티타늄, 탄소 질화 탄탈륨, 탄소 질화 티타늄, 알루미늄화 티타늄, 알루미늄화 탄탈륨, 알루미늄 질화 티타늄, 알루미늄 질화 탄탈륨, 산화 란타늄 또는 이들의 조합에 상응하는 페르미-수준을 갖는 물질에 상응한다. 금속 게이트 물질로 개시된 화합물은 다양한 화학량론을 가질 수 있다는 것이 인식되어야 한다. 따라서, 질화 티타늄은 본원에서 TiNx, 질화 탄탈륨은 본원에서 TaNx 등으로 표현될 것이다.
본원에 정의된 "고-k 유전체" 물질은 산화 하프늄(예컨대, HfO2); 산화 지르코늄(예컨대, ZrO2); 옥시규산 하프늄; 규산 하프늄; 규산 지르코늄; 규산 티타늄; 산화 알루미늄; 이들의 란타늄-도핑된 유사체(예컨대, LaAlO3); 규산 알루미늄; 티탄산염(예컨대, Ta2O5); 하프늄 및 규소의 산화물 및 질화물(예컨대, HfSiON); 이들의 란타늄-도핑된 유사체(예컨대, HFSiON(La)); 티탄산 바륨 스트론튬(BST); 하프늄 및 알루미늄의 산화물(예컨대, HfxAlyOz); 티탄산 스트론튬(SrTiO3); 티탄산 바륨(BaTiO3) 및 이들의 조합에 상응한다.
본원에 정의된 "게이트 적층 물질"은 미소전자 장치상의 탄탈륨, 질화 탄탈륨, 질화 티타늄, 티타늄, 니켈, 코발트, 텅스텐, 질화 텅스텐 및 전술한 금속의 규화물; 저-k 유전체; 폴리규소; 폴리-SiGe; 산화 규소; 질화 규소; BEOL 층; 고-k 교체 게이트; 산화 하프늄; 옥시규산 하프늄; 산화 지르코늄; 산화 란탄나이드; 티탄산염; 이들의 질소-도핑된 유사체; 루테늄; 이리듐; 카드뮴; 납; 셀레늄; 은; MoTa; 및 이들의 조합 및 염에 상응한다.
본원에 사용되는 "약"은 언급된 값의 ±5%에 상응한다.
"실질적으로 포함하지 않는"은 본원에서 2 중량% 미만, 바람직하게는 1 중량% 미만, 더 바람직하게는 0.5 중량% 미만, 더 바람직하게는 0.1 중량% 미만, 가장 바람직하게는 0 중량%로 정의된다.
본원에 사용되는 "제 2 금속 게이트 물질에 비하여 제 1 금속 게이트 물질을 선택적으로 제거하는 것"은 약 2:1 내지 약 1000:1, 바람직하게는 약 2:1 내지 약 100:1. 가장 바람직하게는 3:1 내지 50:1의 에칭 속도 선택성에 상응한다. 다시 말하면, 제 1 금속 게이트 물질의 에칭 속도가 2 Åmin-1(또는 1000 Åmin-1까지)인 경우, 제 2 금속 게이트 물질의 에칭 속도는 1 Åmin-1이다.
본원에 사용되는 용어 "제거하다"는 기판으로부터 조성물로의 제 1 금속 게이트 물질의 선택적인 제거에 상응한다. 제 1 금속 게이트 물질이 조성물에 용해되거나 가용화된다는 것, 바람직하게는 용해된다는 것이 인식되어야 한다. 더욱이, 조성물이 용해된 또는 가용화된 기판으로부터 무시할 수 있는 양의 제 2 금속 게이트 물질을 포함할 수 있다는 것이 당업자에 의하여 인식되어야 한다.
본원에서 정의된 "아민" 종은 1 개 이상의 1 급, 2 급, 3 급 아민 및 N-산화 아민을 포함하고, (i) 카르복시산 기 및 아민 기를 모두 포함하는 종, (ii) 아민 기를 포함하는 계면활성제, 및 (iii) 아민 기가 (예컨대, 아릴 또는 헤테로시클릭 부위에 부착된) 치환기인 종은 본 정의에 따른 "아민"으로 간주되지 않는다는 단서를 갖는다. 아민 화학식은 NR1R2R3에 의하여 표현될 수 있고, 이때 R1, R2 및 R3은 서로 같거나 다를 수 있고, 수소, 직쇄 또는 분지쇄 C1-C6 알킬(예컨대, 메틸, 에틸, 프로필, 부틸, 펜틸, 헥실), C6-C10 아릴(예컨대, 벤질), 직쇄 또는 분지쇄 C1-C6 알칸올(예컨대, 메탄올, 에탄올, 프로판올, 부탄올, 펜탄올, 헥산올) 및 이들의 조합으로 구성된 군으로부터 선택되되, R1, R2 및 R3은 모두 수소일 수는 없다.
본원에서 사용되는 "불화물" 종은 불화 이온(F-) 또는 공유 결합된 불소를 포함하는 종에 상응한다. 불화물 종은 불화물 종을 포함할 수 있거나 동일 반응계에서 생성될 수 있다는 것이 인식되어야 한다.
본원에서 사용되는 "염화물" 종은 염화 이온(Cl-)을 포함하는 종에 상응하되, 염화 이온을 포함하는 계면활성제는 본 정의에 따른 "염화물이 아닌 것으로 간주된다.
이하 더 완전히 기재되는 바와 같이, 본 발명의 조성물은 다양한 구체적인 제제로 구현될 수 있다.
조성물의 특정 성분이 영 하한을 포함하는 중량백분율 범위로 논의되는, 모든 이러한 조성물에서, 조성물의 다양한 구체적인 실시양태에서 이러한 성분이 존재하거나 포함하지 않을 수 있다는 것 및 그러한 성분이 존재하는 예시에서 그들이, 이러한 성분이 사용된 조성물의 총중량에 기초하여, 0.001 중량% 만큼 낮은 농도로 존재할 수 있다는 것이 이해될 것이다.
한 측면에서, 제 1 금속 게이트 물질 및 제 2 금속 게이트 물질을 포함하는 기판을 제거 조성물과 접촉시켜 상기 제거 조성물이 제 2 금속 게이트 물질에 비하여 제 1 금속 게이트 물질을 선택적으로 제거함을 포함하는, 적어도 제 2 금속 게이트 물질에 비하여 제 1 금속 게이트 물질을 선택적으로 제거하는 방법이 기재되어 있다. 바람직하게는, 상기 조성물이 기판상에 존재하는 다른 게이트 적층 물질을 실질적으로 제거하지 않는다. 한 실시양태에서, 제 1 금속 게이트 물질은 티타늄을 포함하고 제 2 금속 게이트 물질은 탄탈륨을 포함한다. 다른 실시양태에서, 제 1 금속 게이트 물질은 제 1 질화 금속이고 제 2 금속 게이트 물질은 제 2 질화 금속이다. 또다른 실시양태에서, 제 1 금속 게이트 물질은 질화 티타늄이고 제 2 금속 게이트 물질이 질화 탄탈륨이다. 또다른 실시양태에서, 제 1 금속 게이트 물질은 질화 탄탈륨이고 제 2 금속 게이트 물질이 질화 티타늄이다. 바람직하게는 기판은 고-k/금속 게이트 집적 체계를 포함한다. 제 1 금속 게이트 물질은 반드시 처음으로 증착된 금속 게이트 물질이 아니라는 것, 예컨대 2 개 이상의 금속 게이트 물질이 기판상에 증착된 경우, 오히려 우선적으로 선택적으로 제거되는 금속 게이트 물질이라는 것이 인식되어야 한다.
상기 방법은 실온 내지 약 100 ℃, 바람직하게는 약 40 ℃ 내지 약 80 ℃의 온도에서 제 2 금속 게이트 물질에 비하여 제 1 금속 게이트 물질을 선택적으로 제거한다. 제거 시간이 제거가 단일 웨이퍼 도구 또는 다중 웨이퍼 도구로 수행되는지에 따라 다양하다는 것이 당업자에 의하여 인식되어야 하고, 이때 바람직하게는 시간은 전자에서 약 1 분 내지 약 10 분이고, 후자에서 약 1 분 내지 약 60 분이다. 이러한 접촉 시간 및 온도는 예시적인 것이고, 기판으로부터 제 2 금속 게이트 물질에 비하여 제 1 금속 게이트 물질을 선택적으로 제거하는 데에 효과적인 임의의 다른 적합한 시간 및 온도 조건이 사용될 수 있다.
바람직하게는, 제 1 금속 게이트 물질의 제거 속도는 약 20 min-1 내지 약 200 min-1, 더 바람직하게는 약 30 min-1 내지 약 100 min-1이다. 바람직하게는 제 1 게이트 물질의 제거는 등방성이다. 제 2 금속 게이트 물질의 제거 속도는 본원에 기재된 바와 같이 제 1 금속 게이트 물질의 제거 속도보다 낮다.
두번째 측면에서, 제 2 금속 게이트 물질에 비하여 제 1 금속 게이트 물질을 선택적으로 제거하기 위하여, 1 개 이상의 불화물, 1 개 이상의 질화 금속 억제제, 임의적으로 1 개 이상의 산화제, 임의적으로 1 개 이상의 계면활성제 및 1 개 이상의 용매를 포함하는 불화물-함유 제거 조성물이 기재된다. 바람직하게는, 상기 조성물은 기판상에 존재하는 다른 게이트 적층 물질을 실질적으로 제거하지 않는다. 다른 실시양태에서, 본 발명의 제거 조성물은 1 개 이상의 불화물, 1 개 이상의 질화 금속 억제제 및 1 개 이상의 용매를 포함하거나, 이들로 구성되어 있거나, 이들로 본질적으로 구성되어 있다. 또다른 실시양태에서, 본 발명의 제거 조성물은 1 개 이상의 불화물, 1 개 이상의 질화 금속 억제제, 1 개 이상의 산화제 및 1 개 이상의 용매를 포함하거나, 이들로 구성되어 있거나, 이들로 본질적으로 구성되어 있다. 또다른 실시양태에서, 본 발명의 제거 조성물은 1 개 이상의 불화물, 1 개 이상의 질화 금속 억제제, 1 개 이상의 계면활성제 및 1 개 이상의 용매를 포함하거나, 이들로 구성되어 있거나, 이들로 본질적으로 구성되어 있다. 또다른 실시양태에서, 본 발명의 제거 조성물은 1 개 이상의 불화물, 1 개 이상의 질화 금속 억제제, 1 개 이상의 산화제, 1 개 이상의 계면활성제 및 1 개 이상의 용매를 포함하거나, 이들로 구성되어 있거나, 이들로 본질적으로 구성되어 있다.
본 발명의 바람직한 실시양태에서, 불화물-함유 제거 조성물은 연마제 또는 다른 무기 미립자 물질, 아민, 염화물(Cl-), 할로겐화 금속, 규산염 및 이들의 조합을 실질적으로 포함하지 않는다. 두번째 측면의 불화물-함유 제거 조성물의 pH는 바람직하게는 3 내지 7이다.
1 개 이상의 용매는 물 및 화학식 R1R2R3C(OH)의 화합물로 구성된 군으로부터 선택된 1 개 이상의 물-혼화성 유기 용매를 포함할 수 있고, 이때 R1, R2 및 R3은 서로 독립적이고, 수소, C2-C30 알킬, C2-C30 알켄, 시클로알킬, C2-C30 알콕시 및 이들의 조합으로 구성된 군으로부터 선택된다. 예를 들어, 1 개 이상의 용매는 물, 메탄올, 에탄올, 아이소프로판올, 부탄올, 펜탄올, 헥산올, 2-에틸-1-헥산올, 헵탄올, 옥탄올, 에틸렌 글리콜, 프로필렌 글리콜, 부틸렌 글리콜, 탄산 부틸렌, 탄산 에틸렌, 탄산 프로필렌, 디프로필렌 글리콜, 디에틸렌 글리콜 모노메틸 에테르, 트리에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 모노에틸 에테르, 트리에틸렌 글리콜 모노에틸 에테르, 에틸렌 글리콜 모노프로필 에테르, 에틸렌 글리콜 모노부틸 에테르, 디에틸렌 글리콜 모노부틸 에테르, 트리에틸렌 글리콜 모노부틸 에테르, 에틸렌 글리콜 모노헥실 에테르, 디에틸렌 글리콜 모노헥실 에테르, 에틸렌 글리콜 페닐 에테르, 프로필렌 글리콜 메틸 에테르, 디프로필렌 글리콜 메틸 에테르(DPGME), 트리프로필렌 글리콜 메틸 에테르(TPGME), 디프로필렌 글리콜 디메틸 에테르, 디프로필렌 글리콜 에틸 에테르, 프로필렌 글리콜 n-프로필 에테르, 디프로필렌 글리콜 n-프로필 에테르(DPGPE), 트리프로필렌 글리콜 n-프로필 에테르, 프로필렌 글리콜 n-부틸 에테르, 디프로필렌 글리콜 n-부틸 에테르, 트리프로필렌 글리콜 n-부틸 에테르, 프로필렌 글리콜 페닐 에테르, 2,3-디히드로데카플루오로펜탄, 에틸 과플루오로부틸에테르, 메틸 과플루오로부틸에테르, 탄산 알킬, 탄산 알킬렌, 4-메틸-2-펜탄올, 고밀도 유체 및 이들의 조합으로 구성된 군으로부터 선택된 1 개 이상의 종을 포함할 수 있다. 바람직하게는, 1 개 이상의 용매는 물, 가장 바람직하게는 탈이온수를 포함한다.
1 개 이상의 불화물 공급원은 불화수소산, 불화 암모늄, 이불화 암모늄, 헥사플루오로규산, 테트라플루오로붕산, 테트라플루오로붕산 테트라부틸암모늄(TBA-BF4), 헥사플루오로탄탈산, 헥사플루오로탄탈산 암모늄 및 이들의 조합을 포함하나 이에 한정되지 않는다. 바람직하게는, 불화물 공급원은 이불화 암모늄, TBA-BF4 또는 이들의 조합을 포함한다.
본원에서 고려된 산화제는 오존, 과산화수소(H2O2), 옥손, 옥손 테트라부틸암모늄 염, 질산 철(Fe(NO3)3), 요오드산 칼륨(KIO3), 요오드산(HIO3), 과요오드산(H5IO6), 과망간산 칼륨(KMnO4), 과망간산(HMnO4), 산화 크롬(III), 질산 세륨 암모늄((NH4)2Ce(NO3)6), 질산(HNO3), 아염소산 암모늄(NH4ClO2), 염소산 암모늄(NH4ClO3), 요오드산 암모늄(NH4IO3), 과붕산 암모늄(NH4BO3), 과염소산 암모늄(NH4ClO4), 과요오드산 암모늄(NH4IO3), 과황산 암모늄((NH4)2S2O8), 과황산 나트륨(Na2S2O8), 과황산 칼륨(K2S2O8), 아염소산 테트라메틸암모늄((N(CH3)4)ClO2), 염소산 테트라메틸암모늄((N(CH3)4)ClO3), 요오드산 테트라메틸암모늄((N(CH3)4)IO3), 과붕산 테트라메틸암모늄((N(CH3)4)BO3), 과염소산 테트라메틸암모늄((N(CH3)4)ClO4), 과요오드산 테트라메틸암모늄((N(CH3)4)IO4), 과황산 테트라메틸암모늄((N(CH3)4)S2O8), 요소 과산화수소 ((CO(NH2)2)H2O2), 과아세트산 (CH3(CO)OOH) 및 이들의 조합을 포함하나 이에 한정되지 않는다. 바람직하게는, 산화제는 과산화수소를 포함한다. 상기 산화제는 팹(fab)에서 또는 팹 이전 단계에서 조성물에 도입될 수 있다. 산화제가 존재할 경우, 제거 조성물은 황산, 질산, 아세트산, 트리플루오로아세트산 및 염산을 포함하나 이에 한정되지 않는 1 개 이상의 산으로 더 보충될 수 있다.
바람직하게는, 질화 금속 억제제는 제 1 금속 게이트 물질에 비하여 제 2 금속 게이트 물질의 제거를 억제하고, 붕산, 붕산 암모늄, 아스코르브산, L(+)-아스코르브산, 아이소아스코르브산, 아스코르브산 유도체, 갈산, 디포스폰산, 예컨대 1-히드록시에틸리덴-1,1-디포스폰산(HEDP), 1-히드록시에탄-1,1-디포스폰산, 니트릴로트리스(메틸렌포스폰산)(NTMPA), N,N,N',N'-에틸렌디아민테트라(메틸렌포스폰산)(EDTMP), 1,5,9-트리아자시클로도데칸-N,N',N"-트리스(메틸렌포스폰산)(DOTRP), 1,4,7,10-테트라아자시클로도데칸-N,N',N",N'"-테트라키스(메틸렌포스폰산)(DOTP), 디에틸렌트리아민펜타(메틸렌포스폰산)(DETAP), 아미노트리(메틸렌포스폰산), 비스(헥사메틸렌)트리아민 포스폰산, 1,4,7-트리아자시클로노난-N,N',N"-트리스(메틸렌포스폰산)(NOTP), 인산 에스테르; 5-아미노-1,3,4-티아디아졸-2-티올(ATDT), 벤조트리아졸(BTA), 시트르산, 옥살산, 탄닌산, 에틸렌디아민테트라아세트산(EDTA), 요산, 1,2,4-트리아졸(TAZ), 톨릴트리아졸, 5-페닐-벤조트리아졸, 5-니트로-벤조트리아졸, 3-아미노-5-메르캅토-1,2,4-트리아졸, 1-아미노-1,2,4-트리아졸, 히드록시벤조트리아졸, 2-(5-아미노-펜틸)-벤조트리아졸, 1-아미노-1,2,3-트리아졸, 1-아미노-5-메틸-1,2,3-트리아졸, 3-아미노-1,2,4-트리아졸, 3-메르캅토-1,2,4-트리아졸, 3-아이소프로필-1,2,4-트리아졸, 5-페닐티올-벤조트리아졸, 할로-벤조트리아졸(할로 = F, Cl, Br 또는 I), 나프토트리아졸, 2-메르캅토벤즈이미다졸(MBI), 2-메르캅토벤조티아졸, 4-메틸-2-페닐이미다졸, 2-메르캅토티아졸린, 5-아미노테트라졸, 2,4-디아미노-6-메틸-1,3,5-트리아진, 티아졸, 트리아진, 메틸테트라졸, 1,3-디메틸-2-이미다졸리디논, 1,5-펜타메틸렌테트라졸, 1-페닐-5-메르캅토테트라졸, 디아미노메틸트리아진, 이미다졸린 티온, 메르캅토벤즈이미다졸, 4-메틸-4H-1,2,4-트리아졸-3-티올, 벤조티아졸, 인산 트리톨릴, 이미다졸, 인디아졸, 벤조산, 말론산, 벤조산 암모늄, 카테콜, 피로갈롤, 레조르시놀, 히드로퀴논, 시아누르산, 바르비투르산 및 유도체, 예컨대 1,2-디메틸바르비투르산, 알파-케토산, 예컨대 피루브산, 아데닌, 퓨린, 글리신/아스코르브산, 데퀘스트(Dequest) 2000, 데퀘스트 7000, p-톨릴티오요소, 숙신산, 포스포노부탄 트리카르복시산(PBTCA) 및 이들의 조합을 포함하나 이에 한정되지 않는다. 고려된 다른 디스포스폰산은 하기 화학식 I의 유도체를 포함한다:
[화학식 I]
Figure 112013039760935-pct00001
상기 식에서,
R1, R2, R3, R4, R5, R6 및 R7은 독립적으로 수소, 알킬 기, 시클릭 알킬 기, 알콕시 기로부터 선택되나 이들에 한정되지 않고;
n은 0 내지 20의 정수이다.
또다른 실시양태에서, 질화 금속 억제제는 하기 화학식 Ia를 갖는 화합물을 포함한다:
[화학식 Ia]
(R1)(R2)P(=O)(R3)
상기 식에서,
R1, R2 및 R3은 서로 독립적이고, 수소, 히드록실, C1-C30 알킬, C2-C30 알켄, 시클로알킬, C2-C30 알콕시 또는 이들의 임의의 조합으로 구성된 군으로부터 선택된다.
또다른 실시양태에서, 질화 금속 억제제는 하기 화학식 Ib를 갖는 화합물을 포함한다:
[화학식 Ib]
(R1R2R3R4)NX
상기 식에서,
R1, R2, R3 및 R4는 서로 독립적이고, 수소, C1-C30 알킬, C2-C30 알켄, 시클로알킬, C1-C30 알콕시, C1-C30 카르복시산염 또는 이들의 임의의 조합으로 구성된 군으로부터 선택되고;
X는 -1 전하를 갖는 임의의 음이온이다.
또다른 실시양태에서, 질화 금속 억제제는 하기 화학식 Ic를 갖는 화합물을 포함한다:
[화학식 Ic]
[(R1)(R2)N]C(=O)(CR3R4)nC(=O)[N(R5)(R6)]
상기 식에서,
R1, R2, R3, R4, R5 및 R6은 서로 독립적이고, 수소, C2-C30 알킬, C2-C30 알켄, 시클로알킬, C2-C30 알콕시, C2-C30 카르복시산염 또는 이들의 임의의 조합으로 구성된 군으로부터 선택되고;
n은 1 내지 12의 임의의 정수이다.
또다른 실시양태에서, 질화 금속 억제제는 하기 화학식 Id 또는 Ie를 갖는 카르복시산을 포함한다:
[화학식 Id]
R1C(=O)(OH)
[화학식 Ie]
R1C(=O)(OH)(CH2)n(O=)(HO)CR2
상기 식에서,
R1 또는 R2는 C1-C30 알킬 또는 C2-C30 알킬렌 쇄, 바람직하게는 C1-C20 알킬 또는 C2-C20 알킬렌 쇄로부터 선택되고;
n은 0 내지 20의 정수이다.
바람직한 억제제는 1 개 이상의 데실포스폰산, 도데실포스폰산(DDPA), 테트라데실포스폰산, 헥사데실포스폰산, 비스(2-에틸헥실)인산염, 옥타데실포스폰산, 과플루오로헵탄산, 프리플루오로데칸산, 트리플루오로메탄설폰산, 포스포노아세트산, 도데실벤젠설폰산, 도데세닐숙신산, 디옥타데실 수소 인산염, 옥타데실 이수소 인산염, 도데실아민, 도데세닐숙신산 모노디에탄올 아미드, 라우르산, 팔미트산, 올레산, 주니페르산, 히드록시스테아르산, 옥타데실포스폰산(ODPA) 및 이들의 조합을 포함한다. 가장 바람직하게는, 질화 금속 억제제는 HEDP, 도데실포스폰산, 옥타데실포스폰산 또는 이들의 임의의 조합을 포함한다.
바람직하게는, 질화 금속 억제제는 제 1 금속 게이트 물질에 비하여 제 2 금속 게이트 물질의 제거를 억제한다는 것이 인식되어야 한다. 심지어 더 바람직하게는, 질화 금속 억제제는 동시에 제 1 금속 게이트 물질의 제거를 가속화한다. 질화 금속 억제제가 제 1 및 제 2 금속 게이트 물질 모두의 제거를 억제하는 상황이 있을 수 있고, 여기서 질화 금속 억제제는 제 2 금속 게이트 물질이 실질적으로 억제되고/억제되거나 제 1 금속 게이트 물질의 제거용 가속제가 포함된다는 것을 가정하는 것으로 여전히 용인될 수 있다.
고려된 계면활성제는 산 및 염기, 비이온성 계면활성제, 음이온성 계면활성제, 양이온성 계면활성제, 쌍성이온성 계면활성제 및 이들의 조합을 포함하나 이에 한정되지 않는다. 바람직한 산성 또는 염기성 계면활성제는 산 또는 염기 작용기("머리") 및 직쇄 또는 분지쇄 탄화수소 기("꼬리")를 갖는 계면활성제 및/또는 산 작용기("머리") 및 과불화된 탄화수소 기("꼬리")를 갖는 계면활성제를 포함하나 이에 한정되지 않는다. 바람직한 산 또는 염기 작용기는 인산, 포스폰산, 포스폰산 모노에스테르, 인산 모노에스테르 및 디에스테르, 카르복시산, 디카르복시산 모노에스테르, 트리카르복시산 모노에스테르 및 디에스테르, 황산염 모노에스테르, 설폰산, 아민 및 이들의 염을 포함한다. 바람직하게는, 분자가 예컨대 인산 디에스테르 및 포스폰산 모노에스테르에서 2 개의 알킬쇄를 함유하는 경우 6 내지 20 개의 탄소의 다소 짧은 탄화수소 기(예컨대 헥실, 2-에틸헥실, 도데실)가 바람직한 것을 제외하고, 탄화수소 기는 10 개 초과, 예컨대 10 내지 24 개의 탄소 원자를 갖는다(예컨대, 데실, 운데실, 도데실, 트리데실, 테트라데실, 펜타데실, 헥사데실, 헵타데실, 옥타데실, 노나데실, 아이코실). 바람직하게는, 과불화된 탄화수소 기는 7 내지 14 개의 탄소 원자를 갖는다(예컨대 헵틸, 옥틸, 노닐, 데실, 운데실, 도데실, 트리데실, 테트라데실). 바람직한 계면활성제는 데실포스폰산, 도데실포스폰산, 테트라데실포스폰산, 헥사데실포스폰산, 비스(2-에틸헥실)인산염, 옥타데실포스폰산, 과플루오로헵탄산, 프리플루오로데칸산, 트리플루오로메탄설폰산, 포스포노아세트산, 도데실벤젠설폰산 및 도데실아민을 포함한다.
고려된 비이온성 계면활성제는 폴리옥시에틸렌 라우릴 에테르(에말민(Emalmin) NL-100 (산요(Sanyo)), 브리즈(Brij) 30, 브리즈 98), 도데세닐숙신산 모노디에탄올 아미드(DSDA, 산요), 에틸렌디아민 테트라키스(에톡실레이트-블록-프로폭실레이트) 테트롤 (테트로닉(Tetronic) 90R4), 폴리옥시에틸렌 폴리옥시프로필렌 글리콜(뉴폴(Newpole) PE-68(산요), 플루로닉(Pluronic) L31, 플루로닉 31R1), 폴리옥시프로필렌 수크로오즈 에테르(SN008S, 산요), t-옥틸페녹시폴리에톡시에탄올(트리톤(Triton) X100), 폴리옥시에틸렌 (9) 노닐페닐에테르, 분지형 (IGEPAL CO-250), 폴리옥시에틸렌 소르비톨 헥사올레산염, 폴리옥시에틸렌 소르비톨 테트라올레산염, 폴리에틸렌 글리콜 소르비탄 모노올레산염(트윈(Tween) 80), 소르비탄 모노올레산염(스팬(Span) 80), 알킬-폴리 글루코시드, 에틸 과플루오로부틸산염, 1,1,3,3,5,5-헥사메틸-1,5-비스[2-(5-노르보넨-2-일)에틸]트리실록산, 모노머의 옥타데실실란 유도체, 예컨대 SIS6952.0(실릭래드(Siliclad), 젤레스트(Gelest)), 실록산 개질된 폴리실라잔, 예컨대 PP1-SG10 실릭래드 글라이드(Glide) 10 (젤레스트), 실리콘-폴리에테르 공중합체, 예컨대 실웨트(Silwet) L-77 (세트레 케이칼 캄파니(Setre Chemical Company)), 실웨트 에코 스프레이더(ECO Spreader)(모멘티브(Momentive)) 및 알코올 에톡실레이트(나트서프(Natsurf)265, 크로다(Croda))를 포함하나 이에 한정되지 않는다.
고려된 양이온성 계면활성제는 헵타데칸플루오로옥탄 설폰산 테트라에틸암모늄, 염화 스테아릴 트리메틸암모늄(에코놀(Econol) TMS-28, 산요), 브롬화 4-(4-디에틸아미노페닐아조)-1-(4-니트로벤질)피리듐, 염화 세틸피리듐 일수화물, 염화 벤잘코늄, 염화 벤제토늄, 염화 벤질디메틸도데실암모늄, 염화 벤질디메틸헥사데실암모늄, 브롬화 헥사데실트리메틸암모늄, 염화 디메틸디옥타데실암모늄, 염화 도데실트리메틸암모늄, p-톨루엔설폰산 헥사데실트리메틸암모늄, 브롬화 디도데실디메틸암모늄, 염화 디(수소화된 탤로우)디메틸암모늄, 브롬화 테트라헵틸암모늄, 브롬화 테트라키스(데실)암모늄, 알리쿼트(Aliquat)336 및 브롬화 옥시페노늄을 포함하나 이에 한정되지 않는다. 바람직하게는, 분자가 예컨대 염화 디메틸디옥타데실암모늄, 브롬화 디메틸디헥사데실암모늄 및 염화 디(수소화된 탤로우)디메틸암모늄에서 2 개의 작용화된 알킬 쇄를 함유하는 경우 6 내지 20 개의 탄소의 다소 짧은 탄화수소 기(예컨대 헥실, 2-에틸헥실, 도데실)가 바람직한 것을 제외하고, 탄화수소 기는 10 개 초과, 예컨대 10 내지 24 개의 탄소 원자를 갖는다(예컨대 데실, 운데실, 도데실, 트리데실, 테트라데실, 펜타데실, 헥사데실, 헵타데실, 옥타데실, 노나데실, 아이코실).
고려된 음이온성 계면활성제는 나트륨 폴리옥시에틸렌 라우릴 에테르, 디헥실설포숙신산 나트륨, 디시클로헥실 설포숙신산 나트륨 염, 7-에틸-2-메틸-4-운데실 황산 나트륨(터르지톨(Tergitol) 4), SODOSIL RM02 및 인산염 플루오로계면활성제, 예컨대 조닐(Zonyl) FSJ를 포함하나 이에 한정되지 않는다.
쌍성이온성 계면활성제는 산화 에틸렌 알킬아민(AOA-8, 산요), N-산화 N,N-디메틸도데실아민, 코카민프로핀산 나트륨(레본애플-디(LebonApl-D), 산요), 3-(N,N-디메틸미리스틸암모니오)프로판설폰산염 및 (3-(4-헵틸)페닐-3-히드록시프로필)디메틸암모니오프로판설폰산염을 포함하나 이에 한정되지 않는다.
바람직한 실시양태에서, 두번째 측면의 제거 조성물은 하기를 포함하거나, 하기로 구성되거나, 하기로 본질적으로 구성된다:
Figure 112013039760935-pct00002
세번째 측면에서, 제 2 금속 게이트 물질에 비하여 제 1 금속 게이트 물질을 선택적으로 제거하기 위하여, 1 개 이상의 산화제, 1 개 이상의 질화 금속 억제제, 1 개 이상의 에칭제 화합물, 임의적으로 1 개 이상의 계면활성제 및 1 개 이상의 용매를 포함하고, 불화물을 실질적으로 포함하지 않는 제 2 제거 조성물이 기재된다. 바람직하게는, 제 2 제거 조성물은 기판상에 존재하는 다른 게이트 적층 물질을 실질적으로 제거하지 않는다. 한 실시양태에서, 본 발명의 제 2 제거 조성물은 1 개 이상의 산화제, 1 개 이상의 질화 금속 억제제, 1 개 이상의 에칭제 화합물 및 1 개 이상의 용매를 포함하거나, 이들로 구성되거나, 이들로 본질적으로 구성되고, 불화물을 실질적으로 포함하지 않는다. 또다른 실시양태에서, 본 발명의 제거 조성물은 1 개 이상의 산화제, 1 개 이상의 질화 금속 억제제, 1 개 이상의 에칭제 화합물, 1 개 이상의 계면활성제 및 1 개 이상의 용매를 포함하거나, 이들로 구성되거나, 이들로 본질적으로 구성되고, 불화물을 실질적으로 포함하지 않는다.
1 개 이상의 용매는 물 및 R1R2R3C(OH)의 화합물로 구성된 군으로부터 선택된 1 개 이상의 물-혼화성 유기 용매를 포함할 수 있고, 이때 R1, R2 및 R3은 서로 독립적이고, 수소, C2-C30 알킬, C2-C30 알켄, 시클로알킬, C2-C30 알콕시 및 이들의 조합으로 구성된 군으로부터 선택된다. 예를 들어, 1 개 이상의 용매는 물, 메탄올, 에탄올, 아이소프로판올, 부탄올, 펜탄올, 헥산올, 2-에틸-1-헥산올, 헵탄올, 옥탄올, 에틸렌 글리콜, 프로필렌 글리콜, 부틸렌 글리콜, 탄산 부틸렌, 탄산 에틸렌, 탄산 프로필렌, 디프로필렌 글리콜, 디에틸렌 글리콜 모노메틸 에테르, 트리에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 모노에틸 에테르, 트리에틸렌 글리콜 모노에틸 에테르, 에틸렌 글리콜 모노프로필 에테르, 에틸렌 글리콜 모노부틸 에테르, 디에틸렌 글리콜 모노부틸 에테르, 트리에틸렌 글리콜 모노부틸 에테르, 에틸렌 글리콜 모노헥실 에테르, 디에틸렌 글리콜 모노헥실 에테르, 에틸렌 글리콜 페닐 에테르, 프로필렌 글리콜 메틸 에테르, 디프로필렌 글리콜 메틸 에테르(DPGME), 트리프로필렌 글리콜 메틸 에테르(TPGME), 디프로필렌 글리콜 디메틸 에테르, 디프로필렌 글리콜 에틸 에테르, 프로필렌 글리콜 n-프로필 에테르, 디프로필렌 글리콜 n-프로필 에테르(DPGPE), 트리프로필렌 글리콜 n-프로필 에테르, 프로필렌 글리콜 n-부틸 에테르, 디프로필렌 글리콜 n-부틸 에테르, 트리프로필렌 글리콜 n-부틸 에테르, 프로필렌 글리콜 페닐 에테르, 2,3-디히드로데카플루오로펜탄, 에틸 과플루오로부틸에테르, 메틸 과플루오로부틸에테르, 탄산 알킬, 탄산 알킬렌, 4-메틸-2-펜탄올, 고밀도 유체 및 이들의 조합으로 구성된 군으로부터 선택된 1 개 이상의 종을 포함할 수 있다. 바람직하게는, 1 개 이상의 용매는 물, 가장 바람직하게는 탈이온수를 포함한다.
본원에서 고려된 산화제는 오존, 과산화수소(H2O2), 옥손, 옥손 테트라부틸암모늄 염, 질산 철(Fe(NO3)3), 요오드산 칼륨(KIO3), 요오드산(HIO3), 과요오드산(H5IO6), 과망간산 칼륨(KMnO4), 과망간산(HMnO4), 산화 크롬(III), 질산 세륨 암모늄((NH4)2Ce(NO3)6), 질산(HNO3), 아염소산 암모늄(NH4ClO2), 염소산 암모늄(NH4ClO3), 요오드산 암모늄(NH4IO3), 과붕산 암모늄(NH4BO3), 과염소산 암모늄(NH4ClO4), 과요오드산 암모늄(NH4IO3), 과황산 암모늄((NH4)2S2O8), 과황산 나트륨(Na2S2O8), 과황산 칼륨(K2S2O8), 아염소산 테트라메틸암모늄((N(CH3)4)ClO2), 염소산 테트라메틸암모늄((N(CH3)4)ClO3), 요오드산 테트라메틸암모늄((N(CH3)4)IO3), 과붕산 테트라메틸암모늄((N(CH3)4)BO3), 과염소산 테트라메틸암모늄((N(CH3)4)ClO4), 과요오드산 테트라메틸암모늄((N(CH3)4)IO4), 과황산 테트라메틸암모늄((N(CH3)4)S2O8), 요소 과산화수소 ((CO(NH2)2)H2O2), 과아세트산 (CH3(CO)OOH) 및 이들의 조합을 포함하나 이에 한정되지 않는다. 바람직하게는, 산화제는 과산화수소를 포함한다. 상기 산화제는 팹에서 또는 팹 이전 단계에서 조성물에 도입될 수 있다. 산화제가 존재할 경우, 제거 조성물은 황산, 질산, 아세트산, 트리플루오로아세트산 및 염산을 포함하나 이에 한정되지 않는 1 개 이상의 산으로 더 보충될 수 있다.
바람직하게는, 질화 금속 억제제는 제 1 금속 게이트 물질에 비하여 제 2 금속 게이트 물질의 제거를 억제하고, 붕산, 붕산 암모늄, 아스코르브산, L(+)-아스코르브산, 아이소아스코르브산, 아스코르브산 유도체, 갈산, 디포스폰산, 예컨대 1-히드록시에틸리덴-1,1-디포스폰산(HEDP), 1-히드록시에탄-1,1-디포스폰산, 니트릴로트리스(메틸렌포스폰산)(NTMPA), N,N,N',N'-에틸렌디아민테트라(메틸렌포스폰산)(EDTMP), 1,5,9-트리아자시클로도데칸-N,N',N"-트리스(메틸렌포스폰산)(DOTRP), 1,4,7,10-테트라아자시클로도데칸-N,N',N",N'"-테트라키스(메틸렌포스폰산)(DOTP), 디에틸렌트리아민펜타(메틸렌포스폰산)(DETAP), 아미노트리(메틸렌포스폰산), 비스(헥사메틸렌)트리아민 포스폰산, 1,4,7-트리아자시클로노난-N,N',N"-트리스(메틸렌포스폰산)(NOTP), 인산의 에스테르; 5-아미노-1,3,4-티아디아졸-2-티올(ATDT), 벤조트리아졸(BTA), 시트르산, 옥살산, 탄닌산, 에틸렌디아민테트라아세트산(EDTA), 요산, 1,2,4-트리아졸(TAZ), 톨릴트리아졸, 5-페닐-벤조트리아졸, 5-니트로-벤조트리아졸, 3-아미노-5-메르캅토-1,2,4-트리아졸, 1-아미노-1,2,4-트리아졸, 히드록시벤조트리아졸, 2-(5-아미노-펜틸)-벤조트리아졸, 1-아미노-1,2,3-트리아졸, 1-아미노-5-메틸-1,2,3-트리아졸, 3-아미노-1,2,4-트리아졸, 3-메르캅토-1,2,4-트리아졸, 3-아이소프로필-1,2,4-트리아졸, 5-페닐티올-벤조트리아졸, 할로-벤조트리아졸(할로 = F, Cl, Br 또는 I), 나프토트리아졸, 2-메르캅토벤즈이미다졸(MBI), 2-메르캅토벤조티아졸, 4-메틸-2-페닐이미다졸, 2-메르캅토티아졸린, 5-아미노테트라졸, 2,4-디아미노-6-메틸-1,3,5-트리아진, 티아졸, 트리아진, 메틸테트라졸, 1,3-디메틸-2-이미다졸리디논, 1,5-펜타메틸렌테트라졸, 1-페닐-5-메르캅토테트라졸, 디아미노메틸트리아진, 이미다졸린 티온, 메르캅토벤즈이미다졸, 4-메틸-4H-1,2,4-트리아졸-3-티올, 벤조티아졸, 인산 트리톨릴, 이미다졸, 인디아졸, 벤조산, 말론산, 벤조산 암모늄, 카테콜, 피로갈롤, 레조르시놀, 히드로퀴논, 시아누르산, 바르비투르산 및 유도체, 예컨대 1,2-디메틸바르비투르산, 알파-케토산, 예컨대 피루브산, 아데닌, 퓨린, 글리신/아스코르브산, 데퀘스트 2000, 데퀘스트 7000, p-톨릴티오요소, 숙신산, 포스포노부탄 트리카르복시산(PBTCA) 및 이들의 조합을 포함하나 이에 한정되지 않는다. 고려된 다른 디스포스폰산은 하기 화학식 I의 화합물의 유도체를 포함한다:
화학식 I
Figure 112013039760935-pct00003
상기 식에서,
R1, R2, R3, R4, R5, R6 및 R7은 독립적으로 수소, 알킬 기, 시클릭 알킬 기, 알콕시 기로부터 선택되나 이들에 한정되지 않고;
n은 0 내지 20의 정수이다.
또다른 실시양태에서, 질화 금속 억제제는 하기 화학식 Ia를 갖는 화합물을 포함한다:
화학식 Ia
(R1)(R2)P(=O)(R3)
상기 식에서,
R1, R2 및 R3은 서로 독립적이고, 수소, 히드록실, C1-C30 알킬, C2-C30 알켄, 시클로알킬, C2-C30 알콕시 또는 이들의 임의의 조합으로 구성된 군으로부터 선택된다.
또다른 실시양태에서, 질화 금속 억제제는 하기 화학식 Ib를 갖는 화합물을 포함한다:
화학식 Ib
(R1R2R3R4)NX
상기 식에서,
R1, R2, R3 및 R4는 서로 독립적이고, 수소, C1-C30 알킬, C2-C30 알켄, 시클로알킬, C1-C30 알콕시, C1-C30 카르복시산염 또는 이들의 임의의 조합으로 구성된 군으로부터 선택되고;
X는 -1 전하를 갖는 임의의 음이온이다.
또다른 실시양태에서, 질화 금속 억제제는 하기 화학식 Ic를 갖는 화합물을 포함한다:
화학식 Ic
[(R1)(R2)N]C(=O)(CR3R4)nC(=O)[N(R5)(R6)]
상기 식에서,
R1, R2, R3, R4, R5 및 R6은 서로 독립적이고, 수소, C2-C30 알킬, C2-C30 알켄, 시클로알킬, C2-C30 알콕시, C2-C30 카르복시산염 또는 이들의 임의의 조합으로 구성된 군으로부터 선택되고;
n은 1 내지 12의 임의의 정수이다.
또다른 실시양태에서, 질화 금속 억제제는 하기 화학식 Id 또는 Ie를 갖는 카르복시산을 포함한다:
화학식 Id
R1C(=O)(OH)
화학식 Ie
R1C(=O)(OH)(CH2)n(O=)(HO)CR2
상기 식에서,
R1 또는 R2는 C1-C30 알킬 또는 C2-C30 알킬렌 쇄, 바람직하게는 C1-C20 알킬 또는 C2-C20 알킬렌 쇄로부터 선택되고;
n은 0 내지 20의 정수이다.
바람직한 억제제는 1 개 이상의 데실포스폰산, 도데실포스폰산(DDPA), 테트라데실포스폰산, 헥사데실포스폰산, 비스(2-에틸헥실)인산염, 옥타데실포스폰산, 과플루오로헵탄산, 프리플루오로데칸산, 트리플루오로메탄설폰산, 포스포노아세트산, 도데실벤젠설폰산, 도데세닐숙신산, 디옥타데실 수소 인산염, 옥타데실 이수소 인산염, 도데실아민, 도데세닐숙신산 모노디에탄올 아미드, 라우르산, 팔미트산, 올레산, 주니페르산, 히드록시스테아르산, 옥타데실포스폰산(ODPA) 및 이들의 조합을 포함한다. 가장 바람직하게는, 질화 금속 억제제는 HEDP, 도데실포스폰산, 옥타데실포스폰산 또는 이들의 임의의 조합을 포함한다.
에칭제 화합물은 수산화물, 염화물, 질산염, 브롬화물, 요오드화물, 아질산염, 황산염, 아황산염, 아세트산염 및 인산염의 암모늄 또는 테트라알킬암모늄 염을 포함할 수 있으나 이에 한정되지 않고, 이때 테트라알킬암모늄 양이온은 화학식 [NR1R2R3R4]+를 가지며, 이때 R1, R2, R3 및 R4는 서로 같거나 다르고 C1-C6 알킬(예컨대 메틸, 에틸, 프로필, 부틸, 펜틸, 헥실) 및 C6-C10 아릴(예컨대 벤질); 수산화 칼륨; 수산화 나트륨; 수산화 리튬; 수산화 칼슘; 수산화 마그네슘 및 이들의 조합으로 구성된 군으로부터 선택된다. 바람직하게는, 에칭제 화합물은 수산화 암모늄을 포함한다.
제 2 제거 조성물을 위해 고려된 계면활성제는 두번째 측면의 제거 조성물을 위해 본원에 개시된 계면활성제를 포함한다.
본 발명의 바람직한 실시양태에서, 세번째 측면의 제 2 제거 조성물은 연마제 또는 다른 무기 미립자 물질, 불화물, 아민, 염화물, 할로겐화 금속, 규산염 및 이들의 조합을 실질적으로 포함하지 않는다. 세번째 측면의 제 2 제거 조성물의 pH는 바람직하게는 약 7 내지 약 11이다.
바람직한 측면에서, 세번째 측면의 제 2 제거 조성물은 하기를 포함하거나, 하기로 구성되거나, 하기로 본질적으로 구성된다:
Figure 112013039760935-pct00004
한 실시양태에서, 세번째 측면의 제 2 제거 조성물은 수산화 암모늄, 과산화수소, 붕산 및 물을 포함하거나, 이들로 구성되거나, 이들로 본질적으로 구성된다. 또다른 실시양태에서, 세번째 측면의 제 2 제거 조성물은 수산화 암모늄, 과산화 수소, HEDP 및 물을 포함하거나, 이들로 구성되거나, 이들로 본질적으로 구성된다.
본 발명의 또다른 측면에서, 본원에 기재된 임의의 제거 조성물은, 예컨대 TiN과 같은 물질을 포함하는, 용해된 제 1 금속 게이트 물질을 더 포함한다. 예를 들어, 불화물-함유 제거 조성물은 1 개 이상의 불화물, 1 개 이상의 질화 금속 억제제, 임의적으로 1 개 이상의 산화제, 임의적으로 1 개 이상의 계면활성제, 제 1 금속 게이트 물질 및 1 개 이상의 용매를 포함할 수 있거나, 이들로 구성될 수 있거나, 이들로 본질적으로 구성될 수 있다. 또다른 실시양태에서, 불화물을 실질적으로 포함하지 않는 제 2 제거 조성물은 1 개 이상의 산화제, 1 개 이상의 질화 금속 억제제, 1 개 이상의 에칭제 화합물, 제 1 금속 게이트 물질, 임의적으로 1 개 이상의 계면활성제 및 1 개 이상의 용매를 포함하거나, 이들로 구성되거나, 이들로 본질적으로 구성된다.
사용하기 전에 제거 조성물의 농축된 형태를 희석시키는 것이 일반적인 방법이라는 것이 인식될 것이다. 예를 들어, 1 개 이상의 불화물, 1 개 이상의 질화 금속 억제제, 임의적으로 1 개 이상의 산화제, 임의적으로 1 개 이상의 계면활성제를 포함하는 제거 조성물은 더 농축된 형태로 제조될 수 있고, 그 이후에 제조업체에서, 팹에서 사용하기 전 및/또는 사용하는 동안에, 용매 및/또는 임의적인 1 개 이상의 산화제로 희석될 수 있다. 또다른 실시양태에서, 제거 조성물은 1 개 이상의 산화제, 1 개 이상의 질화 금속 억제제, 1 개 이상의 에칭제 화합물, 임의적으로 1 개 이상의 계면활성제를 포함할 수 있고, 그 이후에 제조업체에서, 팹에서 사용하기 전 및/또는 사용하는 동안에, 용매 및/또는 1 개 이상의 산화제로 희석될 수 있다. 또다른 실시양태에서, 제거 조성물은 1 개 이상의 질화 금속 억제제, 1 개 이상의 에칭제 화합물, 임의적으로 1 개 이상의 계면활성제를 포함할 수 있고, 그 이후에 제조업체에서, 팹에서 사용하기 전 및/또는 사용하는 동안에, 용매 및 1 개 이상의 산화제로 희석될 수 있다. 희석 비율은 약 0.1부 희석액:1부 제거 조성물 농축액 내지 약 100부 희석액:1부 제거 조성물 농축액일 수 있다.
본 발명의 제거 조성물은 성분 각각의 간단한 첨가 및 균질 상태로 혼합에 의하여 쉽게 조제된다. 더욱이, 제거 조성물은 사용 시점에 또는 그 이전에 혼합되는 단일-패키지 제제 또는 다중-부분 제제로서, 바람직하게는 다중-부분 제제로서 쉽게 조제될 수 있다. 다중 부분 제제의 개별적 부분은 도구에서 또는 혼합 영역/지역, 예컨대 인라인 믹서 또는 도구의 저장 탱크 상류에서 혼합될 수 있다. 다중 부분 제제의 다양한 부분이, 함께 혼합된 경우 목적 제거 조성물을 형성하는 성분/구성성분의 임의의 조합을 함유할 수 있다는 것이 고려된다. 본 발명의 광범위한 실행에서, 각 성분의 농도는 제거 조성물의 특정 배수로, 즉, 더 희석되거나 더 농축된 형태로 매우 다양할 수 있고, 본 발명의 제거 조성물이 다양하게 또는 대안적으로 본원에 개시된 바와 같은 성분의 임의의 조합을 포함할 수 있거나, 이들로 구성될 수 있거나, 이들로 본질적으로 구성될 수 있다는 것이 인식될 것이다.
따라서, 본 발명의 또다른 측면은 1 개 이상의 용기에 본 발명의 조성물을 형성하도록 구성된 1 개 이상의 성분을 포함하는 키트에 관한 것이다. 바람직하게는, 팹에서 또는 사용 시점에 용매 및/또는 산화제와 결합하기 위하여, 상기 키트는 1 개 이상의 용기에 1 개 이상의 불화물, 1 개 이상의 질화 금속 억제제, 임의적으로 1 개 이상의 산화제, 임의적으로 1 개 이상의 계면활성제를 포함한다. 임의적으로, 팹에서 또는 사용 시점에 용매 및/또는 산화제와 결합하기 위하여, 키트의 용기는 1 개 이상의 산화제, 1 개 이상의 질화 금속 억제제, 1 개 이상의 에칭제 화합물, 임의적으로 1 개 이상의 계면활성제를 포함할 수 있다. 또다른 실시양태에서, 팹에서 또는 사용 시점에 용매 및 산화제와 결합하기 위하여, 키트의 용기는 1 개 이상의 질화 금속 억제제, 1 개 이상의 에칭제 화합물, 임의적으로 1 개 이상의 계면활성제를 포함할 수 있다. 키트의 용기는 언급된 제거 조성물을 저장 및 수송하기에 적합하여야 한다(예를 들어, 나우팩(NOWPak, 등록상표) 용기(어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드(Advanced Technology Materials, Inc.)), 미국 코네티컷주 댄버리 소재). 바람직하게는, 제거 조성물의 성분을 함유하는 1 개 이상의 용기는 블렌딩 및 분배를 위하여 유체 연통된 1 개 이상의 용기 중에 상기 성분을 가져오는 수단을 포함한다. 예를 들어, 나우팩(등록상표) 용기에 있어서, 가스 압력은 1 개 이상의 용기 내의 라이너의 외부에 적용될 수 있어 라이너의 내용물의 적어도 일부가 방출되는 것을 야기할 수 있고, 그 이후 블렌딩 및 분배를 위해 유체 연통을 가능하게 한다. 또는, 가스 압력은 기존의 가압 용기의 머리 공간에 적용될 수 있거나, 펌프는 유체 연통을 가능하게 하는 데에 사용될 수 있다. 나아가, 바람직하게는, 상기 시스템은 블렌드된 제거 조성물을 가공 도구로 분배하기 위한 분배 포트를 포함한다.
실질적으로 화학적으로 불활성인, 불순물이 없는, 유연한 및 탄력 있는 중합체 필름 물질, 예컨대 고밀도 폴리에틸렌은 바람직하게는 언급된 1 개 이상의 용기용 라이너를 제조하는 데에 사용된다. 바람직한 라이너 물질은 공유 압출 성형 또는 장벽층을 요구함이 없이 및 라이너 내에 증착될 성분의 순도 요건에 불리하게 영향을 미칠 수 있는 임의의 안료, UV 억제제 또는 가공제 없이 가공된다. 바람직한 라이너 물질의 목록은 버진(첨가제가 없는) 폴리에틸렌, 버진 폴리테트라플루오로에틸렌(PTFE), 폴리프로필렌, 폴리우레탄, 염화 폴리비닐리덴, 폴리비닐염화물, 폴리어세탈, 폴리스타이렌, 폴리아크릴로니트릴, 폴리부틸렌 등을 포함하는 필름을 포함한다. 이러한 라이너 물질의 바람직한 두께는 약 5 mil(0.005 inch) 내지 약 30 mil(0.030 inch), 예를 들어 20 mil(0.020 inch)의 두께이다.
키트용 용기에 대하여, 하기 특허 및 특허 출원의 개시 사항이 각각 전체로서 본원에 참조로서 도입된다: 미국특허 제7,188,644호(발명의 명칭: "APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS"); 미국특허 제6,698,619호(발명의 명칭: "RETURNABLE AND REUSABLE, BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM"); 및 존 이 큐 휴스(John E.Q. Hughes)의 이름으로 2007년 5월 9일자로 출원된 미국특허출원 제60/916,966호(발명의 명칭: "SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION") 및 2008년 5월 9일자로 출원된 국제특허출원 제PCT/US08/63276호(발명의 명칭: "SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION").
미소전자 제조 공정에 적용되는 바와 같이, 본원에 기재된 제거 조성물은 미소전자 장치의 표면으로부터 제 2 금속 게이트 물질에 비하여 제 1 금속 게이트 물질을 선택적으로 제거하는 데에 유용하게 사용되고, 장치의 표면으로부터 대체적인 물질을 제거하기 위해 조제된 다른 조성물의 도포 전 또는 후에 언급된 표면에 도포될 수 있다. 중요하게, 본원에 기재된 제거 조성물은 기판상에 존재하는 다른 금속 적층 물질을 실질적으로 제거하지 않으면서 제 2 금속 게이트 물질에 비하여 제 1 금속 게이트 물질을 선택적으로 제거한다.
제거 적용에서, 제거 조성물은 임의의 적합한 방식으로, 예컨대 장치의 표면에 제거 조성물을 분사함으로써, 제거 조성물의 정적 또는 동적 부피로 장치를 담금으로써, 제거 조성물이 흡수된 또다른 물질(예컨대 패드, 섬유 흡수제 도포 요소)과 장치를 접촉시킴으로써 또는 제거 조성물이 제 1 및 제 2 금속 게이트 물질을 갖는 장치에 제거 접촉되는 임의의 다른 적합한 수단, 방식 또는 기술에 의하여 장치에 도포된다. 더욱이, 회분식 또는 단일 웨이퍼 가공이 본원에서 고려된다.
목적 제거 작용의 달성에 이어, 제거 조성물은 이전에 도포되었던 장치로부터 (예컨대 목적된 및 효과적인 헹굼, 세척 또는 다른 제거 단계에 의하여) 쉽게 제거된다. 예를 들어, 장치는 탈이온수를 비롯한 헹굼액으로 헹궈지고/지거나 건조(예컨대 스핀-건조, N2, 용매(예컨대 IPA) 증기 건조, 등)될 수 있다.
본 발명의 또다른 측면은 본 발명의 방법에 따라 제조된 개선된 미소전자 장치 및 이러한 미소전자 장치를 함유하는 제품에 관한 것이다.
본 발명의 추가의 측면은 미소전자 장치를 제거 조성물과 충분한 시간 동안 접촉시켜 제 1 및 제 2 금속 게이트 물질을 갖는 미소전자 장치로부터 제 2 금속 게이트 물질에 비하여 제 1 금속 게이트 물질을 선택적으로 제거하고, 상기 미소전자 장치를 제품에 혼입함을 포함하는, 미소전자 장치를 포함하는 제품의 제조 방법에 관한 것이다. 제거 조성물은 1 개 이상의 불화물, 1 개 이상의 질화 금속 억제제, 임의적으로 1 개 이상의 산화제, 임의적으로 1 개 이상의 계면활성제 및 1 개 이상의 용매를 포함할 수 있거나, 이들로 구성될 수 있거나, 이들로 본질적으로 구성될 수 있다. 대신에, 제거 조성물은 1 개 이상의 질화 금속 억제제, 1 개 이상의 에칭제 화합물, 1 개 이상의 산화제, 임의적으로 1 개 이상의 계면활성제 및 1 개 이상의 용매를 포함할 수 있거나, 이들로 구성될 수 있거나, 이들로 본질적으로 구성될 수 있다.
본 발명의 또다른 측면은 미소전자 장치 기판 및 제거 조성물을 포함하는 제품에 관한 것이고, 이때 미소전자 장치 기판은 제 1 금속 게이트 물질 및 제 2 금속 게이트 물질을 포함하고, 이때 제거 조성물은 본원에 기재된 임의의 제거 조성물일 수 있다. 예를 들어, 제거 조성물은 1 개 이상의 불화물, 1 개 이상의 질화 금속 억제제, 임의적으로 1 개 이상의 산화제, 임의적으로 1 개 이상의 계면활성제 및 1 개 이상의 용매를 포함할 수 있다. 또한, 제거 조성물은 1 개 이상의 산화제, 1 개 이상의 질화 금속 억제제, 1 개 이상의 에칭제 화합물, 임의적으로 1 개 이상의 계면활성제 및 1 개 이상의 용매를 포함할 수 있다.
본 발명의 특징 및 이점은 하기 비제한적인 실시예에 의하여 더 완벽하게 예시되고, 명확한 언급이 없는 이상, 모든 부 및 퍼센트는 중량 기준이다.
실시예 1
하기 조성물을 준비하였다.
조성물 A : 0.6 중량% 붕산, 25.0 중량% 트리프로필렌 글리콜 메틸 에테르, 29.25 중량% 테트라히드로푸란 알코올, 0.1 중량% TBA-BF4, 0.56 중량% 이불화 암모늄, 0.015 중량% ATDT, 0.3 중량% TAZ, 44.175 중량% 물
조성물 B : 4 중량% 수산화 암모늄, 4 중량% H2O2(30%), 15 중량% HEDP, 77 중량% 물
조성물 C: 4 중량% 수산화 암모늄, 4 중량% H2O2(30%), 1 중량% 붕산, 91 중량% 물
조성물 D : 4 중량% 수산화 암모늄, 4 중량% H2O2(30%), 1 중량% HEDP, 91 중량% 물
조성물 E : 4 중량% 수산화 암모늄, 4 중량% H2O2(30%), 0.1 중량% 붕산, 91.9 중량% 물
조성물 F: 4 중량% 수산화 암모늄, 4 중량% H2O2(30%), 8 중량% HEDP, 84 중량% 물
조성물 G: 4 중량% 수산화 암모늄, 4 중량% H2O2(30%), 10 중량% HEDP, 82 중량% 물
조성물 H: 4 중량% 수산화 암모늄, 4 중량% H2O2(30%), 2 중량% HEDP, 90 중량% 물
조성물 I: 4 중량% 수산화 암모늄, 4 중량% H2O2(30%), 4 중량% HEDP, 88 중량% 물
조성물 J: 4 중량% 수산화 암모늄, 4 중량% H2O2(30%), 6 중량% HEDP, 86 중량% 물
조성물 K: 4 중량% 수산화 암모늄, 4 중량% H2O2(30%), 0.01 중량% 붕산, 91.99 중량% 물
조성물 L: 0.6 중량% 붕산, 0.56 중량% 이불화 암모늄, 98.84 중량% 물
조성물 M: 4 중량% 수산화 암모늄, 4 중량% H2O2(30%), 5 중량% HEDP, 87 중량% 물
조성물 N: 4 중량% 수산화 암모늄, 4 중량% H2O2(30%), 92 중량% 물
질화 티타늄 층을 갖는 피복된 웨이퍼 및 질화 탄탈륨 층을 갖는 피복된 웨이퍼를 개별적으로 제제 D, H 및 I 뿐만 아니라 대조군 제제 N에 80 ℃에서 1 분 동안 침윤시켰다. 질화물 각각의 에칭 속도를 스펙트럼 타원편광 반사법(SE)을 사용하여 측정하고, 그 결과를 표 1에 작성하였다.
Figure 112013039760935-pct00005
제제에 첨가되는 HEDP의 양이 증가됨에 따라(예컨대, D에서 H,I 순으로), TaNx의 에칭 속도가 감소하는 반면에 TiNx의 에칭 속도는 영향을 받지 않고 남아있는 것을 볼 수 있다. 제제 I가 TaNx의 에칭 속도를 억제하는 데에 가장 효과적이라는 것을 볼 수 있다. 이론에 구속되고자 하는 것은 아니지만, HEDP의 존재량이 증가하고 pH가 감소함에 따라 산화제의 활성이 감소하고, 그에 따라 TaNx의 에칭 속도가 감소한다고 생각된다.
질화 티타늄 층을 갖는 피복된 웨이퍼 및 질화 탄탈륨 층을 갖는 피복된 웨이퍼를 제제 M 뿐만 아니라 대조군 제제 N에 40 ℃에서 3 분 동안 개별적으로 침윤시켰다. 질화물 각각의 에칭 속도를 SE를 사용하여 측정하고, 그 결과를 표 2에 작성하였다.
Figure 112013039760935-pct00006
HEDP의 존재가 TaNx의 에칭 속도를 적절히 억제하는 것을 볼 수 있다.
본 발명이 본원에 실시양태 및 특징에 관련하여 다양하게 개시되어 있음에도 불구하고, 본원에 개시된 바에 기초하여, 상기된 실시양태 및 특징은 본 발명을 한정하려는 것이 아니라는 것 및 다른 변형, 수정 및 다른 실시양태가 그 자체로 당업자에게 제안된다는 것이 인식될 것이다. 따라서, 본 발명은 본원에 명시된 청구범위의 사상 및 범위 내에서 모든 이러한 변형, 수정 및 다른 실시양태를 포함하는 것으로 광범위하게 해석되어야 한다.

Claims (24)

  1. 티타늄을 포함하는 제 1 금속 게이트 물질 및 탄탈륨을 포함하는 제 2 금속 게이트 물질을 포함하는 기판을 제거 조성물과 접촉시키는 것을 포함하며,
    제거 조성물은 0.01 중량% 이상 10 중량% 미만의 양으로 존재하는 1 개 이상의 산화제; 수산화 암모늄 또는 수산화물의 테트라알킬암모늄 염을 포함하는 에칭제; 및 1 개 이상의 질화 금속 억제제를 포함하고,
    제거 조성물이 제 2 금속 게이트 물질에 비하여 제 1 금속 게이트 물질을 선택적으로 제거하는 것인, 적어도 제 2 금속 게이트 물질에 비하여 제 1 금속 게이트 물질을 선택적으로 제거하는 방법.
  2. 제 1 항에 있어서,
    제거 조성물이 기판에 존재하는 다른 게이트 적층 물질을 실질적으로 제거하지 않는, 방법.
  3. 삭제
  4. 제 1 항 또는 제 2 항에 있어서,
    제 1 금속 게이트 물질이 제 1 질화 금속이고 제 2 금속 게이트 물질이 제 2 질화 금속인, 방법.
  5. 제 1 항 또는 제 2 항에 있어서,
    제 1 금속 게이트 물질이 질화 티타늄이고 제 2 금속 게이트 물질이 질화 탄탈륨인, 방법.
  6. 삭제
  7. 제 1 항에 있어서,
    1 개 이상의 산화제가 오존, 과산화수소, 옥손, 옥손 테트라부틸암모늄 염, 질산 철, 요오드산 칼륨, 요오드산, 과요오드산, 과망간산 칼륨, 과망간산, 산화 크롬(III), 질산 암모늄 세륨, 질산, 아염소산 암모늄, 염소산 암모늄, 요오드산 암모늄, 과붕산 암모늄, 과염소산 암모늄, 과요오드산 암모늄, 과황산 암모늄, 과황산 나트륨, 과황산 칼륨, 아염소산 테트라메틸암모늄, 염소산 테트라메틸암모늄, 요오드산 테트라메틸암모늄, 과붕산 테트라메틸암모늄, 과염소산 테트라메틸암모늄, 과요오드산 테트라메틸암모늄, 과황산 테트라메틸암모늄, 요소 과산화수소, 과아세트산 및 이들의 조합으로 구성된 군으로부터 선택된 종을 포함하는, 방법.
  8. 제 1 항에 있어서,
    1 개 이상의 산화제가 과산화수소를 포함하는, 방법.
  9. 제 1 항에 있어서,
    1 개 이상의 산화제를 포함하는 제거 조성물이 황산, 질산, 아세트산, 트리플루오로아세트산 및 염산으로 구성된 군으로부터 선택된 1 개 이상의 산을 더 포함하는, 방법.
  10. 제 1 항에 있어서,
    1 개 이상의 질화 금속 억제제가 붕산, 붕산 암모늄, 아스코르브산, L(+)-아스코르브산, 아이소아스코르브산, 아스코르브산 유도체, 갈산, 1-히드록시에틸리덴-1,1-디포스폰산(HEDP), 1-히드록시에탄-1,1-디포스폰산, 니트릴로트리스(메틸렌포스폰산)(NTMPA), N,N,N',N'-에틸렌디아민테트라(메틸렌포스폰산)(EDTMP), 1,5,9-트리아자시클로도데칸-N,N',N"-트리스(메틸렌포스폰산)(DOTRP), 1,4,7,10-테트라아자시클로도데칸-N,N',N",N'"-테트라키스(메틸렌포스폰산)(DOTP), 디에틸렌트리아민펜타(메틸렌포스폰산)(DETAP), 아미노트리(메틸렌포스폰산), 비스(헥사메틸렌)트리아민 포스폰산, 1,4,7-트리아자시클로노난-N,N',N"-트리스(메틸렌포스폰산)(NOTP), 인산 에스테르; 5-아미노-1,3,4-티아디아졸-2-티올(ATDT), 벤조트리아졸(BTA), 시트르산, 옥살산, 탄닌산, 에틸렌디아민테트라아세트산(EDTA), 요산, 1,2,4-트리아졸(TAZ), 톨릴트리아졸, 5-페닐-벤조트리아졸, 5-니트로-벤조트리아졸, 3-아미노-5-메르캅토-1,2,4-트리아졸, 1-아미노-1,2,4-트리아졸, 히드록시벤조트리아졸, 2-(5-아미노-펜틸)-벤조트리아졸, 1-아미노-1,2,3-트리아졸, 1-아미노-5-메틸-1,2,3-트리아졸, 3-아미노-1,2,4-트리아졸, 3-메르캅토-1,2,4-트리아졸, 3-아이소프로필-1,2,4-트리아졸, 5-페닐티올-벤조트리아졸, 할로-벤조트리아졸, 나프토트리아졸, 2-메르캅토벤즈이미다졸(MBI), 2-메르캅토벤조티아졸, 4-메틸-2-페닐이미다졸, 2-메르캅토티아졸린, 5-아미노테트라졸, 2,4-디아미노-6-메틸-1,3,5-트리아진, 티아졸, 트리아진, 메틸테트라졸, 1,3-디메틸-2-이미다졸리디논, 1,5-펜타메틸렌테트라졸, 1-페닐-5-메르캅토테트라졸, 디아미노메틸트리아진, 이미다졸린 티온, 메르캅토벤즈이미다졸, 4-메틸-4H-1,2,4-트리아졸-3-티올, 벤조티아졸, 인산 트리톨릴, 이미다졸, 인디아졸, 벤조산, 말론산, 벤조산 암모늄, 카테콜, 피로갈롤, 레조르시놀, 히드로퀴논, 시아누르산, 바르비투르산, 1,2-디메틸바르비투르산, 피루브산, 아데닌, 퓨린, 글리신/아스코르브산, 데퀘스트(Dequest) 2000, 데퀘스트 7000, p-톨릴티오요소, 숙신산, 포스포노부탄 트리카르복시산(PBTCA), 데실포스폰산, 도데실포스폰산(DDPA), 테트라데실포스폰산, 헥사데실포스폰산, 비스(2-에틸헥실)인산염, 옥타데실포스폰산, 과플루오로헵탄산, 프리플루오로데칸산, 트리플루오로메탄설폰산, 포스포노아세트산, 도데실벤젠설폰산, 도데세닐숙신산, 인산 디옥타데실 수소, 인산 옥타데실 이수소, 도데실아민, 도데세닐숙신산 모노디에탄올 아미드, 라우르산, 팔미트산, 올레산, 주니페르산, 12 히드록시스테아르산, 옥타데실포스폰산(ODPA) 및 이들의 조합으로 구성된 군으로부터 선택된 종을 포함하는, 방법.
  11. 제 1 항에 있어서,
    1 개 이상의 질화 금속 억제제가
    (i) 하기 화학식 I의 유도체:
    화학식 I
    Figure 112017071538668-pct00007

    [상기 식에서,
    R1, R2, R3, R4, R5, R6 및 R7은 독립적으로 수소, 알킬 기, 시클릭 알킬 기 및 알콕시 기로부터 선택되나 이들에 한정되지 않고;
    n은 0 내지 20의 정수이다],
    (ii) 하기 화학식 Ia를 갖는 화합물:
    화학식 Ia
    (R1)(R2)P(=O)(R3)
    [상기 식에서,
    R1, R2 및 R3은 서로 독립적이고, 수소, 히드록실, C1-C30 알킬, C2-C30 알켄, 시클로알킬, C2-C30 알콕시 및 이들의 임의의 조합으로 구성된 군으로부터 선택된다],
    (iii) 하기 화학식 Ib를 갖는 화합물:
    화학식 Ib
    (R1R2R3R4)NX
    [상기 식에서,
    R1, R2, R3 및 R4는 서로 독립적이고, 수소, C1-C30 알킬, C2-C30 알켄, 시클로알킬, C1-C30 알콕시, C1-C30 카르복시산염 및 이들의 임의의 조합으로 구성된 군으로부터 선택되고;
    X는 -1 전하를 갖는 임의의 음이온이다],
    (iv) 하기 화학식 Ic를 갖는 화합물:
    화학식 Ic
    [(R1)(R2)N]C(=O)(CR3R4)nC(=O)[N(R5)(R6)]
    [상기 식에서,
    R1, R2, R3, R4, R5 및 R6은 서로 독립적이고, 수소, C2-C30 알킬, C2-C30 알켄, 시클로알킬, C2-C30 알콕시, C2-C30 카르복시산염 및 이들의 임의의 조합으로 구성된 군으로부터 선택되고;
    n은 1 내지 12의 임의의 정수이다],
    (v) 하기 화학식 Id 또는 Ie를 갖는 카르복시산:
    화학식 Id
    R1C(=O)(OH)
    화학식 Ie
    R1C(=O)(OH)(CH2)n(O=)(HO)CR2
    [상기 식에서,
    R1 또는 R2는 C1-C30 알킬 또는 C2-C30 알킬렌 쇄로부터 선택되고;
    n은 0 내지 20의 정수이다], 및
    (vi) 이들의 조합
    으로 구성된 군으로부터 선택된 종을 포함하는, 방법.
  12. 제 1 항에 있어서,
    1 개 이상의 질화 금속 억제제가 1-히드록시에틸리덴-1,1-디포스폰산을 포함하는, 방법.
  13. 제 1 항에 있어서,
    제거 조성물이 1 개 이상의 용매를 더 포함하는, 방법.
  14. 제 13 항에 있어서,
    1 개 이상의 용매가 물, 메탄올, 에탄올, 아이소프로판올, 부탄올, 펜탄올, 헥산올, 2-에틸-1-헥산올, 헵탄올, 옥탄올, 에틸렌 글리콜, 프로필렌 글리콜, 부틸렌 글리콜, 탄산 부틸렌, 탄산 에틸렌, 탄산 프로필렌, 디프로필렌 글리콜, 디에틸렌 글리콜 모노메틸 에테르, 트리에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 모노에틸 에테르, 트리에틸렌 글리콜 모노에틸 에테르, 에틸렌 글리콜 모노프로필 에테르, 에틸렌 글리콜 모노부틸 에테르, 디에틸렌 글리콜 모노부틸 에테르, 트리에틸렌 글리콜 모노부틸 에테르, 에틸렌 글리콜 모노헥실 에테르, 디에틸렌 글리콜 모노헥실 에테르, 에틸렌 글리콜 페닐 에테르, 프로필렌 글리콜 메틸 에테르, 디프로필렌 글리콜 메틸 에테르(DPGME), 트리프로필렌 글리콜 메틸 에테르(TPGME), 디프로필렌 글리콜 디메틸 에테르, 디프로필렌 글리콜 에틸 에테르, 프로필렌 글리콜 n-프로필 에테르, 디프로필렌 글리콜 n-프로필 에테르(DPGPE), 트리프로필렌 글리콜 n-프로필 에테르, 프로필렌 글리콜 n-부틸 에테르, 디프로필렌 글리콜 n-부틸 에테르, 트리프로필렌 글리콜 n-부틸 에테르, 프로필렌 글리콜 페닐 에테르, 2,3-디히드로데카플루오로펜탄, 에틸 과플루오로부틸에테르, 메틸 과플루오로부틸에테르, 탄산 알킬, 탄산 알킬렌, 4-메틸-2-펜탄올, 고밀도 유체 및 이들의 조합으로 구성된 군으로부터 선택된 종을 포함하는, 방법.
  15. 제 13 항에 있어서,
    1 개 이상의 용매가 물을 포함하는, 방법.
  16. 제 1 항에 있어서,
    제거 조성물이 1 개 이상의 계면활성제를 더 포함하는, 방법.
  17. 제 1 항에 있어서,
    제거 조성물이 1 개 이상의 불화물을 더 포함하는, 방법.
  18. 제 17 항에 있어서,
    불화물이 불화수소산, 불화 암모늄, 이불화 암모늄, 헥사플루오로규산, 테트라플루오로붕산, 테트라플루오로붕산 테트라부틸암모늄(TBA-BF4), 헥사플루오로탄탈산, 헥사플루오로탄탈산 암모늄 및 이들의 조합으로 구성된 군으로부터 선택된 종을 포함하는, 방법.
  19. 제 1 항에 있어서,
    제거 조성물이 불화물을 실질적으로 포함하지 않는, 방법.
  20. 삭제
  21. 삭제
  22. 제 1 항에 있어서,
    제거 조성물이 연마제 또는 다른 무기 미립자 물질, 아민, 염화물, 할로겐화 금속, 규산염 및 이들의 조합을 실질적으로 포함하지 않는, 방법.
  23. 제 1 항에 있어서,
    제거 조성물이 용해된 제 1 금속 게이트 물질을 더 포함하는, 방법.
  24. 제 1 항에 있어서,
    제거 조성물이 장치로부터 헹굼액으로 헹궈지는, 방법.
KR1020137011711A 2010-10-06 2011-10-06 질화 금속을 선택적으로 에칭하기 위한 조성물 및 방법 KR101827031B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US39037210P 2010-10-06 2010-10-06
US61/390,372 2010-10-06
PCT/US2011/055049 WO2012048079A2 (en) 2010-10-06 2011-10-06 Composition and process for selectively etching metal nitrides

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020187003092A Division KR101868319B1 (ko) 2010-10-06 2011-10-06 질화 금속을 선택적으로 에칭하기 위한 조성물 및 방법

Publications (2)

Publication Number Publication Date
KR20130139278A KR20130139278A (ko) 2013-12-20
KR101827031B1 true KR101827031B1 (ko) 2018-02-07

Family

ID=45928425

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020187003092A KR101868319B1 (ko) 2010-10-06 2011-10-06 질화 금속을 선택적으로 에칭하기 위한 조성물 및 방법
KR1020137011711A KR101827031B1 (ko) 2010-10-06 2011-10-06 질화 금속을 선택적으로 에칭하기 위한 조성물 및 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020187003092A KR101868319B1 (ko) 2010-10-06 2011-10-06 질화 금속을 선택적으로 에칭하기 위한 조성물 및 방법

Country Status (6)

Country Link
US (1) US9831088B2 (ko)
KR (2) KR101868319B1 (ko)
CN (2) CN105304485B (ko)
SG (2) SG10201508015RA (ko)
TW (1) TWI619800B (ko)
WO (1) WO2012048079A2 (ko)

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
WO2012097143A2 (en) 2011-01-13 2012-07-19 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium- containing solutions
KR20120138290A (ko) * 2011-06-14 2012-12-26 삼성디스플레이 주식회사 식각액 조성물, 및 이를 이용한 금속 배선과 박막 트랜지스터 기판 형성 방법
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
CN104145324B (zh) * 2011-12-28 2017-12-22 恩特格里斯公司 用于选择性蚀刻氮化钛的组合物和方法
US10176979B2 (en) 2012-02-15 2019-01-08 Entegris, Inc. Post-CMP removal using compositions and method of use
KR20150016574A (ko) 2012-05-18 2015-02-12 인티그리스, 인코포레이티드 티타늄 나이트라이드를 포함한 표면에서 포토레지스트를 제거하는 조성물 및 방법
KR101630654B1 (ko) * 2012-07-20 2016-06-15 후지필름 가부시키가이샤 에칭방법, 이것을 사용한 반도체 기판 제품 및 반도체 소자의 제조방법
JP2014022657A (ja) * 2012-07-20 2014-02-03 Fujifilm Corp エッチング方法、これを用いた半導体基板製品および半導体素子の製造方法、ならびにエッチング液調製用キット
JP6063206B2 (ja) * 2012-10-22 2017-01-18 富士フイルム株式会社 エッチング液、これを用いたエッチング方法及び半導体素子の製造方法
CN102977720B (zh) * 2012-11-02 2015-07-29 铜陵市明诚铸造有限责任公司 一种含有1-羟基苯并三氮唑的金属防锈剂的制备方法
JP6017273B2 (ja) * 2012-11-14 2016-10-26 富士フイルム株式会社 半導体基板のエッチング方法及び半導体素子の製造方法
JP2014103179A (ja) * 2012-11-16 2014-06-05 Fujifilm Corp 半導体基板のエッチング液、これを用いたエッチング方法及び半導体素子の製造方法
JP6198384B2 (ja) 2012-11-28 2017-09-20 富士フイルム株式会社 半導体基板のエッチング方法及び半導体素子の製造方法
KR102118964B1 (ko) 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Iii-v 반도체 물질을 세척하기 위한 조성물 및 이를 사용하는 방법
EP2964725B1 (en) 2013-03-04 2021-06-23 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
KR102087791B1 (ko) * 2013-03-27 2020-03-12 삼성디스플레이 주식회사 식각 조성물, 이를 이용한 금속 패턴의 형성 방법 및 표시 기판의 제조방법
JP6723152B2 (ja) 2013-06-06 2020-07-15 インテグリス・インコーポレーテッド 窒化チタンを選択的にエッチングするための組成物及び方法
WO2015017659A1 (en) * 2013-07-31 2015-02-05 Advanced Technology Materials, Inc. AQUEOUS FORMULATIONS FOR REMOVING METAL HARD MASK AND POST-ETCH RESIDUE WITH Cu/W COMPATIBILITY
WO2015031620A1 (en) 2013-08-30 2015-03-05 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
RU2545975C1 (ru) * 2013-12-05 2015-04-10 Федеральное государственное унитарное предприятие "Всероссийский научно-исследовательский институт авиационных материалов" (ФГУП "ВИАМ") Способ удаления покрытия из нитрида циркония с подложки из титана или титановых сплавов
WO2015089023A1 (en) * 2013-12-11 2015-06-18 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
WO2015095175A1 (en) 2013-12-16 2015-06-25 Advanced Technology Materials, Inc. Ni:nige:ge selective etch formulations and method of using same
US9472420B2 (en) 2013-12-20 2016-10-18 Air Products And Chemicals, Inc. Composition for titanium nitride hard mask and etch residue removal
SG11201605003WA (en) 2013-12-20 2016-07-28 Entegris Inc Use of non-oxidizing strong acids for the removal of ion-implanted resist
EP3083016B1 (en) 2013-12-20 2020-07-29 Greene Lyon Group Inc. Method and apparatus for recovery of noble metals, including recovery of noble metals from plated and/or filled scrap
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
TWI642763B (zh) * 2014-01-27 2018-12-01 三菱瓦斯化學股份有限公司 氮化鈦除去用液體組成物、利用該液體組成物之半導體元件之洗滌方法、及半導體元件之製造方法
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
JP6550123B2 (ja) 2014-03-18 2019-07-24 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド エッチング組成物
US9222018B1 (en) * 2014-07-24 2015-12-29 Air Products And Chemicals, Inc. Titanium nitride hard mask and etch residue removal
KR102456079B1 (ko) * 2014-12-24 2022-11-21 삼성디스플레이 주식회사 산화물 제거용 세정 조성물 및 이를 이용한 세정 방법
US10301580B2 (en) * 2014-12-30 2019-05-28 Versum Materials Us, Llc Stripping compositions having high WN/W etching selectivity
JP6429079B2 (ja) * 2015-02-12 2018-11-28 メック株式会社 エッチング液及びエッチング方法
TW201703130A (zh) * 2015-02-25 2017-01-16 應用材料股份有限公司 使用烷基胺於金屬氮化物之選擇性移除的方法及設備
US11136681B2 (en) 2015-06-24 2021-10-05 Greene Lyon Group, Inc. Selective removal of noble metals using acidic fluids, including fluids containing nitrate ions
CN105018934A (zh) * 2015-07-15 2015-11-04 安徽多晶涂层科技有限公司 一种硬质涂层用退涂粉、配置的退涂液及退涂方法
CN105063579B (zh) * 2015-07-20 2017-12-08 深圳市瑞世兴科技有限公司 金刚石铜复合材料用的粗化液及其表面镀镍方法
JP6557575B2 (ja) * 2015-10-23 2019-08-07 株式会社Adeka エッチング液組成物及びエッチング方法
JP6885942B2 (ja) * 2015-11-23 2021-06-16 インテグリス・インコーポレーテッド 窒化ケイ素と比べてp型ドープポリシリコンを選択的にエッチングするための組成物及び方法
CN106566413A (zh) * 2016-10-28 2017-04-19 扬州翠佛堂珠宝有限公司 一种蓝宝石抛光液
KR20180060489A (ko) 2016-11-29 2018-06-07 삼성전자주식회사 식각용 조성물 및 이를 이용한 반도체 장치 제조 방법
US11114347B2 (en) * 2017-06-30 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layers with different materials
CN107229193B (zh) * 2017-07-25 2019-04-23 上海新阳半导体材料股份有限公司 一种清洗剂、其制备方法和应用
US10870799B2 (en) * 2017-08-25 2020-12-22 Versum Materials Us, Llc Etching solution for selectively removing tantalum nitride over titanium nitride during manufacture of a semiconductor device
US10889757B2 (en) 2017-10-19 2021-01-12 Fujifilm Electronic Materials U.S.A., Inc. Etching compositions
JP7175316B2 (ja) 2018-01-25 2022-11-18 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング フォトレジストリムーバ組成物
CN108640092B (zh) * 2018-04-18 2021-11-05 南京大学 一种含氧化合物辅助一步氮化法制备金属氮化物薄膜的方法
EP3787009A4 (en) * 2018-04-27 2021-06-09 Mitsubishi Gas Chemical Company, Inc. AQUEOUS COMPOSITION AND PROCESS FOR USING CLEANING
WO2019213207A1 (en) * 2018-05-01 2019-11-07 Applied Materials, Inc. Methods of increasing selectivity for selective etch processes
CN110484919A (zh) * 2018-05-14 2019-11-22 深圳市裕展精密科技有限公司 退镀液及其退除含钛膜层的方法、及表面形成有含钛膜层的基材的退镀方法
KR102579803B1 (ko) * 2018-07-06 2023-09-19 엔테그리스, 아이엔씨. 물질의 선택적 에칭을 위한 개선
SG11202107061TA (en) * 2019-01-11 2021-07-29 Versum Materials Us Llc Hafnium oxide corrosion inhibitor
EP3959291A4 (en) * 2019-03-11 2023-07-19 Versum Materials US, LLC ETCHING SOLUTION AND PROCESS FOR ALUMINUM NITRIDE
CA3136356A1 (en) 2019-04-12 2020-10-15 Ecolab Usa Inc. Antimicrobial multi-purpose cleaner and methods of making and using the same
KR102590529B1 (ko) * 2019-05-14 2023-10-16 주식회사 엘지화학 금속막 식각액 조성물 및 이를 이용한 금속막의 식각방법
KR20210045838A (ko) 2019-10-17 2021-04-27 삼성전자주식회사 금속 함유막 식각액 조성물 및 이를 이용한 집적회로 소자의 제조 방법
US11309190B2 (en) * 2020-01-17 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
KR20210100258A (ko) 2020-02-05 2021-08-17 삼성전자주식회사 식각 조성물 및 이를 이용한 반도체 소자의 제조 방법
JP7399314B2 (ja) * 2020-04-14 2023-12-15 インテグリス・インコーポレーテッド モリブデンをエッチングするための方法及び組成物
TW202212540A (zh) * 2020-08-13 2022-04-01 美商恩特葛瑞斯股份有限公司 氮化物蝕刻劑組合物及方法
CN113355023B (zh) * 2021-05-31 2022-08-09 中南大学 一种4D打印NiTi合金EBSD样品抛光液的制备方法和产品及应用
KR20220164259A (ko) * 2021-06-04 2022-12-13 주식회사 이엔에프테크놀로지 금속질화막 식각 조성물 및 이를 이용하는 식각 방법

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004200378A (ja) 2002-12-18 2004-07-15 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
WO2006137497A1 (ja) 2005-06-24 2006-12-28 Mitsubishi Gas Chemical Company, Inc. メタル材料用エッチング剤組成物およびそれを用いた半導体デバイスの製造方法
WO2010029867A1 (ja) * 2008-09-09 2010-03-18 昭和電工株式会社 チタン系金属、タングステン系金属、チタンタングステン系金属またはそれらの窒化物のエッチング液
JP2010515245A (ja) 2006-12-21 2010-05-06 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 窒化ケイ素の選択的除去のための組成物および方法
US20100301427A1 (en) 2009-05-29 2010-12-02 Markus Lenski Work function adjustment in high-k metal gate electrode structures by selectively removing a barrier layer

Family Cites Families (148)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3559281A (en) 1968-11-27 1971-02-02 Motorola Inc Method of reclaiming processed semiconductior wafers
US3923567A (en) 1974-08-09 1975-12-02 Silicon Materials Inc Method of reclaiming a semiconductor wafer
US4163727A (en) 1977-12-05 1979-08-07 Basf Wyandotte Corporation Acidizing-gel composition
JPS55109498A (en) 1979-02-15 1980-08-22 Ichiro Kudo Silicic acid scale removing agent
US4226932A (en) * 1979-07-05 1980-10-07 Gte Automatic Electric Laboratories Incorporated Titanium nitride as one layer of a multi-layered coating intended to be etched
JPS5855323A (ja) 1981-09-26 1983-04-01 Toshiba Corp シリコン及びシリコン酸化膜の腐食液
CA1196560A (en) 1981-11-24 1985-11-12 Gerardus A. Somers Metal stripping composition and process
US4704188A (en) 1983-12-23 1987-11-03 Honeywell Inc. Wet chemical etching of crxsiynz
JPS6140805A (ja) 1984-08-03 1986-02-27 Mitsubishi Gas Chem Co Inc 窒化珪素微粉末の製造方法
JPH01272785A (ja) 1988-04-25 1989-10-31 Nippon Hyomen Kagaku Kk チタンまたはチタン合金の化学研磨方法
TW263531B (ko) 1992-03-11 1995-11-21 Mitsubishi Gas Chemical Co
JPH05299810A (ja) 1992-04-21 1993-11-12 Sumitomo Metal Ind Ltd 配線パターン形成用エッチング溶液
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
US5622875A (en) 1994-05-06 1997-04-22 Kobe Precision, Inc. Method for reclaiming substrate from semiconductor wafers
US5803956A (en) 1994-07-28 1998-09-08 Hashimoto Chemical Company, Ltd. Surface treating composition for micro processing
JP2914555B2 (ja) 1994-08-30 1999-07-05 信越半導体株式会社 半導体シリコンウェーハの洗浄方法
US5855735A (en) 1995-10-03 1999-01-05 Kobe Precision, Inc. Process for recovering substrates
US7534752B2 (en) 1996-07-03 2009-05-19 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US6323168B1 (en) 1996-07-03 2001-11-27 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US6755989B2 (en) 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6224785B1 (en) 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US5993685A (en) 1997-04-02 1999-11-30 Advanced Technology Materials Planarization composition for removing metal films
US6322600B1 (en) 1997-04-23 2001-11-27 Advanced Technology Materials, Inc. Planarization compositions and methods for removing interlayer dielectric films
US6083419A (en) 1997-07-28 2000-07-04 Cabot Corporation Polishing composition including an inhibitor of tungsten etching
JPH1167632A (ja) 1997-08-18 1999-03-09 Mitsubishi Gas Chem Co Inc 半導体装置用洗浄剤
JP3968535B2 (ja) 1997-08-29 2007-08-29 三菱瓦斯化学株式会社 半導体素子の製造方法
JPH1183824A (ja) 1997-09-08 1999-03-26 Shimadzu Corp ガスクロマトグラフ分析装置
JPH11150329A (ja) 1997-11-14 1999-06-02 Sony Corp 半導体素子の製造方法
US5976928A (en) 1997-11-20 1999-11-02 Advanced Technology Materials, Inc. Chemical mechanical polishing of FeRAM capacitors
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
JPH11265867A (ja) 1998-03-17 1999-09-28 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置
JP3500063B2 (ja) 1998-04-23 2004-02-23 信越半導体株式会社 剥離ウエーハを再利用する方法および再利用に供されるシリコンウエーハ
AU4189599A (en) 1998-05-18 1999-12-06 Mallinckrodt, Inc. Silicate-containing alkaline compositions for cleaning microelectronic substrates
JP4226216B2 (ja) 1998-05-18 2009-02-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 半導体基板用の剥離用組成物
US6140211A (en) 1998-07-24 2000-10-31 Lucent Technologies Inc. Method for recycling wafers used for quality assurance testing of integrated circuit fabrication equipment
US6242165B1 (en) 1998-08-28 2001-06-05 Micron Technology, Inc. Supercritical compositions for removal of organic material and methods of using same
JP3189892B2 (ja) 1998-09-17 2001-07-16 日本電気株式会社 半導体基板の洗浄方法及び洗浄液
US6875733B1 (en) 1998-10-14 2005-04-05 Advanced Technology Materials, Inc. Ammonium borate containing compositions for stripping residues from semiconductor substrates
US6140239A (en) 1998-11-25 2000-10-31 Advanced Micro Devices, Inc. Chemically removable Cu CMP slurry abrasive
US6395194B1 (en) 1998-12-18 2002-05-28 Intersurface Dynamics Inc. Chemical mechanical polishing compositions, and process for the CMP removal of iridium thin using same
JP4224652B2 (ja) 1999-03-08 2009-02-18 三菱瓦斯化学株式会社 レジスト剥離液およびそれを用いたレジストの剥離方法
US20040029395A1 (en) 2002-08-12 2004-02-12 Peng Zhang Process solutions containing acetylenic diol surfactants
US7208049B2 (en) 2003-10-20 2007-04-24 Air Products And Chemicals, Inc. Process solutions containing surfactants used as post-chemical mechanical planarization treatment
US6235693B1 (en) 1999-07-16 2001-05-22 Ekc Technology, Inc. Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6492308B1 (en) 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6723691B2 (en) 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6409781B1 (en) 2000-05-01 2002-06-25 Advanced Technology Materials, Inc. Polishing slurries for copper and associated materials
JP2002025968A (ja) 2000-07-04 2002-01-25 Sharp Corp 半導体基板の洗浄方法
US6406923B1 (en) 2000-07-31 2002-06-18 Kobe Precision Inc. Process for reclaiming wafer substrates
US6531404B1 (en) * 2000-08-04 2003-03-11 Applied Materials Inc. Method of etching titanium nitride
US6762132B1 (en) 2000-08-31 2004-07-13 Micron Technology, Inc. Compositions for dissolution of low-K dielectric films, and methods of use
JP3533366B2 (ja) 2000-09-05 2004-05-31 シャープ株式会社 半導体基板の洗浄処理及びウェットエッチング処理を同時に行う方法
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
JP2002231666A (ja) 2001-01-31 2002-08-16 Fujimi Inc 研磨用組成物およびそれを用いた研磨方法
US6547647B2 (en) 2001-04-03 2003-04-15 Macronix International Co., Ltd. Method of wafer reclaim
US6627587B2 (en) 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
MY131912A (en) 2001-07-09 2007-09-28 Avantor Performance Mat Inc Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
TWI297102B (en) 2001-08-03 2008-05-21 Nec Electronics Corp Removing composition
US7029373B2 (en) 2001-08-14 2006-04-18 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6692546B2 (en) 2001-08-14 2004-02-17 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6800218B2 (en) 2001-08-23 2004-10-05 Advanced Technology Materials, Inc. Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same
JP2003077899A (ja) 2001-09-04 2003-03-14 Sharp Corp 半導体基板の洗浄方法
US6802983B2 (en) 2001-09-17 2004-10-12 Advanced Technology Materials, Inc. Preparation of high performance silica slurry using a centrifuge
JP2003124174A (ja) 2001-10-09 2003-04-25 Mitsubishi Gas Chem Co Inc 半導体基板の洗浄液
DE10163892A1 (de) 2001-12-27 2003-07-17 Basf Ag Derivate von Polymeren für die Metallbehandlung
JP4594622B2 (ja) 2002-02-04 2010-12-08 インジェヌイティ システムズ インコーポレイテッド 薬発見法
JP2003243403A (ja) 2002-02-13 2003-08-29 Mitsubishi Electric Corp 半導体ウェハの再生方法
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US6900003B2 (en) 2002-04-12 2005-05-31 Shipley Company, L.L.C. Photoresist processing aid and method
JP2004029346A (ja) 2002-06-25 2004-01-29 Mitsubishi Gas Chem Co Inc レジスト剥離液組成物
US20040050406A1 (en) 2002-07-17 2004-03-18 Akshey Sehgal Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
US7211479B2 (en) 2004-08-30 2007-05-01 Micron Technology, Inc. Silicon rich barrier layers for integrated circuit devices
JP2004170538A (ja) 2002-11-18 2004-06-17 Nippon Zeon Co Ltd レジスト剥離液
US7300601B2 (en) 2002-12-10 2007-11-27 Advanced Technology Materials, Inc. Passivative chemical mechanical polishing composition for copper film planarization
US6693047B1 (en) 2002-12-19 2004-02-17 Taiwan Semiconductor Manufacturing Co. Ltd. Method for recycling semiconductor wafers having carbon doped low-k dielectric layers
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
JP4085262B2 (ja) 2003-01-09 2008-05-14 三菱瓦斯化学株式会社 レジスト剥離剤
JP2003338484A (ja) 2003-03-24 2003-11-28 Mitsubishi Gas Chem Co Inc 半導体基板の洗浄液
JP4189651B2 (ja) 2003-03-26 2008-12-03 三菱瓦斯化学株式会社 高誘電率薄膜エッチング剤組成物
KR20060024775A (ko) 2003-05-12 2006-03-17 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 제2단계 구리 라이너 및 관련된 물질을 위한 cmp조성물및 그 이용방법
US7736405B2 (en) 2003-05-12 2010-06-15 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for copper and associated materials and method of using same
US6761625B1 (en) 2003-05-20 2004-07-13 Intel Corporation Reclaiming virgin test wafers
JP4159929B2 (ja) 2003-05-28 2008-10-01 花王株式会社 レジスト用剥離剤組成物
US7119052B2 (en) 2003-06-24 2006-10-10 Advanced Technology Materials, Inc. Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
US20050076580A1 (en) 2003-10-10 2005-04-14 Air Products And Chemicals, Inc. Polishing composition and use thereof
JP4799843B2 (ja) 2003-10-17 2011-10-26 三星電子株式会社 高いエッチング選択比を有するエッチング組成物、その製造方法、これを用いた酸化膜の選択的エッチング方法、及び半導体装置の製造方法
CA2544198C (en) 2003-10-29 2011-07-26 Mallinckrodt Baker, Inc. Alkaline, post plasma etch/ash residue removers and photoresist stripping compositions containing metal-halide corrosion inhibitors
US6974764B2 (en) 2003-11-06 2005-12-13 Intel Corporation Method for making a semiconductor device having a metal gate electrode
US7335239B2 (en) 2003-11-17 2008-02-26 Advanced Technology Materials, Inc. Chemical mechanical planarization pad
CN1938412A (zh) 2003-12-02 2007-03-28 高级技术材料公司 剥离抗蚀剂、barc和填隙材料的化学制剂及方法
JP4464125B2 (ja) 2003-12-22 2010-05-19 ソニー株式会社 構造体の作製方法及びシリコン酸化膜エッチング剤
KR100795364B1 (ko) 2004-02-10 2008-01-17 삼성전자주식회사 반도체 기판용 세정액 조성물, 이를 이용한 세정 방법 및도전성 구조물의 제조 방법
WO2005083523A1 (en) 2004-02-11 2005-09-09 Mallinckrodt Baker Inc. Microelectronic cleaning composition containing halogen oxygen acids, salts and derivatives thereof
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US20060009011A1 (en) 2004-07-06 2006-01-12 Gary Barrett Method for recycling/reclaiming a monitor wafer
BRPI0514058A (pt) 2004-08-03 2008-05-27 Mallinckrodt Baker Inc composições para limpeza de substratos microeletrÈnicos
TWI283442B (en) 2004-09-09 2007-07-01 Sez Ag Method for selective etching
KR101190907B1 (ko) 2004-12-07 2012-10-12 가오 가부시키가이샤 박리제 조성물
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
KR100670919B1 (ko) 2005-01-12 2007-01-19 삼성전자주식회사 저유전율막 제거 방법 및 이를 이용한 웨이퍼 재생 방법
US7208325B2 (en) 2005-01-18 2007-04-24 Applied Materials, Inc. Refreshing wafers having low-k dielectric materials
US7923423B2 (en) 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
KR101238471B1 (ko) 2005-02-25 2013-03-04 이케이씨 테크놀로지, 인코포레이티드 구리 및 저 k 유전체 물질을 갖는 기판으로부터 레지스트,에칭 잔류물 및 구리 산화물을 제거하는 방법
US7365045B2 (en) 2005-03-30 2008-04-29 Advanced Tehnology Materials, Inc. Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
CN101248516A (zh) 2005-04-08 2008-08-20 塞克姆公司 金属氮化物的选择性湿蚀刻
WO2006110645A2 (en) 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
KR20060108436A (ko) 2005-04-13 2006-10-18 매그나칩 반도체 유한회사 반도체 소자 세정용 조성물 및 이를 이용한 반도체 소자의세정 방법
US20070251551A1 (en) 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
WO2006113808A2 (en) 2005-04-20 2006-10-26 University Of Rochester Methods of making and modifying porous devices for biomedical applications
JP4799908B2 (ja) * 2005-05-30 2011-10-26 株式会社アルバック 表面処理方法
EP1891482B1 (en) 2005-06-07 2014-04-30 Advanced Technology Materials, Inc. Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
WO2006138235A2 (en) 2005-06-13 2006-12-28 Advanced Technology Materials, Inc. Compositions and methods for selective removal of metal or metal alloy after metal silicide formation
KR100685738B1 (ko) 2005-08-08 2007-02-26 삼성전자주식회사 절연 물질 제거용 조성물, 이를 이용한 절연막의 제거 방법및 기판의 재생 방법
WO2007027522A2 (en) 2005-08-29 2007-03-08 Advanced Technology Materials, Inc. Composition and method for removing thick film photoresist
US20090032766A1 (en) 2005-10-05 2009-02-05 Advanced Technology Materials, Inc. Composition and method for selectively etching gate spacer oxide material
KR101444468B1 (ko) 2005-10-05 2014-10-30 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
JP2009516360A (ja) * 2005-10-13 2009-04-16 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 金属適合フォトレジスト及び/又は犠牲反射防止コーティング除去組成物
KR100706822B1 (ko) 2005-10-17 2007-04-12 삼성전자주식회사 절연 물질 제거용 조성물, 이를 이용한 절연막의 제거 방법및 기판의 재생 방법
US7960328B2 (en) 2005-11-09 2011-06-14 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
TW200734448A (en) 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
WO2008036823A2 (en) 2006-09-21 2008-03-27 Advanced Technology Materials, Inc. Uric acid additive for cleaning formulations
US20080076688A1 (en) 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
EP2082024A4 (en) 2006-09-25 2010-11-17 Advanced Tech Materials COMPOSITIONS AND METHODS FOR REMOVING A PHOTORESISTANT AGENT FOR RECYCLING A SILICON GALETTE
JP2008112892A (ja) 2006-10-31 2008-05-15 Fujitsu Ltd ウェーハのボロン汚染防止方法
US20080125342A1 (en) 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
KR100839355B1 (ko) 2006-11-28 2008-06-19 삼성전자주식회사 기판의 재생 방법
TWI449784B (zh) 2006-12-21 2014-08-21 Advanced Tech Materials 用以移除蝕刻後殘餘物之液體清洗劑
US20100087065A1 (en) 2007-01-31 2010-04-08 Advanced Technology Materials, Inc. Stabilization of polymer-silica dispersions for chemical mechanical polishing slurry applications
TWI516573B (zh) 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
WO2008098593A1 (en) 2007-02-15 2008-08-21 Basf Se Titanium etchant composition
EP2164938B1 (en) 2007-05-17 2017-06-21 Entegris Inc. New antioxidants for post-cmp cleaning formulations
US7976723B2 (en) 2007-05-17 2011-07-12 International Business Machines Corporation Method for kinetically controlled etching of copper
WO2008157345A2 (en) 2007-06-13 2008-12-24 Advanced Technology Materials, Inc. Wafer reclamation compositions and methods
KR20080113479A (ko) 2007-06-25 2008-12-31 엘지이노텍 주식회사 웨이퍼 재활용 방법
JP4947393B2 (ja) 2007-07-24 2012-06-06 信越半導体株式会社 半導体基板の製造方法
US8282844B2 (en) * 2007-08-01 2012-10-09 Tokyo Electron Limited Method for etching metal nitride with high selectivity to other materials
WO2009032460A1 (en) 2007-08-02 2009-03-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of residue from a microelectronic device
EP2190967A4 (en) 2007-08-20 2010-10-13 Advanced Tech Materials COMPOSITION AND METHOD FOR REMOVING AN ION IMPLANTATION PHOTORESIST
US7851374B2 (en) 2007-10-31 2010-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon wafer reclamation process
TW200934865A (en) 2007-11-30 2009-08-16 Advanced Tech Materials Formulations for cleaning memory device structures
EP2268765A4 (en) 2008-03-07 2011-10-26 Advanced Tech Materials UNSELECTIVE OXIDIZE WET CLEANING AGENT AND USE
US8026200B2 (en) 2008-05-01 2011-09-27 Advanced Technology Materials, Inc. Low pH mixtures for the removal of high density implanted resist
TWI416595B (zh) * 2008-09-15 2013-11-21 Taiwan Semiconductor Mfg 製造半導體裝置的方法
SG10201505535VA (en) 2010-07-16 2015-09-29 Entegris Inc Aqueous cleaner for the removal of post-etch residues

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004200378A (ja) 2002-12-18 2004-07-15 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
WO2006137497A1 (ja) 2005-06-24 2006-12-28 Mitsubishi Gas Chemical Company, Inc. メタル材料用エッチング剤組成物およびそれを用いた半導体デバイスの製造方法
JP2010515245A (ja) 2006-12-21 2010-05-06 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 窒化ケイ素の選択的除去のための組成物および方法
WO2010029867A1 (ja) * 2008-09-09 2010-03-18 昭和電工株式会社 チタン系金属、タングステン系金属、チタンタングステン系金属またはそれらの窒化物のエッチング液
US20100301427A1 (en) 2009-05-29 2010-12-02 Markus Lenski Work function adjustment in high-k metal gate electrode structures by selectively removing a barrier layer

Also Published As

Publication number Publication date
KR101868319B1 (ko) 2018-06-15
CN105304485B (zh) 2019-02-12
US20140038420A1 (en) 2014-02-06
WO2012048079A3 (en) 2012-06-28
SG10201508015RA (en) 2015-10-29
TW201221627A (en) 2012-06-01
CN103154321B (zh) 2015-11-25
TWI619800B (zh) 2018-04-01
CN103154321A (zh) 2013-06-12
WO2012048079A2 (en) 2012-04-12
SG189292A1 (en) 2013-05-31
KR20130139278A (ko) 2013-12-20
US9831088B2 (en) 2017-11-28
KR20180016619A (ko) 2018-02-14
CN105304485A (zh) 2016-02-03

Similar Documents

Publication Publication Date Title
KR101827031B1 (ko) 질화 금속을 선택적으로 에칭하기 위한 조성물 및 방법
US10920141B2 (en) Compositions and methods for selectively etching titanium nitride
US10392560B2 (en) Compositions and methods for selectively etching titanium nitride
EP3447791A1 (en) Etching solution for selectively removing silicon-germanium alloy from a silicon-germanium/ silicon stack during manufacture of a semiconductor device
KR102338526B1 (ko) Cu/W 호환성을 갖는, 금속 하드 마스크 및 에칭-후 잔여물을 제거하기 위한 수성 제형
KR102294726B1 (ko) 티타늄 나이트라이드를 선택적으로 에칭하기 위한 조성물 및 방법
KR20200030121A (ko) 애싱된 스핀-온 유리의 선택적 제거 방법
US11085011B2 (en) Post CMP cleaning compositions for ceria particles
TW201920616A (zh) 於製造一半導體裝置時用於相對氮化鈦選擇性移除氮化鉭的蝕刻組合物
TW202246579A (zh) 於製造一半導體裝置時用於從一矽-鍺/矽堆疊選擇性移除矽-鍺合金的蝕刻溶液

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant