JP6723152B2 - 窒化チタンを選択的にエッチングするための組成物及び方法 - Google Patents

窒化チタンを選択的にエッチングするための組成物及び方法 Download PDF

Info

Publication number
JP6723152B2
JP6723152B2 JP2016518038A JP2016518038A JP6723152B2 JP 6723152 B2 JP6723152 B2 JP 6723152B2 JP 2016518038 A JP2016518038 A JP 2016518038A JP 2016518038 A JP2016518038 A JP 2016518038A JP 6723152 B2 JP6723152 B2 JP 6723152B2
Authority
JP
Japan
Prior art keywords
acid
ammonium
ether
hydroxide
composition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016518038A
Other languages
English (en)
Other versions
JP2016527707A (ja
JP2016527707A5 (ja
Inventor
チェン,リ−ミン
リッピー,スティーブン
アイ. クーパー,エマニュエル
アイ. クーパー,エマニュエル
ソング,リンヤン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Entegris Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Entegris Inc filed Critical Entegris Inc
Publication of JP2016527707A publication Critical patent/JP2016527707A/ja
Publication of JP2016527707A5 publication Critical patent/JP2016527707A5/ja
Application granted granted Critical
Publication of JP6723152B2 publication Critical patent/JP6723152B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/02Etching, surface-brightening or pickling compositions containing an alkali metal hydroxide
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/044Hydroxides or bases
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3942Inorganic per-compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3947Liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/395Bleaching agents
    • C11D3/3956Liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/06Hydroxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/20Other heavy metals
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • C11D2111/22
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Description

[0001] 本発明は、金属導体及び絶縁体材料(すなわち、低k誘電体)の存在下において窒化チタン及び/又はフォトレジストエッチ残渣を選択的にエッチングするための組成物及び方法に関し、さらに特定すれば、窒化チタン及び/又はフォトレジストエッチ残渣を、露出した又は下に横たわる銅、コバルト、ルテニウム、及び低k誘電体材料の層よりも高いエッチ速度及び選択性で、効果的且つ効率的にエッチングするための組成物及び方法に関する。
[0002] フォトレジストマスクは、半導体又は誘電体などの材料をパターニングするために、半導体産業において一般的に使用される。一用途において、フォトレジストマスクは、デュアルダマスカス法(dual damascene process)において、マイクロ電子デバイスのバックエンドメタライゼーションで相互接続を形成するために使用される。このデュアルダマスカス法は、金属導体層(例えば、銅又はコバルト層)の上に横たわる低k誘電体層の上にフォトレジストマスクを形成すること含む。次いで、この低k誘電体層がフォトレジストマスクに従ってエッチングされて、金属導体層を露出させるビア及び/又はトレンチが形成される。デュアルダマスカス構造として一般的に知られているこのビア及びトレンチは、通常、2つのリソグラフィー工程を用いて画定される。次いで、相互接続を形成するために導電性材料をビア及び/又はトレンチ内に堆積させる前に、フォトレジストマスクが低k誘電体層から除去される。
[0003] マイクロ電子デバイスの大きさが縮小するにつれて、ビア及びトレンチの限界寸法を達成することがより困難になる。したがって、ビア及びトレンチのより良好なプロファイル制御を提供するために、金属ハードマスクが使用される。金属ハードマスクは、チタン又は窒化チタンでできたものであり得、デュアルダマスカス構造のビア及び/又はトレンチの形成後に、湿式エッチング法により除去される。湿式エッチング法は、下に横たわる金属導体層及び低k誘電体材料に影響を及ぼすことなく金属ハードマスク及び/又はフォトレジストエッチ残渣を効果的に除去する除去化学作用を用いることが不可欠である。換言すれば、こうした除去化学作用は、金属導体層及び低k誘電体層に対して極めて選択的である必要がある。
[0004] したがって、本発明の目的は、ハードマスクのエッチ速度を損なわないまま、存在する金属導体層及び低k誘電体層に比べてハードマスク材料を選択的に除去するための改善された組成物を提供することである。
[0005] 本発明は、存在する金属導体層及び低k誘電体層に比べてハードマスク層及び/又はフォトレジストエッチ残渣を選択的にエッチングするための組成物及び方法に関する。より具体的には、本発明は、銅、コバルト、ルテニウム、及び低k誘電体層に比べて窒化チタン及び/又はフォトレジストエッチ残渣を選択的にエッチングするための組成物及び方法に関する。
[0006] 一態様において、窒化チタン及び/又はフォトレジストエッチ残渣材料を、それらを上に有するマイクロ電子デバイスの表面から選択的に除去するための組成物が記載され、上述の組成物は、少なくとも1種の酸化剤、少なくとも1種のエッチング剤、少なくとも1種の金属腐食防止剤、少なくとも1種のキレート化剤、及び少なくとも1種の溶媒を含む。
[0007] 別の態様において、窒化チタン材料及び/又はフォトレジストエッチ残渣を、それらを上に有するマイクロ電子デバイスの表面からエッチングする方法が記載され、上述の方法は、表面を組成物と接触させる工程を含み、組成物は、窒化チタン材料及び/又はフォトレジストエッチ残渣を、金属及び絶縁材料に比べて選択的に表面から除去するものであり、上述の組成物は、少なくとも1種の酸化剤、少なくとも1種のエッチング剤、少なくとも1種の金属腐食防止剤、少なくとも1種のキレート化剤、及び少なくとも1種の溶媒を含む。
[0008] 本発明の他の態様、特徴及び実施形態は、後に続く開示及び添付の特許請求の範囲からより完全に明らかになるであろう。
[0009] 一般的に、本発明は、存在する金属導体層及び低k誘電体層に比べてハードマスク層及び/又はフォトレジストエッチ残渣を選択的にエッチングするための組成物及び方法に関する。より具体的には、本発明は、銅、コバルト、ルテニウム、及び低k誘電体層に比べて窒化チタン及び/又はフォトレジストエッチ残渣を選択的にエッチングするための組成物及び方法に関する。マイクロ電子デバイス上に存在し得る他の材料は、上述の組成物によって実質的に除去又は腐食されるべきではない。
[0010] 参照を容易にするために、「マイクロ電子デバイス」は、マイクロエレクトロニクス、集積回路、エネルギー収集、又はコンピュータチップ用途における使用のために製造される、半導体基板、フラットパネルディスプレイ、相変化メモリデバイス、太陽電池板及び他の製品(太陽電池デバイス、光起電装置、及び微小電気機械システム(MEMS)を含む)に相当する。用語「マイクロ電子デバイス」、「マイクロ電子基板」及び「マイクロ電子デバイス構造体」は、決して限定的であることが意図されるものではなく、最終的にマイクロ電子デバイス又はマイクロ電子集成体となるあらゆる基板又は構造体を包含することが理解されるべきである。マイクロ電子デバイスは、パターニングされ得る、ブランケットされ得る、制御及び/又は試験デバイスであり得る。
[0011] 「ハードマスクキャップ層」は、本明細書で使用される場合、誘電体材料の上にそれをプラズマエッチ工程の間保護するために堆積させた材料に相当する。ハードマスクキャップ層は、従来、窒化ケイ素、酸窒化ケイ素、窒化チタン、酸窒化チタン、チタン及び他の同様の化合物である。
[0012] 本明細書で使用される場合、「窒化チタン」及び「TiN」は、純粋な窒化チタン、並びに様々な化学量論及び酸素含有量(TiO)を含む不純な窒化チタンに相当する。
[0013] 本明細書で使用される場合、「約」は、述べられた値の±5%に相当することが意図される。
[0014] 本明細書で定義される場合、「低k誘電体材料」は、層状マイクロ電子デバイスにおいて誘電体材料として使用される材料であって、約3.5より低い誘電率を有するあらゆる材料に相当する。好ましくは、低k誘電体材料は、低極性材料、例えば、ケイ素含有有機ポリマー、ケイ素含有ハイブリッド有機/無機材料、有機シリケートガラス(OSG)、TEOS、フッ素化シリケートガラス(FSG)、二酸化ケイ素、及び炭素ドープ酸化物(CDO)ガラスを含む。低k誘電体材料は、様々な密度及び様々な多孔度を有し得ることが理解されるべきである。
[0015] 本明細書で定義される場合、「金属導体層」は、銅、タングステン、コバルト、モリブデン、アルミニウム、ルテニウム、それらを含む合金、及びそれらの組み合わせを含む。
[0016] 本明細書で定義される場合、「アミン」化学種は、少なくとも1種の第1級、第2級、及び第3級アミンを含むものであるが、但し、(i)カルボン酸基及びアミン基の両方を含む化学種、(ii)アミン基を含む界面活性剤、並びに(iii)アミン基が(例えば、アリール又は複素環式部分に結合している)置換基である化学種は、この定義に従う「アミン」とは見なされない。アミンの式は、NRにより表され得、式中、R、R及びRは、互いに同じでも異なっていてもよく、水素、直鎖若しくは分岐のC〜Cアルキル(例えば、メチル、エチル、プロピル、ブチル、ペンチル、ヘキシル)、C〜C10アリール(例えば、ベンジル)、直鎖若しくは分岐のC〜Cアルカノール(例えば、メタノール、エタノール、プロパノール、ブタノール、ペンタノール、ヘキサノール)及びそれらの組み合わせからなる群から選択されるが、但し、R、R及びRは、全てが水素であることはできない。
[0017] 本明細書で定義される場合、「フォトレジストエッチ残渣」は、当業者に容易に理解されるように、フォトレジスト材料又はエッチング若しくはアッシング工程の後のフォトレジストの副産物である材料を含むあらゆる残渣に相当する。フォトレジストエッチ残渣は、ケイ素含有材料、チタン含有材料、窒素含有材料、酸素含有材料、ポリマー残渣材料、銅含有残渣材料(酸化銅残渣を含む)、タングステン含有残渣材料、コバルト含有残渣材料、エッチガス残渣(例えば、塩素及びフッ素)、及びそれらの組み合わせを含み得る。
[0018] 「実質的に欠く」は、2重量%未満、好ましくは1重量%未満、より好ましくは0.5重量%未満、さらにより好ましくは0.1重量%未満、最も好ましくは0重量%として本明細書において定義される。
[0002] 本明細書で使用される場合、「フッ化物」化学種は、イオンフッ化物(F)又は共有結合フッ素を含む化学種に相当する。フッ化物化学種は、フッ化物化学種として含まれてもよいし、インサイチューで生成されてもよいことが理解されるべきである。
[0019] 本明細書で使用される場合、「塩化物」化学種は、イオン塩化物(Cl)を含む化学種に相当するが、但し、塩化物アニオンを含む界面活性剤は、この定義に従う「塩化物」とは見なされない。
[0020] 本明細書で定義される場合、強塩基は、少なくとも1つの11より大きいpKaを有する任意の塩基であり、弱塩基は、少なくとも1つの11より小さいpKaを有する任意の塩基である。
[0021] 本発明の組成物は、以下により十分に説明されるように、多種多様な特定の配合物として具現化され得る。
[0022] 組成物の特定の成分がゼロの下限を含む重量百分率範囲に関して述べられている全てのそのような組成物において、そのような成分は、この組成物の種々の特定の実施形態において存在する場合も存在しない場合もあること、及びそのような成分が存在する場合においては、それらは、そのような成分が採用されている組成物の総重量に基づいて、0.001重量パーセントほどに低い濃度で存在し得ることが理解されるであろう。
[0023] 本発明の実施形態は、ハードマスク及び/又はフォトレジストエッチ残渣を除去するための化学作用を含む。一実施形態において、除去組成物は、誘電体層上の金属ハードマスク及び/又はフォトレジストエッチ残渣を除去し且つ誘電体層のすぐ下の金属導体層及び誘電体層自体に対して極めて選択的である湿式エッチ溶液である。より特定的な実施形態において、除去組成物は、銅、コバルト、ルテニウム、及び低k誘電体材料のうちの少なくとも1つに対して極めて選択的である、窒化チタン層及び/又はフォトレジストエッチ残渣を除去する湿式エッチ溶液である。
[0024] 第1の態様において、窒化チタン及び/又はフォトレジストエッチ残渣材料を、それらを上に有するマイクロ電子デバイスの表面から選択的に除去するための組成物が記載され、上述の組成物は、少なくとも1種の酸化剤及び少なくとも1種のエッチング剤を含む。一実施形態において、窒化チタン及び/又はフォトレジストエッチ残渣材料を、それらを上に有するマイクロ電子デバイスの表面から除去するための組成物は、少なくとも1種の酸化剤、少なくとも1種のエッチング剤、少なくとも1種の金属腐食防止剤、及び少なくとも1種の溶媒を含むか、それらからなるか、又はそれらから実質的になる。別の実施形態において、窒化チタン及び/又はフォトレジストエッチ残渣材料を、それらを上に有するマイクロ電子デバイスの表面から除去するための組成物は、少なくとも1種の酸化剤、少なくとも1種のエッチング剤、少なくとも1種のキレート化剤、及び少なくとも1種の溶媒を含むか、それらからなるか、又はそれらから実質的になる。別の実施形態において、窒化チタン及び/又はフォトレジストエッチ残渣材料を、それらを上に有するマイクロ電子デバイスの表面から除去するための組成物は、少なくとも1種の酸化剤、少なくとも1種のエッチング剤、少なくとも1種のキレート化剤、少なくとも1種の金属腐食防止剤、及び少なくとも1種の溶媒を含むか、それらからなるか、又はそれらから実質的になる。さらに別の実施形態において、窒化チタン及び/又はフォトレジストエッチ残渣材料を、それらを上に有するマイクロ電子デバイスの表面から除去するための組成物は、少なくとも1種の酸化剤、少なくとも1種のエッチング剤、少なくとも1種のキレート化剤、少なくとも1種の金属腐食防止剤、少なくとも1種の界面活性剤、及び少なくとも1種の溶媒を含むか、それらからなるか、又はそれらから実質的になる。別の実施形態において、窒化チタン及び/又はフォトレジストエッチ残渣材料を、それらを上に有するマイクロ電子デバイスの表面から除去するための組成物は、少なくとも1種の酸化剤、少なくとも1種のエッチング剤、少なくとも1種の金属腐食防止剤、少なくとも1種の界面活性剤、及び少なくとも1種の溶媒を含むか、それらからなるか、又はそれらから実質的になる。さらに別の実施形態において、窒化チタン及び/又はフォトレジストエッチ残渣材料を、それらを上に有するマイクロ電子デバイスの表面から除去するための組成物は、少なくとも1種の酸化剤、少なくとも1種のエッチング剤、少なくとも1種のキレート化剤、少なくとも1種の界面活性剤、及び少なくとも1種の溶媒を含むか、それらからなるか、又はそれらから実質的になる。なお別の実施形態において、窒化チタン及び/又はフォトレジストエッチ残渣材料を、それらを上に有するマイクロ電子デバイスの表面から除去するための組成物は、少なくとも1種の酸化剤、少なくとも1種のエッチング剤、少なくとも1種のキレート化剤、及び少なくとも2種の溶媒を含むか、それらからなるか、又はそれらから実質的になり、少なくとも1種の溶媒は、水混和性有機溶媒である。第1の態様の各実施形態において、少なくとも1種のケイ素含有化合物及び/又は少なくとも1種の低kパッシベート剤(passivating agent)が添加され得る。これらの組成物は、ケイ酸塩、研磨材、金属ハロゲン化物、及びそれらの組み合わせを実質的に欠く。これらの組成物は、約5〜約12、好ましくは、約6〜約10の範囲内のpH値を有する。
[0025] エッチング剤は、窒化チタンのエッチ速度を高めるために添加される。企図されるエッチング剤としては、HF、フッ化アンモニウム、テトラフルオロホウ酸、ヘキサフルオロケイ酸、B−F又はSi−F結合を含有する他の化合物、テトラブチルアンモニウムテトラフルオロボレート(TBA−BF)、テトラアルキルアンモニウムフルオリド(NRF)、強塩基、例えば、テトラアルキルアンモニウムヒドロキシド(NROH)(式中、R、R、R、Rは、互いに同じでも異なっていてもよく、水素、直鎖又は分岐のC〜Cアルキル基(例えば、メチル、エチル、プロピル、ブチル、ペンチル、ヘキシル)、C〜Cアルコキシ基(例えば、ヒドロキシエチル、ヒドロキシプロピル)置換又は非置換のアリール基(例えば、ベンジル)からなる群から選択される)、弱塩基、及びそれらの組み合わせが挙げられるが、これらに限定されない。好ましくは、フッ化物供給源は、テトラフルオロホウ酸、ヘキサフルオロケイ酸、HZrF、HTiF、HPF、フッ化アンモニウム、テトラメチルアンモニウムフルオリド、テトラメチルアンモニウムヒドロキシド、ヘキサフルオロケイ酸アンモニウム、ヘキサフルオロチタン酸アンモニウム、又はフッ化アンモニウムとテトラメチルアンモニウムフルオリドとの組み合わせを含む。代替的に、又はフッ化物供給源に加えて、エッチング剤は、テトラメチルアンモニウムヒドロキシド(TMAH)、テトラエチルアンモニウムヒドロキシド(TEAH)、テトラプロピルアンモニウムヒドロキシド(TPAH)、テトラブチルアンモニウムヒドロキシド(TBAH)、ベンジルトリメチルアンモニウムヒドロキシド(BTMAH)、水酸化カリウム、水酸化アンモニウム、ベンジルトリエチルアンモニウムヒドロキシド(BTEAH)、テトラブチルホスホニウムヒドロキシド(TBPH)、(2−ヒドロキシエチル)トリメチルアンモニウムヒドロキシド(水酸化コリン)、(2−ヒドロキシエチル)トリエチルアンモニウムヒドロキシド、(2−ヒドロキシエチル)トリプロピルアンモニウムヒドロキシド、(1−ヒドロキシプロピル)トリメチルアンモニウムヒドロキシド、エチルトリメチルアンモニウムヒドロキシド、ジエチルジメチルアンモニウムヒドロキシド(DEDMAH)、トリス(2−ヒドロキシエチル)メチルアンモニウムヒドロキシド(THEMAH)、1,1,3,3−テトラメチルグアニジン(TMG)、水酸化カリウム、炭酸グアニジン、アルギニン、及びそれらの組み合わせなどの強塩基を含み得る。水酸化コリンが使用される場合、市販の製品は、多くの場合、所望されない副産物への水酸化コリンの分解を最低限に抑えるために少量の安定剤を含むことが当業者により知られている。水酸化コリン安定剤は、当該技術分野において知られており、これらに限定されないが、ホルムアルデヒド、ヒドロキシルアミン、亜硫酸塩、及び水素化物を含む。企図される弱塩基としては、水酸化アンモニウム、モノエタノールアミン(MEA)、ジエタノールアミン(DEA)、トリエタノールアミン(TEA)、エチレンジアミン、システイン、及びそれらの組み合わせが挙げられるが、これらに限定されない。最も好ましくは、エッチング剤は、テトラアルキルアンモニウムヒドロキシド、水酸化コリン、水酸化カリウム、及び/又はTHEMAHを含み、より好ましくは、TMAH、水酸化コリン、水酸化カリウム、THEMAH、及びそれらの任意の組み合わせを含む。
[0026] 酸化剤は、TiN中のTi3+を酸化するために含まれる。本明細書で企図される酸化剤としては、過酸化水素(H)、FeCl、FeF、Fe(NO、Sr(NO、CoF、MnF、オキソン(2KHSO・KHSO・KSO)、過ヨウ素酸、ヨウ素酸、酸化バナジウム(V)、酸化バナジウム(IV,V)、バナジン酸アンモニウム、アンモニウム多原子塩(例えば、ペルオキソ一硫酸アンモニウム、亜塩素酸アンモニウム(NHClO)、塩素酸アンモニウム(NHClO)、ヨウ素酸アンモニウム(NHIO)、硝酸アンモニウム(NHNO)、過ホウ酸アンモニウム(NHBO)、過塩素酸アンモニウム(NHClO)、過ヨウ素酸アンモニウム(NHIO)、過硫酸アンモニウム((NH)、次亜塩素酸アンモニウム(NHClO))、次亜臭素酸アンモニウム、タングステン酸アンモニウム((NH10(W))、ナトリウム多原子塩(例えば、過硫酸ナトリウム(Na)、次亜塩素酸ナトリウム(NaClO)、過ホウ酸ナトリウム、次亜臭素酸ナトリウム(NaBrO))、カリウム多原子塩(例えば、ヨウ素酸カリウム(KIO)、過マンガン酸カリウム(KMnO)、過硫酸カリウム、硝酸(HNO)、過硫酸カリウム(K)、次亜塩素酸カリウム(KClO))、テトラメチルアンモニウム多原子塩(例えば、亜塩素酸テトラメチルアンモニウム((N(CH)ClO)、塩素酸テトラメチルアンモニウム((N(CH)ClO)、ヨウ素酸テトラメチルアンモニウム((N(CH)IO)、過ホウ酸テトラメチルアンモニウム((N(CH)BO)、過塩素酸テトラメチルアンモニウム((N(CH)ClO)、過ヨウ素酸テトラメチルアンモニウム((N(CH)IO)、過硫酸テトラメチルアンモニウム((N(CH)S))、テトラブチルアンモニウム多原子塩(例えば、ペルオキソ一硫酸テトラブチルアンモニウム)、ペルオキソ一硫酸、硝酸第二鉄(Fe(NO)、尿素過酸化水素((CO(NH)H)、過酢酸(CH(CO)OOH)、1,4−ベンゾキノン、トルキノン、ジメチル−1,4−ベンゾキノン、クロラニル、アロキサン、N−メチルモルホリンN−オキシド、トリメチルアミンN−オキシド、及びそれらの組み合わせが挙げられるが、これらに限定されない。酸化剤が塩である場合、これは、水和されても無水であってもよい。酸化剤は、デバイスウェーハへの組成物の導入の前に製造業者において組成物に導入されてもよいし、代替的に、デバイスウェーハにおいて、すなわち、インサイチューで組成物に導入されてもよい。好ましくは、第2の態様の組成物のための酸化剤は、過酸化水素を含む。好ましくは、第1の態様の組成物のための酸化剤は、過酸化水素、次亜塩素酸アンモニウム、次亜塩素酸ナトリウム、及びそれらの任意の組み合わせを含む。
[0027] 酸化剤がヨウ素酸塩又は過ヨウ素酸塩を含む場合、ヨウ素捕捉剤が、除去組成物に好ましくは添加される。理論に拘束されることを望むものではないが、ヨウ素酸塩又は過ヨウ素酸塩が還元されるにつれて、ヨウ素が蓄積し、これが銅エッチの速度を高めると考えられる。ヨウ素捕捉剤としては、ケトン、より好ましくは、カルボニルに対してα位に水素(1つ又は複数)を有するケトン、例えば、4−メチル−2−ペンタノン、2,4−ジメチル−3−ペンタノン、シクロヘキサノン、5−メチル−3−ヘプタノン、3−ペンタノン、5−ヒドロキシ−2−ペンタノン、2,5−ヘキサンジオン、4−ヒドロキシ−4−メチル−2−ペンタノン、アセトン、ブタノン、2−メチル−2−ブタノン、3,3−ジメチル−2−ブタノン、4−ヒドロキシ−2−ブタノン、シクロペンタノン、2−ペンタノン、3−ペンタノン、1−フェニルエタノン、アセトフェノン、ベンゾフェノン、2−ヘキサノン、3−ヘキサノン、2−ヘプタノン、3−ヘプタノン、4−ヘプタノン、2,6−ジメチル−4−ヘプタノン、2−オクタノン、3−オクタノン、4−オクタノン、ジシクロへキシルケトン、2,6−ジメチルシクロヘキサノン、2−アセチルシクロヘキサノン、2,4−ペンタンジオン、メントン、及びそれらの組み合わせが挙げられるが、これらに限定されない。好ましくは、ヨウ素捕捉剤は、4−メチル−2−ペンタノン、2,4−ジメチル−3−ペンタノン、又はシクロヘキサノンを含む。
[0028] キレート化剤は、TiNのエッチ速度及びフォトレジストエッチ残渣洗浄性能を高めるために添加され、一般に耐酸化性である。企図されるキレート化剤としては、β−ジケトネート化合物(例えば、アセチルアセトネート、1,1,1−トリフルオロ−2,4−ペンタンジオン、及び1,1,1,5,5,5−ヘキサフルオロ−2,4−ペンタンジオン);アミン及びアミノ酸(例えば、グリシン、セリン、プロリン、ロイシン、アラニン、アスパラギン、アスパラギン酸、グルタミン、バリン、及びリジン);イミノ二酢酸(IDA)、マロン酸、シュウ酸、コハク酸、ホウ酸、ニトリロ三酢酸、リンゴ酸、クエン酸、酢酸、マレイン酸、エチレンジアミン四酢酸(EDTA)、EDTA−2NH(エチレンジアミン四酢酸二アンモニウム塩)、(1,2−シクロヘキシレンジニトリロ)四酢酸(CDTA)、ジエチレントリアミン五酢酸(DTPA)、2−ホスホノブタン−1,2,4−トリカルボン酸(PBTCA)、エチレンジアミンジコハク酸、及びプロピレンジアミン四酢酸からなる群から選択される多塩基酸;ホスホン酸;ホスホン酸誘導体(例えば、ヒドロキシエチリデンジホスホン酸(HEDP)(Dequest 2010)、1−ヒドロキシエタン−1,1−ジホスホン酸、ニトリロ−トリス(メチレンホスホン酸)(NTMP)、アミノトリ(メチレンホスホン酸)(Dequest 2000)、ジエチレントリアミンペンタ(メチレンホスホン酸)(Dequest 2060S)、エチレンジアミンテトラ(メチレンホスホン酸)(EDTMPA));エチレンジアミン;2,4−ペンタンジオン;塩化ベンザルコニウム;1−イミダゾール;テトラグリム;ペンタメチルジエチレントリアミン(PMDETA);1,3,5−トリアジン−2,4,6−チチオール三ナトリウム塩溶液;1,3,5−トリアジン−2,4,6−チチオール三アンモニウム塩溶液;ジエチルジチオカルバミン酸ナトリウム;1個のアルキル基(R=ヘキシル、オクチル、デセイル(deceyl)又はドデシル)及び1個のオリゴエーテル(R(CHCHO)、式中、R=エチル又はブチル)を有する二置換ジチオカルバメート(R(CHCHO)NRCSNa);スルファニルアミド;モノエタノールアミン(MEA);2−ヒドロキシピリジン1−オキシド;五塩基性三リン酸ナトリウム(sodium triphosphate penta basic);並びにそれらの組み合わせが挙げられるが、これらに限定されない。代替的に、又は追加的に、キレート化剤は、アンモニウムカチオン又はテトラアルキルアンモニウムカチオン([NR、式中、R、R、R及びRは、互いに同じでも異なっていてもよく、水素及びC〜Cアルキル(例えば、メチル、エチル、プロピル、ブチル、ペンチル、ヘキシル)からなる群から選択される)、並びに酢酸塩、塩化物、臭化物、ヨウ化物、硫酸塩、安息香酸塩、プロピオン酸塩、クエン酸塩、ギ酸塩、シュウ酸塩、酒石酸塩、コハク酸塩、乳酸塩、マレイン酸塩、マロン酸塩、フマル酸塩、リンゴ酸塩、アスコルビン酸塩、マンデル酸塩、及びフタル酸塩からなる群から選択されるアニオンを含む塩を含む。例えば、塩は、臭化アンモニウム及び/又は塩化アンモニウムを含み得る。最も好ましくは、キレート化剤は、臭化アンモニウム、塩化アンモニウム、ホスホン酸、CDTA、ホスホン酸誘導体(例えば、HEDP、DTPA、NTMP、EDTMPA)、及びそれらの任意の組み合わせのうちの少なくとも1つを含む。
[0029] 金属腐食防止剤は、酸化剤(1つ又は複数)及びカルボン酸塩(1つ又は複数)(存在する場合)の酸化活性を妨げるために添加される。本明細書で企図される金属腐食防止剤としては、5−アミノ−1,3,4−チアジアゾール−2−チオール(ATDT)、2−アミノ−5−エチル−1,3,4−チアジアゾール、ベンゾトリアゾール(BTA)、1,2,4−トリアゾール(TAZ)、トリルトリアゾール、5−メチル−ベンゾトリアゾール(mBTA)、5−フェニル−ベンゾトリアゾール、5−ニトロ−ベンゾトリアゾール、ベンゾトリアゾールカルボン酸、3−アミノ−5−メルカプト−1,2,4−トリアゾール、1−アミノ−1,2,4−トリアゾール、ヒドロキシベンゾトリアゾール、2−(5−アミノ−ペンチル)−ベンゾトリアゾール、1−アミノ−1,2,3−トリアゾール、1−アミノ−5−メチル−1,2,3−トリアゾール、3−アミノ−1,2,4−トリアゾール(3−ATA)、3−メルカプト−1,2,4−トリアゾール、3−イソプロピル−1,2,4−トリアゾール、5−フェニルチオール−ベンゾトリアゾール、ハロ−ベンゾトリアゾール(ハロ=F、Cl、Br又はI)、ナフトトリアゾール、2−メルカプトベンゾイミダゾール(MBI)、2−メルカプトベンゾチアゾール、4−メチル−2−フェニルイミダゾール、2−メルカプトチアゾリン、5−アミノ−1,2,4−トリアゾール(5−ATA)、ドデシル硫酸ナトリウム(SDS)、ATA−SDS、3−アミノ−5−メルカプト−1,2,4−トリアゾール、3,5−ジアミノ−1,2,4−トリアゾール、ペンチレンテトラゾール、5−フェニル−1H−テトラゾール、5−ベンジル−1H−テトラゾール、5−メチルテトラゾール、5−メルカプト−1−メチル−テトラゾール、1−フェニル−1H−テトラゾール−5−チオール、Ablumine O(Taiwan Surfactant)、2−ベンジルピリジン、スクシンイミド、2,4−ジアミノ−6−メチル−1,3,5−トリアジン、チアゾール、トリアジン、メチルテトラゾール、1,3−ジメチル−2−イミダゾリジノン、1,5−ペンタメチレンテトラゾール、1−フェニル−5−メルカプトテトラゾール、ジアミノメチルトリアジン、イミダゾリンチオン、4−メチル−4H−1,2,4−トリアゾール−3−チオール、4−アミノ−4H−1,2,4−トリアゾール、3−アミノ−5−メチルチオ−1H−1,2,4−トリアゾール、ベンゾチアゾール、イミダゾール、ベンゾイミダゾール、2−アミノベンゾイミダゾール、1−メチルイミダゾール、インジアゾール、アデニン、スクシンイミド、アデノシン、カルバゾール、サッカリン、尿酸、及びベンゾインオキシムが挙げられるが、これらに限定されない。さらなる腐食防止剤には、カチオン第4級塩、例えば、塩化ベンザルコニウム、ベンジルジメチルドデシルアンモニウムクロリド、ミリスチルトリメチルアンモニウムブロミド、ドデシルトリメチルアンモニウムブロミド、ヘキサデシルピリジニウムクロリド、Aliquat 336(Cognis)、ベンジルジメチルフェニルアンモニウムクロリド、Crodaquat TES(Croda Inc.)、Rewoquat CPEM(Witco)、ヘキサデシルトリメチルアンモニウムp−トルエンスルホネート、ヘキサデシルトリメチルアンモニウムヒドロキシド、1−メチル−1’−テトラデシル−4,4’−ビピリジウムジクロリド、アルキルトリメチルアンモニウムブロミド、塩酸アンプロリウム、水酸化ベンゼトニウム、塩化ベンゼトニウム、ベンジルジメチルヘキサデシルアンモニウムクロリド、ベンジルジメチルテトラデシルアンモニウムクロリド、ベンジルドデシルジメチルアンモニウムブロミド、ベンジルドデシルジメチルアンモニウムクロリド、セチルピリジニウムクロリド、p−トルエンスルホン酸コリン塩、ジメチルジオクタデシルアンモニウムブロミド、ドデシルエチルジメチルアンモニウムブロミド、ドデシルトリメチルアンモニウムクロリド、デシルトリメチルアンモニウムクロリド(DTAC)、エチルヘキサデシルジメチルアンモニウムブロミド、ジラール試薬、ヘキサデシル(2−ヒドロキシエチル)ジメチルアンモニウム二水素ホスフェート、デキサデシルピリジニウムブロミド、ヘキサデシルトリメチルアンモニウムブロミド、ヘキサデシルトリメチルアンモニウムクロリド、メチルベンゼトニウムクロリド、Hyamine(登録商標)1622、Luviquat(商標)、N,N’,N’−ポリオキシエチレン(10)−N−牛脂−1,3−ジアミノプロパン液、臭化オキシフェノニウム、テトラヘプチルアンモニウムブロミド、テトラキス(デシル)アンモニウムブロミド、臭化トンゾニウム、トリドデシルアンモニウムクロリド、トリメチルオクタデシルアンモニウムブロミド、1−メチル−3−n−オクチルイミダゾリウムテトラフルオロボレート、1−デシル−3−メチルイミダゾリウムテトラフルオロボレート、1−デシル−3−メチルイミダゾリウムクロリド、トリドデシルメチルアンモニウムブロミド、ジメチルジステアリルアンモニウムクロリド、セチルトリメチルアンモニウムブロミド、ミリスチルトリメチルアンモニウムブロミド、及び塩化ヘキサメトニウムが含まれる。他の腐食防止剤には、非イオン界面活性剤、例えば、PolyFox PF−159(OMNOVA Solutions)、ポリ(エチレングリコール)(「PEG」)、ポリ(プロピレングリコール)(「PPG」)、エチレンオキシド/プロピレンオキシドブロックコポリマー(例えば、Pluronic F−127(BASF))、ポリオキシエチレン(20)ソルビタンモノオレエート(Tween 80)、ポリオキシエチレン(20)ソルビタンモノパルミテート(Tween 40)、ポリオキシエチレン(20)ソルビタンモノラウレート(Tween 20)、ポリオキシプロピレン/ポリオキシエチレンブロックコポリマー(例えば、Pluronic L31、Pluronic 31R1、Pluronic 25R2及びPluronic 25R4)、アニオン界面活性剤、例えば、ドデシルベンゼンスルホン酸、ドデシルベンゼンスルホン酸ナトリウム、ドデシルホスホン酸(DDPA)、ビス(2−エチルヘキシル)ホスフェート、ベンジルホスホン酸、ジフェニルホスフィン酸、1,2−エチレンジホスホン酸、フェニルホスホン酸、桂皮酸、及びそれらの組み合わせが含まれる。第4級塩は、腐食防止剤(特に、銅、コバルト、及びルテニウムに対して)及び湿潤剤の両方として機能し得る。第4級塩は塩化物又は臭化物として市販されることが最も多いが、ハロゲン化物アニオンを非ハロゲン化物アニオン(例えば、硫酸塩、メタンスルホン酸塩、硝酸塩、水酸化物など)とイオン交換することは容易であることが、当業者には明らかであろう。そのような変換された第4級塩もまた、本明細書で企図される。特に好ましい実施形態において、5−メチル−1H−ベンゾトリアゾール、3−アミノ−1,2,4−トリアゾール、TAZ、DTAC、及びTween 80は、銅に対する酸化剤の酸化活性を妨げることが知られている。他の好ましい腐食防止剤には、カチオン第4級塩が含まれ、より好ましくは、MBI、アデノシン、ベンゾチアゾール、DDPA、Tween 80、及びそれらの任意の組み合わせが含まれる。
[0030] 少なくとも1種の溶媒は、水、少なくとも1種の水混和性有機溶媒、又はそれらの組み合わせを含み得る。例えば、少なくとも1種の溶媒は、水、メタノール、エタノール、イソプロパノール、ブタノール、ペンタノール、ヘキサノール、2−エチル−1−ヘキサノール、ヘプタノール、オクタノール、エチレングリコール、プロピレングリコール、ブチレングリコール、ヘキシレングリコール、炭酸ブチレン、炭酸エチレン、炭酸プロピレン、重炭酸コリン、ジプロピレングリコール、ジメチルスルホキシド、スルホラン、テトラヒドロフルフリルアルコール(THFA)、1,2−ブタンジオール、1,4−ブタンジオール、テトラメチル尿素、ジエチレングリコールモノメチルエーテル、トリエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、トリエチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノブチルエーテル、ジエチレングリコールモノブチルエーテル、トリエチレングリコールモノブチルエーテル、エチレングリコールモノヘキシルエーテル、ジエチレングリコールモノヘキシルエーテル、エチレングリコールフェニルエーテル、プロピレングリコールメチルエーテル、ジプロピレングリコールメチルエーテル(DPGME)、トリプロピレングリコールメチルエーテル(TPGME)、ジプロピレングリコールジメチルエーテル、ジプロピレングリコールエチルエーテル、プロピレングリコールn−プロピルエーテル、ジプロピレングリコールn−プロピルエーテル(DPGPE)、トリプロピレングリコールn−プロピルエーテル、プロピレングリコールn−ブチルエーテル、ジプロピレングリコールn−ブチルエーテル、トリプロピレングリコールn−ブチルエーテル、プロピレングリコールフェニルエーテル、2,3−ジヒドロデカフルオロペンタン、エチルペルフルオロブチルエーテル、メチルペルフルオロブチルエーテル、アルキルカーボネート、4−メチル−2−ペンタノール、及びそれらの組み合わせからなる群から選択される少なくとも1種の化学種を含み得る。好ましくは、少なくとも1種の溶媒は水を含み、最も好ましくは、脱イオン水を含む。
[0031] 第1の態様の組成物は、低k誘電体層の化学的攻撃を低減するため、及びウェーハをさらなる酸化から保護するために、少なくとも1種の低kパッシベート剤をさらに含み得る。好ましい低kパッシベート剤としては、ホウ酸、ホウ酸塩、例えば、五ホウ酸アンモニウム、四ホウ酸ナトリウム、3−ヒドロキシ−2−ナフトエ酸、マロン酸、及びイミノ二酢酸が挙げられるが、これらに限定されない。存在する場合、組成物は、組成物の総重量に基づき約0.01重量%〜約2重量%の低kパッシベート剤を含む。好ましくは、下に横たわる低k材料の2重量%未満、より好ましくは、下に横たわる低k材料の総重量に基づき1重量%未満、最も好ましくは、0.5重量%未満が、本明細書に記載される組成物を用いてエッチング/除去される。
[0032] 第1の態様の組成物は、エッチング剤供給源の活性を低減するために、少なくとも1種のケイ素含有化合物をさらに含み得る。一実施形態において、この少なくとも1種のケイ素含有化合物は、アルコキシシランを含む。企図されるアルコキシシランは、一般式SiR(式中、R、R、R及びRは、互いに同じか又は異なっており、直鎖C〜Cアルキル基(例えば、メチル、エチル、プロピル、ブチル、ペンチル、ヘキシル)、分岐C〜Cアルキル基、C〜Cアルコキシ基(例えば、メトキシ、エトキシ、プロポキシ、ブトキシ、ペントキシ、ヘキソキシ)、フェニル基、及びそれらの組み合わせからなる群から選択される)を有する。アルコキシシランと見なされるためにはR、R、R又はRのうちの少なくとも1つはC〜Cアルコキシ基でなければならないことが、当業者により理解されるはずである。企図されるアルコキシシランとしては、メチルトリメトキシシラン、ジメチルジメトキシシラン、フェニルトリメトキシシラン、テトラエトキシシラン(TEOS)、N−プロピルトリメトキシシラン、N−プロピルトリエトキシシラン、ヘキシルトリメトキシシラン、ヘキシルトリエトキシシラン、及びそれらの組み合わせが挙げられる。アルコキシシランの代わりに又はそれに加えて使用され得る他のケイ素含有化合物には、ヘキサフルオロケイ酸アンモニウム、ケイ酸ナトリウム、ケイ酸カリウム、テトラメチルアンモニウムシリケート(TMAS)、及びそれらの組み合わせが含まれる。好ましくは、ケイ素含有化合物は、TEOS、TMAS、及びケイ酸ナトリウム、ケイ酸カリウムを含む。存在する場合、ケイ素含有化合物(1つ又は複数)の量は、組成物の総重量に基づき約0.001重量%〜約2重量%の範囲内である。
[0033] 特にpHが低い場合に濡れを確実にするために、界面活性剤、好ましくは、耐酸化性のフッ素化アニオン界面活性剤が、水性組成物に添加され得る。本発明の組成物において企図されるアニオン界面活性剤としては、フルオロ界面活性剤(例えば、ZONYL(登録商標)UR及びZONYL(登録商標)FS−62(DuPont Canada Inc.,Mississauga,Ontario,Canada))、及びフルオロアルキルスルホン酸アンモニウム(例えば、Novec(商標)4300(3M))が挙げられるが、これらに限定されない。使用されるエッチング剤がフッ化物を含む場合、界面活性剤及びエッチング剤として使用され得る長鎖テトラアルキルアンモニウムフルオリドを使用することが企図される。
[0034] 別の実施形態において、本発明の組成物の任意のものは、窒化チタン及び/又はフォトレジストエッチ材料残渣をさらに含み得、この残渣は、水性組成物中に懸濁及び/又は溶解される。
[0035] 第1の態様の組成物の一実施形態において、組成物は、組成物の総重量に基づき以下の範囲内で存在する、少なくとも1種の酸化剤、少なくとも1種のエッチング剤、少なくとも1種の金属腐食防止剤、及び少なくとも1種の溶媒を含むか、それらからなるか、又はそれらから実質的になる。
好ましくは、酸化剤は、過酸化水素を含み、エッチング剤は、TMAH、KOH、水酸化コリン、THEMAH、又はKOH/水酸化コリンの組み合わせを含む。
[0036] 第1の態様の組成物のなお別の実施形態において、組成物は、組成物の総重量に基づき以下の範囲内で存在する、少なくとも1種の酸化剤、少なくとも1種のエッチング剤、少なくとも1種のキレート化剤、及び少なくとも1種の溶媒を含むか、それらからなるか、又はそれらから実質的になる。
好ましくは、酸化剤は、過酸化水素を含み、エッチング剤は、TMAH、KOH、水酸化コリン、THEMAH、又はKOH/水酸化コリンの組み合わせを含む。
[0037] 第1の態様の組成物のさらに別の実施形態において、組成物は、組成物の総重量に基づき以下の範囲内で存在する、少なくとも1種の酸化剤、少なくとも1種のエッチング剤、少なくとも1種のキレート化剤、少なくとも1種の金属腐食防止剤、及び少なくとも1種の溶媒を含むか、それらからなるか、又はそれらから実質的になる。
好ましくは、酸化剤は、過酸化水素を含み、エッチング剤は、TMAH、KOH、水酸化コリン、THEMAH、又はKOH/水酸化コリンの組み合わせを含む。
[0038] 好ましくは、第1の態様の組成物は、水、TMAH、CDTA、及び少なくとも1種の腐食防止剤を含むか、それらからなるか、又はそれらから実質的になる。別の好ましい実施形態において、第1の態様の組成物は、水、TMAH、CDTA、少なくとも1種の腐食防止剤、及び過酸化水素を含むか、それらからなるか、又はそれらから実質的になる。なお別の好ましい実施形態において、第1の態様の組成物は、水、TMAH、CDTA、及びDDPAを含むか、それらからなるか、又はそれらから実質的になる。別の好ましい実施形態において、第1の態様の組成物は、水、TMAH、CDTA、DDPA、及び過酸化水素を含むか、それらからなるか、又はそれらから実質的になる。さらに別の好ましい実施形態において、第1の態様の組成物は、水、TMAH、CDTA、及び3−アミノ−1,2,4−トリアゾールを含むか、それらからなるか、又はそれらから実質的になる。別の好ましい実施形態において、第1の態様の組成物は、水、TMAH、CDTA、3−アミノ−1,2,4−トリアゾール、及び過酸化水素を含むか、それらからなるか、又はそれらから実質的になる。なお別の好ましい実施形態において、第1の態様の組成物は、水、TMAH、CDTA、及び1,2,4−トリアゾールを含むか、それらからなるか、又はそれらから実質的になる。別の好ましい実施形態において、第1の態様の組成物は、水、TMAH、CDTA、1,2,4−トリアゾール、及び過酸化水素を含むか、それらからなるか、又はそれらから実質的になる。別の好ましい実施形態において、第1の態様の組成物は、水、TMAH、CDTA、DDPA、及び3−アミノ−1,2,4−トリアゾールを含むか、それらからなるか、又はそれらから実質的になる。別の好ましい実施形態において、第1の態様の組成物は、水、TMAH、CDTA、DDPA、3−アミノ−1,2,4−トリアゾール、及び過酸化水素を含むか、それらからなるか、又はそれらから実質的になる。なお別の好ましい実施形態において、第1の態様の組成物は、水、TMAH、及びHEDPを含むか、それらからなるか、又はそれらから実質的になる。別の好ましい実施形態において、第1の態様の組成物は、水、TMAH、HEDP、及び過酸化水素を含むか、それらからなるか、又はそれらから実質的になる。なお別の好ましい実施形態において、第1の態様の組成物は、水、CDTA、過酸化水素、少なくとも1種のエッチング剤、及び少なくとも1種の腐食防止剤を含むか、それらからなるか、又はそれらから実質的になり、その少なくとも1種のエッチング剤は、KOH、THEMAH、水酸化コリン、及びKOHと水酸化コリンとの混合物からなる群から選択される化学種を含み、その少なくとも1種の腐食防止剤は、mBTA、3−ATA、及びTAZからなる群から選択される化学種を含む。
[0039] 使用前に希釈されるための組成物の濃縮形態を作製することは常法であることが理解されるであろう。例えば、組成物は、より濃縮された形態で製造され、その後、製造業者において、使用前に、及び/又はマイクロチップ製造工場における使用の間に、少なくとも1種の溶媒で希釈され得る。希釈比は、約0.1部の希釈剤:1部の組成物濃縮物〜約100部の希釈剤:1部の組成物濃縮物の範囲内であり得る。さらに、本明細書に記載される組成物は、経時的に不安定になり得る酸化剤を含むことが理解されるべきである。したがって、濃縮形態は、酸化剤(1つ又は複数)を実質的に欠き得、酸化剤は、使用前に製造業者により及び/又はマイクロチップ製造工場における使用の間に、濃縮物又は希釈された組成物に導入され得る。
[0040] 本明細書に記載される組成物は、それぞれの成分の単純な添加及び均一な状態への混合により容易に調合される。さらに、当該組成物は、単一包装調合物として、又は使用時若しくは使用時の前に混合される複数部分調合物として、好ましくは複数部分調合物として、容易に調合され得る。複数部分調合物の個々の部分は、器具において又はインラインミキサーなどの混合領域/区域内で又は器具の上流の貯蔵槽内で混合され得る。複数部分調合物のそれぞれ異なる部分は、混合された時に一緒に所望の組成物を形成する成分/構成成分の任意の組み合わせを含有し得ることが企図される。それぞれの成分の濃度は、組成物の特定の倍数に対して幅広く変更され得(すなわち、より希薄又はより濃厚であり得る)、組成物は、様々に且つ代替的に、本明細書における開示と一致する成分の任意の組み合わせを含むか、それからなるか、又はそれから実質的になり得ることが理解されるであろう。
[0041] したがって、第2の態様は、本明細書に記載される組成物を形成するように適合させた1種以上の成分を1つ以上の容器内に含むキットに関する。例えば、当該組成物は、使用前又は使用中に酸化剤及び/又はさらなる溶媒(1つ又は複数)と組み合わせるために、少なくとも1種の酸化剤を除く成分の全てが1つの容器内に含まれるように分けられ得る。キットの容器は、上述の除去組成物成分を貯蔵及び輸送するのに適したもの(例えば、NOWPak(登録商標)容器(Advanced Technology Materials,Inc.,Danbury,Conn.,USA))でなければならない。組成物の成分を含有するその1つ以上の容器は、好ましくは、配合及び調合(dispense)のために上述の1つ以上の容器内の成分を流体連絡した状態に至らせるための手段を含む。例えば、NOWPak(登録商標)容器を参照すると、ライナーの内容物の少なくとも1部を排出させて、したがって配合及び調合のための流体連絡を可能にするために、上述の1つ以上の容器内のライナーの外側に、ガス圧力が印加され得る。代替的に、従来の加圧可能容器のヘッドスペースにガス圧力が印加され得る、又は流体連絡を可能にするためにポンプが使用され得る。さらに、この系は、好ましくは、配合された組成物を処理器具に分配するための分配口を含む。
[0042] 実質的に化学的に不活性な、不純物を含まない、可撓性及び弾性のある、ポリマーフィルム材料(例えば、高密度ポリエチレン)が、上述の1つ以上の容器のためのライナーを製造するために好ましくは使用される。望ましいライナー材料は、共押出又はバリア層を必要とすることなく、且つライナー内に配置されることになる成分に対する純度要件に悪影響を及ぼし得る顔料、紫外線抑制剤、又は加工剤を何ら用いることなく加工される。望ましいライナー材料の一覧には、純粋な(添加剤を含まない)ポリエチレン、純粋なポリテトラフルオロエチレン(PTFE)、ポリプロピレン、ポリウレタン、ポリ塩化ビニリデン、ポリ塩化ビニル、ポリアセタール、ポリスチレン、ポリアクリロニトリル、ポリブチレンなどを含むフィルムが含まれる。そのようなライナー材料の好ましい厚さは、約5ミル(0.005インチ)〜約30ミル(0.030インチ)の範囲内、例えば、20ミル(0.020インチ)の厚さなどである。
[0043] キットのための容器に関して、以下の特許及び特許出願の開示は、そのそれぞれの全内容が、これにより参照により本明細書に援用される:「APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS」と題された米国特許第7,188,644号明細書;「RETURNABLE AND REUSABLE,BAG−IN−DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM」と題された米国特許第6,698,619号明細書;及び2008年5月9日に出願された「SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION」と題された国際出願PCT/US08/63276号明細書。
[0044] 第3の態様において、本発明は、本明細書に記載されるとおりの第1の態様の組成物を用いて、窒化チタン材料を、それを上に有するマイクロ電子デバイスの表面からエッチングする方法に関する。例えば、窒化チタン材料は、マイクロ電子デバイス上に存在する金属導体及び絶縁体材料を実質的に損なう/除去することなしに除去され得る。したがって、好ましい実施形態において、本明細書に記載されるとおりの第1の態様の組成物を用いて、窒化チタン及び/又はフォトレジストエッチ残渣材料を、それらを上に有するマイクロ電子デバイスの表面から、金属導体及び絶縁体材料に比べて選択的に且つ実質的に除去する方法が記載される。別の好ましい実施形態において、本明細書に記載される第1の態様の組成物を用いて、窒化チタン及び/又はフォトレジストエッチ残渣材料を、それらを上に有するマイクロ電子デバイスの表面から、金属導体(例えば、銅)、コバルト、ルテニウム及び絶縁体材料に比べて選択的に且つ実質的に除去する方法が記載される。
[0045] エッチング用途において、当該組成物は、窒化チタン及び/又はフォトレジストエッチ残渣材料を上に有するマイクロ電子デバイスの表面に、任意の好適な方法で、例えば、デバイスの表面上に組成物を噴霧することにより、窒化チタン及び/若しくはフォトレジストエッチ残渣材料を含むデバイスを(組成物の静的又は動的体積に)浸漬することにより、デバイスを組成物を上に吸収させた別の材料(例えば、パッド、又は繊維状収着剤アプリケーター要素)と接触させることにより、窒化チタン及び/若しくはフォトレジストエッチ残渣材料を含むデバイスを循環している組成物と接触させることにより、又は組成物を窒化チタン及び/若しくはフォトレジストエッチ残渣材料と除去接触した状態に至らせる任意の他の好適な手段、方法若しくは技術により適用される。この適用は、動的又は静的洗浄のために、バッチ又はシングルウェーハ装置で行われ得る。有利には、本明細書に記載される組成物は、マイクロ電子デバイス構造体上に存在し且つ組成物に曝露され得る他の材料(例えば、金属及び絶縁材料(すなわち、低k誘電体))に比べた窒化チタン及び/又はフォトレジストエッチ残渣材料に対するそれらの選択性により、極めて効率的且つ極めて選択的な様式で、窒化チタン及び/又はフォトレジストエッチ残渣材料の少なくとも部分的な除去を達成する。
[0046] 窒化チタン及び/又はフォトレジストエッチ残渣材料を、それらを上に有するマイクロ電子デバイス構造体から除去するための第1の態様の組成物の使用において、組成物は、典型的に、シングルウェーハ器具中で、約0.3分〜約60分、好ましくは約0.5分〜約30分の十分な時間にわたり、約20℃〜約100℃、好ましくは約30℃〜約70℃の範囲内の温度で、デバイス構造体と接触させられる。このような接触時間及び温度は説明のためのものであり、窒化チタン及び/又はフォトレジストエッチ残渣材料をデバイス構造体から少なくとも部分的に除去するのに有効な、任意の他の好適な時間及び温度条件が採用され得る。例えば、窒化チタンエッチングのために好ましくは、接触時間は、約40℃〜約60℃の範囲内の温度において、約0.5〜3分である。
[0047] 一実施形態において、組成物は、デバイス構造体への送達の間にインラインで加熱される。槽自体においてではなくインラインで加熱することにより、組成物寿命が増大する。
[0048] 所望のエッチング作用の達成に続いて、組成物は、それが先に適用されたマイクロ電子デバイスから、例えば、本明細書に記載される組成物の所与の末端使用用途において所望され且つ有効であり得るような、すすぎ、洗浄、又は他の除去工程(1つ又は複数)により、容易に除去され得る。例えば、デバイスは、脱イオン水を含むすすぎ溶液ですすがれ且つ/又は乾燥(例えば、スピン乾燥、N、蒸気乾燥など)され得る。
[0049] 第1の態様の組成物は、好ましくは、金属導体及び絶縁(すなわち、低k誘電体)材料に比べて選択的に窒化チタン材料をエッチングする。一実施形態において、窒化チタンのエッチ速度は速く(500Å分−1以上、好ましくは、50℃で約350Å分−1以上、及び60℃で約500Å分−1以上、金属のエッチ速度は遅く(約0.01〜約10Å分−1、好ましくは、約0.1〜約5Å分−1)、低k誘電体のエッチ速度は遅い(約0.01〜約10Å分−1、好ましくは、約0.01〜約5Å分−1)。
[0050] 本発明の第4の態様は、本明細書に記載される方法に従って作製された改善されたマイクロ電子デバイス及びそのようなマイクロ電子デバイスを含有する製品に関する。
[0051] 第5の態様は、マイクロ電子デバイスを含む物品を製造する方法に関し、上述の方法は、窒化チタン及び/又はフォトレジストエッチ残渣材料を、それらを上に有するマイクロ電子デバイスの表面からエッチングにより除去するのに十分な時間にわたり、マイクロ電子デバイスを組成物と接触させる工程と、上述のマイクロ電子デバイスを上述の物品に組み込む工程とを含み、この組成物は、少なくとも1種の酸化剤、少なくとも1種のエッチング剤、少なくとも1種の金属腐食防止剤、及び少なくとも1種の溶媒を含むか、それらからなるか、又はそれらから実質的になる。なお別の変形例において、この組成物は、少なくとも1種の酸化剤、少なくとも1種のエッチング剤、少なくとも1種のキレート化剤、及び少なくとも1種の溶媒を含むか、それらからなるか、又はそれらから実質的になる。この組成物は、窒化チタン材料をさらに含むか、それからなるか、又はそれから実質的になり得る。
[0052] 本発明の第6の態様は、マイクロ電子デバイス基板、上述の基板上の窒化チタン層、及び本明細書に記載される組成物を含むか、それらからなるか、又はそれらから実質的になる製造品に関する。
[0053] 本発明の特徴及び利点は、以下に述べられる例示的な実施例によってより十分に示される。
実施例1
[0054] 約1〜約10重量%のTMAH及び約0.01〜約1重量%のキレート化剤を含む濃縮物を、約50〜約95重量%のH(30%)で希釈した。キレート化剤には、CDTA、EDTA−2NH(エチレンジアミン四酢酸二アンモニウム塩)、EDTMPA、DTPA、HEDP及びNTMP(50%)が含まれた。PETEOS及びTiNのエッチ速度並びに総コバルト損失量を、50℃で測定した。キレート化剤及びその量に依存して、PETEOSエッチ速度は30分間で約0.3Å未満であり、TiNエッチ速度は500Å/分を超え、5分の時点でのCo損失は約1Å〜約45Åの範囲内であった。キレート化剤がHEDP又はDTPAであった場合に、5分の時点でのCo損失は、約10Å未満であった。
[0055] 約1〜約10重量%のTMAH及びHEDP又はDTPAのいずれかを含む濃縮物を、約50〜約95重量%のH(30%)で希釈した。HEDP又はDTPAの量は、濃縮物中0.05重量%、0.1重量%、0.15重量%、0.2重量%、及び0.25重量%であった。いずれの場合においても、TiNエッチ速度はほぼ同じ(800〜860Å/分)であり、5分の時点でのCo損失は約10Å未満であった。
実施例2
[0056] 約1〜約10重量%のTMAH、約0.01〜約0.05重量%のCDTA、及び約0.1〜約2.5重量%の腐食防止剤を含む濃縮物を、約50〜約95重量%のH(30%)で希釈した。腐食防止剤には、5−mBTA、3−ATA/SDS、3−ATA、スクシンイミド、尿酸、MBI、アデノシン、ベンゾチアゾール、5−ATA、Tween 80、Tween 40、Tween 20及びDDPA/Tween−80が含まれた。PETEOS及びTiNのエッチ速度並びに総コバルト損失量を、50℃で測定した。腐食防止剤及びその量に依存して、PETEOSエッチ速度は30分間で約0.3Å未満であり、TiNエッチ速度は500Å/分を超え、5分の時点でのCo損失は約2Å〜約32Åの範囲内であり、20分の時点でのCu損失は20Å未満であった。
[0057] 約1〜約10重量%のTMAH、約0.01〜約0.05重量%のDDPA、及び約0.1〜約5重量%の第2の腐食防止剤を含む濃縮物を、約50〜約95重量%のH(30%)で希釈した。第2の腐食防止剤には、Tween−80、Tween 40、Tween 20、Pluronic L31、Pluronic 31R1、Pluronic 25R2及びPluronic 25R4が含まれた。いずれのエッチにおいても、TiNエッチ速度はほぼ同じ(800〜860Å/分)であり、5分の時点でのCo損失は約12Å未満であり、20分の時点でのCu損失は約12Å未満であった。
実施例3
[0058] 5〜10重量%のTMAH、0.001〜0.2重量%のCDTA、0.01〜1重量%のmBTA、残部水を含む濃縮物を調製した。半水性調合物を、10重量%の濃縮物を10重量%の有機溶媒及び80重量%のH(30%)と組み合わせることにより調製した。有機溶媒には、ジエチレングリコールモノエチルエーテル、ジエチレングリコールモノブチルエーテル、ジメチルスルホキシド、スルホラン、トリエチレングリコールジメチルエーテル、テトラヒドロフルフリルアルコール、DPGME、プロピレングリコール、エチレングリコール、1,2−ブタンジオール、1,4−ブタンジオール、ヘキシレングリコール、テトラメチル尿素、重炭酸コリン、及び炭酸プロピレンが含まれた。PETEOS、TiN、Cu及びSiONのエッチ速度を、50℃で測定した。この測定において、TiNについての処理時間は30秒であり、PETEOS、Cu及びSiONについての処理時間は30分であった。重炭酸コリン又は炭酸プロピレンを使用した場合を除く、調合物の全てについて、PETEOS及びSiONエッチ速度は0.5Å未満であり、Cuエッチ速度は0.5Å未満であった。ジエチレングリコールモノエチルエーテルを使用した場合を除いて、全てが、210Å/分を超えるTiNエッチ速度を有していた。
[0059] 半水性調合物を、10重量%の濃縮物を40重量%の有機溶媒及び50重量%のH(30%)と組み合わせることにより調製した。有機溶媒には、ジエチレングリコールモノエチルエーテル、ジエチレングリコールモノブチルエーテル、ジメチルスルホキシド、スルホラン、トリエチレングリコールジメチルエーテル、テトラヒドロフルフリルアルコール、DPGME、プロピレングリコール、エチレングリコール、1,2−ブタンジオール、1,4−ブタンジオール、ヘキシレングリコール、テトラメチル尿素、重炭酸コリン、及び炭酸プロピレンが含まれた。PETEOS、TiN、Cu及びSiONのエッチ速度を、50℃で測定した。この測定において、TiNについての処理時間は30秒であり、PETEOS、Cu及びSiONについての処理時間は30分であった。重炭酸コリン又は炭酸プロピレンを使用した場合を除く、調合物の全てについて、PETEOS及びSiONエッチ速度は0.5Å未満であり、Cuエッチ速度は0.5Å未満であった。1,2−ブタンジオール及びヘキシレングリコールを除いて、一般的に、TiNエッチ速度は、より多量の有機溶媒を使用した場合はそれほど速くなかった。
実施例4
[0060] 約1〜約10重量%のKOH、約1重量%〜約10重量%の安定化された水酸化コリン(、約0.01〜約1重量%のCDTA、及び約0.1重量%〜約10重量%の腐食防止剤を含む濃縮物を調製した。腐食防止剤には、mBTA、TAZ又は3−ATAが含まれた。濃縮物を、1部の濃縮物を9部の過酸化水素(30%)と組み合わせることにより希釈した。Black Diamond低k誘電体、Cu、Co、及びSiNのエッチ速度を、60℃で測定した。TiNのエッチ速度は、50℃にて30秒の時点で測定した。いずれの場合においても、低k誘電体エッチ速度は約0.5Å/分未満であり、SiNエッチ速度は約1Å/分であり、TiNエッチ速度は250Å/分を超えた。Coエッチ速度は、腐食防止剤がTAZ又は3−ATAであった場合に約0.5Å/分未満であった。腐食防止剤が3−ATAであった場合に、Cuエッチ速度は0.5Å/分未満であった。
実施例5
[0061] 約1重量%〜約10重量%の少なくとも1種のエッチング剤、約0.01〜約1重量%のCDTA、及び約0.01重量%〜約1重量%のmBTAを含む濃縮物を調製した。少なくとも1種のエッチング剤には、TPAH、BTEAH、DEDMAH、又はTHEMAHが含まれた。濃縮物を、1部の濃縮物を9部の過酸化水素(30%)と組み合わせることにより希釈した。Black Diamond低k誘電体、Cu、Co、及びSiNのエッチ速度を、60℃で測定した。TiNのエッチ速度は、50℃にて30秒の時点で測定した。いずれの場合においても、低k誘電体エッチ速度は約1Å/分未満(BTEAH、THEMAH及びDEDMAHを含む溶液は0.5Å/分未満)であり、SiNエッチ速度は約0.8Å/分未満であり、TiNエッチ速度は200Å/分を超え、Cuエッチ速度は約1Å/分未満(THEMAHを含む溶液を除く)であり、Coエッチ速度は約0.5Å/分未満(THEMAHを含む溶液を除く)であった。
実施例6
[0062] 約1重量%〜約10重量%のKOH、約0.01〜約1重量%のCDTA、及び約0.01重量%〜約1重量%のTAZを含む濃縮物を調製した。濃縮物を、1部の濃縮物を9部の過酸化水素(30%)と組み合わせることにより希釈した。Black Diamond低k誘電体、Cu、及びCoのエッチ速度を、60℃で測定した。TiNのエッチ速度は、50℃にて30秒の時点で測定した。低k誘電体エッチ速度は約0.5Å/分未満であり、TiNエッチ速度は約250Å/分を超え、Cuエッチ速度は約1Å/分未満であり、Coエッチ速度は約1Å/分未満であった。
[0063] 本発明を、本発明の特定の態様、特徴及び例示的実施形態に関して本明細書で説明してきたが、本発明の有用性は、そのように限定されるものではなく、本明細書における開示に基づき本発明の分野の当業者に示唆されるであろう数多くの他の変形、変更及び代替的実施形態にまで及び、それらを包含することが理解されるであろう。これに対応して、以下に特許請求の範囲に記載されるとおりの本発明は、本発明の趣旨及び範囲の中に全てのそのような変形、変更及び代替的実施形態を含むように広く解釈及び理解されることが意図される。

Claims (12)

  1. 少なくとも1種の酸化剤、少なくとも1種のエッチング剤、少なくとも1種の金属腐食防止剤、少なくとも1種のキレート化剤、及び少なくとも1種の溶媒を含む、組成物であって、
    金属腐食防止剤が、5−メチル−ベンゾトリアゾール(5−mBTA)、3−アミノ−1,2,4−トリアゾール(3−ATA)、ドデシル硫酸ナトリウム(SDS)、スクシンイミド、尿酸、2−メルカプトベンゾイミダゾール(MBI)、アデノシン、ベンゾチアゾール、5−アミノ−1,2,4−トリアゾール(5−ATA)、ポリオキシエチレン(20)ソルビタンモノオレエート、ポリオキシエチレン(20)ソルビタンモノパルミテート、ポリオキシエチレン(20)ソルビタンモノラウレート、ドデシルホスホン酸(DDPA)、ポリオキシプロピレン/ポリオキシエチレンブロックコポリマー、及びそれらの組み合わせからなる群から選択される一又は複数の化学種を含み、窒化チタンを、それらを上に有するマイクロ電子デバイスの表面から除去し、コバルトに対して高い選択性である、組成物。
  2. 前記エッチング剤が、HZrF、HTiF、HPF、HF、フッ化アンモニウム、テトラフルオロホウ酸、ヘキサフルオロケイ酸、テトラブチルアンモニウムテトラフルオロボレート(TBA−BF)、ヘキサフルオロケイ酸アンモニウム、ヘキサフルオロチタン酸アンモニウム、テトラメチルアンモニウムヒドロキシド(TMAH)、テトラエチルアンモニウムヒドロキシド(TEAH)、テトラプロピルアンモニウムヒドロキシド(TPAH)、テトラブチルアンモニウムヒドロキシド(TBAH)、ベンジルトリメチルアンモニウムヒドロキシド(BTMAH)、水酸化カリウム、水酸化アンモニウム、ベンジルトリエチルアンモニウムヒドロキシド(BTEAH)、テトラブチルホスホニウムヒドロキシド(TBPH)、(2−ヒドロキシエチル)トリメチルアンモニウムヒドロキシド、(2−ヒドロキシエチル)トリエチルアンモニウムヒドロキシド、(2−ヒドロキシエチル)トリプロピルアンモニウムヒドロキシド、(1−ヒドロキシプロピル)トリメチルアンモニウムヒドロキシド、エチルトリメチルアンモニウムヒドロキシド、ジエチルジメチルアンモニウムヒドロキシド(DEDMAH)、トリス(2−ヒドロキシエチル)メチルアンモニウムヒドロキシド(THEMAH)、1,1,3,3−テトラメチルグアニジン(TMG)、炭酸グアニジン、アルギニン、水酸化アンモニウム、モノエタノールアミン(MEA)、ジエタノールアミン(DEA)、トリエタノールアミン(TEA)、エチレンジアミン、システイン、フッ化テトラアルキルアンモニウム(NRF)(式中、R、R、R、Rは、互いに同じでも異なっていてもよく、直鎖又は分岐のC〜Cアルキル基からなる群から選択される)、及びそれらの組み合わせからなる群から選択される化学種を含む、請求項1に記載の組成物。
  3. 前記エッチング剤が、TMAH、水酸化コリン、水酸化カリウム、THEMAH、及びそれらの任意の組み合わせを含む、請求項1又は2の組成物。
  4. 前記酸化剤が、過酸化水素、FeCl、FeF、Fe(NO、Sr(NO、CoF、MnF、オキソン(2KHSO・KHSO・KSO)、過ヨウ素酸、ヨウ素酸、酸化バナジウム(V)、酸化バナジウム(IV,V)、バナジン酸アンモニウム、ペルオキソ一硫酸アンモニウム、亜塩素酸アンモニウム(NHClO)、塩素酸アンモニウム(NHClO)、ヨウ素酸アンモニウム(NHIO)、硝酸アンモニウム(NHNO)、過ホウ酸アンモニウム(NHBO)、過塩素酸アンモニウム(NHClO)、過ヨウ素酸アンモニウム(NHIO)、過硫酸アンモニウム((NH)、次亜塩素酸アンモニウム(NHClO)、タングステン酸アンモニウム((NH10(W))、過硫酸ナトリウム(Na)、次亜塩素酸ナトリウム(NaClO)、過ホウ酸ナトリウム、ヨウ素酸カリウム(KIO)、過マンガン酸カリウム(KMnO)、過硫酸カリウム、硝酸(HNO)、過硫酸カリウム(K)、次亜塩素酸カリウム(KClO)、亜塩素酸テトラメチルアンモニウム((N(CH)ClO)、塩素酸テトラメチルアンモニウム((N(CH)ClO)、ヨウ素酸テトラメチルアンモニウム((N(CH)IO)、過ホウ酸テトラメチルアンモニウム((N(CH)BO)、過塩素酸テトラメチルアンモニウム((N(CH)ClO)、過ヨウ素酸テトラメチルアンモニウム((N(CH)IO)、過硫酸テトラメチルアンモニウム((N(CH)S)、ペルオキソ一硫酸テトラブチルアンモニウム、ペルオキソ一硫酸、硝酸第二鉄(Fe(NO)、尿素過酸化水素((CO(NH)H)、過酢酸(CH(CO)OOH)、1,4−ベンゾキノン、トルキノン、ジメチル−1,4−ベンゾキノン、クロラニル、アロキサン、N−メチルモルホリンN−オキシド、トリメチルアミンN−オキシド、及びそれらの組み合わせからなる群から選択される化学種を含む、請求項1〜3のいずれか一項に記載の組成物。
  5. 前記酸化剤が、過酸化水素を含む、請求項1に記載の組成物。
  6. 前記少なくとも1種の溶媒が、水、メタノール、エタノール、イソプロパノール、ブタノール、ペンタノール、ヘキサノール、2−エチル−1−ヘキサノール、ヘプタノール、オクタノール、エチレングリコール、プロピレングリコール、ブチレングリコール、ヘキシレングリコール、炭酸ブチレン、炭酸エチレン、炭酸プロピレン、重炭酸コリン、ジプロピレングリコール、ジメチルスルホキシド、スルホラン、テトラヒドロフルフリルアルコール(THFA)、1,2−ブタンジオール、1,4−ブタンジオール、テトラメチル尿素、ジエチレングリコールモノメチルエーテル、トリエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、トリエチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノブチルエーテル、ジエチレングリコールモノブチルエーテル、トリエチレングリコールモノブチルエーテル、エチレングリコールモノヘキシルエーテル、ジエチレングリコールモノヘキシルエーテル、エチレングリコールフェニルエーテル、プロピレングリコールメチルエーテル、ジプロピレングリコールメチルエーテル(DPGME)、トリプロピレングリコールメチルエーテル(TPGME)、ジプロピレングリコールジメチルエーテル、ジプロピレングリコールエチルエーテル、プロピレングリコールn−プロピルエーテル、ジプロピレングリコールn−プロピルエーテル(DPGPE)、トリプロピレングリコールn−プロピルエーテル、プロピレングリコールn−ブチルエーテル、ジプロピレングリコールn−ブチルエーテル、トリプロピレングリコールn−ブチルエーテル、プロピレングリコールフェニルエーテル、2,3−ジヒドロデカフルオロペンタン、エチルペルフルオロブチルエーテル、メチルペルフルオロブチルエーテル、アルキルカーボネート、4−メチル−2−ペンタノール、及びそれらの組み合わせからなる群から選択される化学種を含む、請求項1〜5のいずれか一項に記載の組成物。
  7. 前記少なくとも1種の溶媒が、水を含む、請求項1〜5のいずれか一項に記載の組成物。
  8. 前記少なくとも1種のキレート化剤が、アセチルアセトネート、1,1,1−トリフルオロ−2,4−ペンタンジオン、1,1,1,5,5,5−ヘキサフルオロ−2,4−ペンタンジオン、グリシン、セリン、プロリン、ロイシン、アラニン、アスパラギン、アスパラギン酸、グルタミン、バリン及びリジン、イミノ二酢酸(IDA)、マロン酸、シュウ酸、コハク酸、ホウ酸、ニトリロ三酢酸、リンゴ酸、クエン酸、酢酸、マレイン酸、エチレンジアミン四酢酸(EDTA)、エチレンジアミン四酢酸二アンモニウム塩、(1,2−シクロヘキシレンジニトリロ)四酢酸(CDTA)、ジエチレントリアミン五酢酸(DTPA)、2−ホスホノブタン−1,2,4−トリカルボン酸(PBTCA)、エチレンジアミンジコハク酸、プロピレンジアミン四酢酸、ホスホン酸、ヒドロキシエチリデンジホスホン酸(HEDP)、1−ヒドロキシエタン−1,1−ジホスホン酸、ニトリロ−トリス(メチレンホスホン酸)(NTMP)、アミノトリ(メチレンホスホン酸)、ジエチレントリアミンペンタ(メチレンホスホン酸)、エチレンジアミンテトラ(メチレンホスホン酸)(EDTMPA)、エチレンジアミン、2,4−ペンタンジオン、塩化ベンザルコニウム、1−イミダゾール、テトラグリム、ペンタメチルジエチレントリアミン(PMDETA)、1,3,5−トリアジン−2,4,6−チチオール三ナトリウム塩溶液、1,3,5−トリアジン−2,4,6−チチオール三アンモニウム塩溶液、ジエチルジチオカルバミン酸ナトリウム、二置換ジチオカルバメート、スルファニルアミド、モノエタノールアミン(MEA)、2−ヒドロキシピリジン1−オキシド、五塩基性三リン酸ナトリウム、及びそれらの組み合わせからなる群から選択される化学種を含む、請求項1〜のいずれか一項に記載の組成物。
  9. 前記少なくとも1種のキレート化剤が、CDTAを含む、請求項1〜のいずれか一項に記載の組成物。
  10. 前記少なくとも1種のキレート化剤が、酢酸塩、塩化物、臭化物、ヨウ化物、硫酸塩、安息香酸塩、プロピオン酸塩、クエン酸塩、ギ酸塩、シュウ酸塩、酒石酸塩、コハク酸塩、乳酸塩、マレイン酸塩、マロン酸塩、フマル酸塩、リンゴ酸塩、アスコルビン酸塩、マンデル酸塩、及びフタル酸塩のアンモニウムカチオン又はテトラアルキルアンモニウムカチオン、並びにそれらの組み合わせからなる群から選択される化学種を含む、請求項1〜のいずれか一項に記載の組成物。
  11. 前記少なくとも1種のキレート化剤が、臭化アンモニウム及び/又は塩化アンモニウムを含む、請求項1〜10のいずれか一項に記載の組成物。
  12. 窒化チタン材料を、それを上に有するマイクロ電子デバイスの表面からエッチングする方法であって、前記方法は、前記表面を、請求項1〜11のいずれか一項に記載の組成物と接触させる工程を含み、前記組成物は、前記窒化チタン材料を、金属及び絶縁材料に比べて選択的に前記表面から除去するものである、方法。
JP2016518038A 2013-06-06 2014-06-06 窒化チタンを選択的にエッチングするための組成物及び方法 Active JP6723152B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361831928P 2013-06-06 2013-06-06
US61/831,928 2013-06-06
PCT/US2014/041322 WO2014197808A1 (en) 2013-06-06 2014-06-06 Compositions and methods for selectively etching titanium nitride

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2019037182A Division JP2019134168A (ja) 2013-06-06 2019-03-01 窒化チタンを選択的にエッチングするための組成物及び方法

Publications (3)

Publication Number Publication Date
JP2016527707A JP2016527707A (ja) 2016-09-08
JP2016527707A5 JP2016527707A5 (ja) 2017-07-13
JP6723152B2 true JP6723152B2 (ja) 2020-07-15

Family

ID=52008619

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2016518038A Active JP6723152B2 (ja) 2013-06-06 2014-06-06 窒化チタンを選択的にエッチングするための組成物及び方法
JP2019037182A Pending JP2019134168A (ja) 2013-06-06 2019-03-01 窒化チタンを選択的にエッチングするための組成物及び方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2019037182A Pending JP2019134168A (ja) 2013-06-06 2019-03-01 窒化チタンを選択的にエッチングするための組成物及び方法

Country Status (8)

Country Link
US (1) US10920141B2 (ja)
EP (1) EP3004287B1 (ja)
JP (2) JP6723152B2 (ja)
KR (1) KR102338550B1 (ja)
CN (2) CN105683336A (ja)
SG (2) SG10201708364XA (ja)
TW (1) TWI651396B (ja)
WO (1) WO2014197808A1 (ja)

Families Citing this family (106)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014089196A1 (en) * 2012-12-05 2014-06-12 Advanced Technology Materials, Inc. Compositions for cleaning iii-v semiconductor materials and methods of using same
KR102338550B1 (ko) 2013-06-06 2021-12-14 엔테그리스, 아이엔씨. 질화 티타늄의 선택적인 에칭을 위한 조성물 및 방법
CN105431506A (zh) 2013-07-31 2016-03-23 高级技术材料公司 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂
KR102340516B1 (ko) 2013-08-30 2021-12-21 엔테그리스, 아이엔씨. 티타늄 니트라이드를 선택적으로 에칭하기 위한 조성물 및 방법
KR20230129193A (ko) * 2013-12-06 2023-09-06 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 표면 잔류물 제거용 세정 제형
TWI654340B (zh) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
CN105960699B (zh) 2013-12-20 2019-11-01 恩特格里斯公司 非氧化性强酸用于清除离子注入抗蚀剂的用途
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
US20160340620A1 (en) 2014-01-29 2016-11-24 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
US9297081B2 (en) * 2014-02-21 2016-03-29 Ecolab Usa Inc. Use of neutralizing agent in olefin or styrene production
KR101608952B1 (ko) * 2014-04-10 2016-04-05 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 반도체소자의 세정용 액체 조성물, 및 반도체소자의 세정방법
CN107155367B (zh) * 2014-06-30 2021-12-21 恩特格里斯公司 利用钨及钴兼容性移除蚀刻后残余物的含水及半含水清洁剂
KR102255577B1 (ko) * 2014-08-25 2021-05-25 엘지디스플레이 주식회사 식각액 조성물
KR102398801B1 (ko) * 2014-11-13 2022-05-17 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 코발트의 데미지를 억제한 반도체 소자의 세정액, 및 이것을 이용한 반도체 소자의 세정방법
TWI639730B (zh) * 2015-02-13 2018-11-01 關東鑫林科技股份有限公司 Etching liquid composition and etching method using the same
US9976111B2 (en) 2015-05-01 2018-05-22 Versum Materials Us, Llc TiN hard mask and etch residual removal
JP6761166B2 (ja) * 2015-07-23 2020-09-23 セントラル硝子株式会社 ウェットエッチング方法及びエッチング液
CA2993822C (en) 2015-07-29 2022-07-12 Ecolab Usa Inc. Heavy amine neutralizing agents for olefin or styrene production
TWI705132B (zh) * 2015-10-08 2020-09-21 日商三菱瓦斯化學股份有限公司 半導體元件之洗淨用液體組成物、半導體元件之洗淨方法及半導體元件之製造方法
TWI816635B (zh) * 2015-10-15 2023-10-01 日商三菱瓦斯化學股份有限公司 半導體元件之洗淨用液體組成物、半導體元件之洗淨方法及半導體元件之製造方法
EP3436621B1 (en) * 2016-03-29 2020-02-12 Technic France Solution and method for etching titanium based materials
US10982129B2 (en) * 2016-08-05 2021-04-20 NuGeneration Technologies, LLC Composition and method for making converter-dissolver composition for dissolving metal sulfate scales from surfaces
JP6860276B2 (ja) * 2016-09-09 2021-04-14 花王株式会社 樹脂マスク剥離用洗浄剤組成物
EP3299323B1 (en) 2016-09-23 2020-04-01 Otis Elevator Company Secondary car operating panel for elevator cars
WO2018061582A1 (ja) * 2016-09-29 2018-04-05 富士フイルム株式会社 処理液および積層体の処理方法
KR101966808B1 (ko) * 2016-09-30 2019-04-08 세메스 주식회사 기판 세정 조성물, 기판 처리 방법 및 기판 처리 장치
EP3523241A4 (en) 2016-10-06 2020-05-13 FujiFilm Electronic Materials USA, Inc. CLEANING FORMULATIONS FOR REMOVING RESIDUES ON SEMICONDUCTOR SUBSTRATES
KR20180060489A (ko) * 2016-11-29 2018-06-07 삼성전자주식회사 식각용 조성물 및 이를 이용한 반도체 장치 제조 방법
KR20180068591A (ko) * 2016-12-14 2018-06-22 삼성전자주식회사 식각용 조성물 및 이를 이용한 반도체 장치 제조 방법
KR102372109B1 (ko) * 2017-01-17 2022-03-08 엔테그리스, 아이엔씨. 첨단 노드 beol 공정을 위한 에칭-후 잔류물 제거
EP3580321A4 (en) * 2017-02-10 2020-12-02 Fujifilm Electronic Materials USA, Inc. CLEANING COMPOSITIONS
JP7077505B2 (ja) * 2017-03-24 2022-05-31 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 半導体基板上の残渣を除去するための洗浄組成物
TWI808965B (zh) * 2017-03-31 2023-07-21 日商關東化學股份有限公司 鈦層或含鈦層的蝕刻液組成物以及蝕刻方法
US10731109B2 (en) * 2017-04-11 2020-08-04 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
US10676646B2 (en) * 2017-05-25 2020-06-09 Fujifilm Electronic Materials U.S.A., Inc. Chemical mechanical polishing slurry for cobalt applications
CN107229193B (zh) * 2017-07-25 2019-04-23 上海新阳半导体材料股份有限公司 一种清洗剂、其制备方法和应用
KR20200042900A (ko) * 2017-08-22 2020-04-24 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 세정 조성물
JP7090625B2 (ja) * 2017-08-31 2022-06-24 富士フイルム株式会社 処理液、キット、基板の洗浄方法
KR101967157B1 (ko) 2017-11-06 2019-04-09 한국원자력연구원 전극-반도체간 쇼트키 접촉 구조를 가진 방사선 센서
JP7330972B2 (ja) 2017-12-08 2023-08-22 ビーエーエスエフ ソシエタス・ヨーロピア 半導体基板からエッチング後または灰化後の残留物を除去するための洗浄剤組成物、およびそれに対応する製造方法
US11390943B2 (en) 2017-12-18 2022-07-19 Entegris, Inc. Chemical resistant multi-layer coatings applied by atomic layer deposition
JP6798045B2 (ja) * 2018-01-16 2020-12-09 株式会社トクヤマ 次亜塩素酸イオンを含む半導体ウェハの処理液
CN111512239A (zh) * 2018-01-25 2020-08-07 默克专利股份有限公司 光致抗蚀剂去除剂组合物
CN111565870B (zh) * 2018-01-26 2023-04-04 日清工程株式会社 铜微粒子
US10934484B2 (en) 2018-03-09 2021-03-02 Versum Materials Us, Llc Etching solution for selectively removing silicon-germanium alloy from a silicon-germanium/ germanium stack during manufacture of a semiconductor device
US11499236B2 (en) * 2018-03-16 2022-11-15 Versum Materials Us, Llc Etching solution for tungsten word line recess
KR102487940B1 (ko) * 2018-03-19 2023-01-16 삼성디스플레이 주식회사 식각액 조성물 및 이를 이용한 금속 패턴과 어레이 기판의 제조 방법
JP6799740B2 (ja) * 2018-03-23 2020-12-16 株式会社東芝 処理液及び処理方法
IL301529A (en) 2018-03-28 2023-05-01 Fujifilm Electronic Mat Usa Inc cleaning products
JP7105084B2 (ja) * 2018-03-30 2022-07-22 ナガセケムテックス株式会社 エッチング液組成物
WO2019192866A1 (en) * 2018-04-04 2019-10-10 Basf Se IMIDAZOLIDINETHIONE-CONTAINING COMPOSITIONS FOR POST-ASH RESIDUE REMOVAL AND/OR FOR OXIDATIVE ETCHING OF A LAYER OR MASK COMPRISING TiN
KR20210003744A (ko) * 2018-04-27 2021-01-12 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 수성 조성물 및 이것을 이용한 세정방법
US11352593B2 (en) 2018-04-27 2022-06-07 Mitsubishi Gas Chemical Company, Inc. Aqueous composition and cleaning method using same
TWI808161B (zh) * 2018-04-27 2023-07-11 日商三菱瓦斯化學股份有限公司 水性組成物及使用此組成物之清洗方法
JP7144532B2 (ja) * 2018-05-01 2022-09-29 アプライド マテリアルズ インコーポレイテッド 選択的エッチングプロセスの選択性を高める方法
CN110484919A (zh) * 2018-05-14 2019-11-22 深圳市裕展精密科技有限公司 退镀液及其退除含钛膜层的方法、及表面形成有含钛膜层的基材的退镀方法
KR102346832B1 (ko) * 2018-05-23 2022-01-03 삼성에스디아이 주식회사 실리콘 질화막 식각용 조성물 및 이를 이용한 식각 방법
KR102579803B1 (ko) * 2018-07-06 2023-09-19 엔테그리스, 아이엔씨. 물질의 선택적 에칭을 위한 개선
WO2020018804A1 (en) 2018-07-20 2020-01-23 Entegris, Inc. Cleaning composition with corrosion inhibitor
CN109161358A (zh) * 2018-07-25 2019-01-08 佛山腾鲤新能源科技有限公司 一种导电胶的制备方法
US11017995B2 (en) * 2018-07-26 2021-05-25 Versum Materials Us, Llc Composition for TiN hard mask removal and etch residue cleaning
US11085011B2 (en) * 2018-08-28 2021-08-10 Entegris, Inc. Post CMP cleaning compositions for ceria particles
US10896824B2 (en) * 2018-12-14 2021-01-19 Tokyo Electron Limited Roughness reduction methods for materials using illuminated etch solutions
CN113195681A (zh) * 2018-12-14 2021-07-30 恩特格里斯公司 钌蚀刻组合物和方法
JP2022515350A (ja) * 2018-12-14 2022-02-18 東京エレクトロン株式会社 照射されたエッチング溶液を使用して材料の粗さを低減するための処理システム及びプラットフォーム
CN109594088A (zh) * 2018-12-29 2019-04-09 陕西宝成航空仪表有限责任公司 氮化钛或氮化铝钛膜层的退除液及制备方法和退除方法
JP2022518398A (ja) * 2019-01-11 2022-03-15 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 酸化ハフニウム腐食抑制剤
WO2020159771A1 (en) * 2019-01-31 2020-08-06 Fujifilm Electronic Materials U.S.A., Inc. Etching compositions
EP3926663A4 (en) * 2019-02-13 2022-12-21 Tokuyama Corporation SEMICONDUCTOR WAFER TREATMENT LIQUID WITH HYPOCHLORITIONS AND PH BUFFER
US11929257B2 (en) * 2019-03-11 2024-03-12 Versum Materials Us, Llc Etching solution and method for aluminum nitride
US11955341B2 (en) 2019-03-11 2024-04-09 Versum Materials Us, Llc Etching solution and method for selectively removing silicon nitride during manufacture of a semiconductor device
US20220135914A1 (en) * 2019-03-14 2022-05-05 Nissan Chemical Corporation Cleaning agent composition and cleaning method
MX2021012399A (es) 2019-04-12 2021-12-10 Ecolab Usa Inc Limpiador antimicrobiano multiuso y métodos de fabricación y uso de este.
WO2020234395A1 (en) 2019-05-23 2020-11-26 Basf Se Composition and process for selectively etching a hard mask and/or an etch-stop layer in the presence of layers of low-k materials, copper, cobalt and/or tungsten
TWI795572B (zh) * 2019-06-12 2023-03-11 關東鑫林科技股份有限公司 蝕刻組成物
KR20220016516A (ko) * 2019-07-05 2022-02-09 후지필름 가부시키가이샤 조성물, 키트, 기판의 처리 방법
KR20210028447A (ko) * 2019-09-04 2021-03-12 에스케이이노베이션 주식회사 식각 조성물, 이를 이용한 절연막의 식각방법 및 반도체 소자의 제조방법
WO2021050333A1 (en) * 2019-09-10 2021-03-18 Fujifilm Electronic Materials U.S.A., Inc. Etching composition
JP6874231B1 (ja) * 2019-09-27 2021-05-19 株式会社トクヤマ RuO4ガスの発生抑制剤及びRuO4ガスの発生抑制方法
KR102506715B1 (ko) 2019-09-27 2023-03-06 가부시끼가이샤 도꾸야마 루테늄의 반도체용 처리액 및 그 제조 방법
KR20210092472A (ko) 2020-01-16 2021-07-26 동우 화인켐 주식회사 몰리브데늄 식각액 조성물 및 이를 이용한 패턴 형성 방법
KR20210100923A (ko) 2020-02-07 2021-08-18 동우 화인켐 주식회사 금속막 식각액 조성물 및 이를 이용한 패턴 형성 방법
WO2021176913A1 (ja) * 2020-03-04 2021-09-10 富士フイルム株式会社 処理液、処理液収容体
KR20220146495A (ko) * 2020-03-04 2022-11-01 버슘머트리얼즈 유에스, 엘엘씨 질화티탄 및 몰리브덴 전도성 금속 라인용 에칭액
KR102339685B1 (ko) * 2020-03-25 2021-12-16 주식회사 이엔에프테크놀로지 실리콘 질화막 식각 조성물
CN115428129A (zh) * 2020-04-14 2022-12-02 三菱瓦斯化学株式会社 钛和/或钛合金的蚀刻液、使用该蚀刻液的钛和/或钛合金的蚀刻方法、以及使用该蚀刻液的基板的制造方法
CN111430799B (zh) * 2020-04-22 2023-02-14 上海纳米技术及应用国家工程研究中心有限公司 一种用于镍锰酸锂正极材料的高压电解液
CN111850564A (zh) * 2020-07-16 2020-10-30 桂林理工大学 一种钛化物膜层退镀液及退镀方法
US20220298416A1 (en) * 2020-08-07 2022-09-22 Tokuyama Corporation Treatment liquid for semiconductor wafers
TWI824299B (zh) * 2020-09-22 2023-12-01 美商恩特葛瑞斯股份有限公司 蝕刻劑組合物
KR20230075433A (ko) * 2020-09-29 2023-05-31 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 반도체기판 세정용 조성물 및 세정방법
CN112540515B (zh) * 2020-12-16 2023-11-21 江苏艾森半导体材料股份有限公司 一种光刻胶去胶液及其制备方法和应用
CN113150884B (zh) * 2021-04-27 2022-12-30 上海新阳半导体材料股份有限公司 一种含氟清洗液组合物的制备方法
CN113186043B (zh) * 2021-04-27 2023-05-30 上海新阳半导体材料股份有限公司 一种含氟清洗液组合物及其应用
CN113161234B (zh) * 2021-04-27 2023-02-17 上海新阳半导体材料股份有限公司 一种含氟清洗液组合物的应用
KR20240006642A (ko) * 2021-05-12 2024-01-15 엔테그리스, 아이엔씨. 선택적 에칭제 조성물 및 방법
CN113549462A (zh) * 2021-06-16 2021-10-26 江阴润玛电子材料股份有限公司 一种微电子用超纯氟化铵蚀刻液及其制备方法
JP2023043419A (ja) * 2021-09-16 2023-03-29 株式会社Screenホールディングス 基板処理方法および基板処理装置
CN114369462A (zh) * 2021-12-16 2022-04-19 湖北兴福电子材料有限公司 一种选择性蚀刻氮化钛及钨的蚀刻液
TW202342700A (zh) * 2022-04-20 2023-11-01 日商東京應化工業股份有限公司 蝕刻用組成物、使用其之蝕刻方法及電子零件之製造方法
CN115011347B (zh) * 2022-06-30 2023-12-29 湖北兴福电子材料股份有限公司 一种氮化铝和钨的选择性蚀刻液
CN114989825B (zh) * 2022-06-30 2023-07-11 湖北兴福电子材料股份有限公司 一种掺钪氮化铝和钨的选择性蚀刻液
CN115044376B (zh) * 2022-06-30 2023-12-29 湖北兴福电子材料股份有限公司 一种掺钪氮化铝蚀刻液及其应用
WO2024004980A1 (ja) * 2022-07-01 2024-01-04 三菱瓦斯化学株式会社 半導体基板洗浄用組成物、半導体基板の洗浄方法、及び半導体基板の製造方法
CN115710536B (zh) * 2022-11-11 2024-03-08 上海新阳半导体材料股份有限公司 一种清洗液的制备方法

Family Cites Families (162)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE457647B (sv) 1987-06-24 1989-01-16 Eka Nobel Ab Saett vid blekning av material med ditionitloesning
US5209858A (en) 1991-02-06 1993-05-11 E. I. Du Pont De Nemours And Company Stabilization of choline and its derivatives against discoloration
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
JPH11510219A (ja) 1995-12-19 1999-09-07 エフエスアイ インターナショナル インコーポレイテッド スプレー・プロセッサを用いる金属膜の無電解めっき
US5702075A (en) 1996-01-31 1997-12-30 David Lehrman Automatically collapsible support for an electrical cord for use with an ironing board
ES2160892T3 (es) * 1996-05-30 2001-11-16 Nalco Chemical Co Uso de una mezcla de tensioactivos para inhibir la corrosion.
US7534752B2 (en) 1996-07-03 2009-05-19 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US6323168B1 (en) 1996-07-03 2001-11-27 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US6755989B2 (en) 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6224785B1 (en) 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US5993685A (en) 1997-04-02 1999-11-30 Advanced Technology Materials Planarization composition for removing metal films
WO1998048453A1 (en) 1997-04-23 1998-10-29 Advanced Chemical Systems International, Inc. Planarization compositions for cmp of interlayer dielectrics
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US5976928A (en) 1997-11-20 1999-11-02 Advanced Technology Materials, Inc. Chemical mechanical polishing of FeRAM capacitors
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
KR20010025043A (ko) 1998-05-18 2001-03-26 바누치 유진 지. 반도체 기판용 스트립팅 조성물
US6875733B1 (en) 1998-10-14 2005-04-05 Advanced Technology Materials, Inc. Ammonium borate containing compositions for stripping residues from semiconductor substrates
US6395194B1 (en) 1998-12-18 2002-05-28 Intersurface Dynamics Inc. Chemical mechanical polishing compositions, and process for the CMP removal of iridium thin using same
US6419554B2 (en) * 1999-06-24 2002-07-16 Micron Technology, Inc. Fixed abrasive chemical-mechanical planarization of titanium nitride
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6439986B1 (en) 1999-10-12 2002-08-27 Hunatech Co., Ltd. Conditioner for polishing pad and method for manufacturing the same
JP2001135601A (ja) * 1999-11-09 2001-05-18 Speedfam Co Ltd 半導体デバイス平坦化の研磨方法
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6723691B2 (en) 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6492308B1 (en) 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
JP3515041B2 (ja) * 2000-03-13 2004-04-05 沖電気工業株式会社 半導体素子の製造方法
US6409781B1 (en) 2000-05-01 2002-06-25 Advanced Technology Materials, Inc. Polishing slurries for copper and associated materials
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
JP2002231666A (ja) 2001-01-31 2002-08-16 Fujimi Inc 研磨用組成物およびそれを用いた研磨方法
US6627587B2 (en) 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US7029373B2 (en) 2001-08-14 2006-04-18 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6800218B2 (en) 2001-08-23 2004-10-05 Advanced Technology Materials, Inc. Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same
US6802983B2 (en) 2001-09-17 2004-10-12 Advanced Technology Materials, Inc. Preparation of high performance silica slurry using a centrifuge
US7030168B2 (en) 2001-12-31 2006-04-18 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
US7119418B2 (en) 2001-12-31 2006-10-10 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
US7557073B2 (en) 2001-12-31 2009-07-07 Advanced Technology Materials, Inc. Non-fluoride containing supercritical fluid composition for removal of ion-implant photoresist
US7326673B2 (en) 2001-12-31 2008-02-05 Advanced Technology Materials, Inc. Treatment of semiconductor substrates using long-chain organothiols or long-chain acetates
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US6698619B2 (en) 2002-05-03 2004-03-02 Advanced Technology Materials, Inc. Returnable and reusable, bag-in-drum fluid storage and dispensing container system
US7188644B2 (en) 2002-05-03 2007-03-13 Advanced Technology Materials, Inc. Apparatus and method for minimizing the generation of particles in ultrapure liquids
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US7485611B2 (en) 2002-10-31 2009-02-03 Advanced Technology Materials, Inc. Supercritical fluid-based cleaning compositions and methods
US7223352B2 (en) 2002-10-31 2007-05-29 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US6943139B2 (en) 2002-10-31 2005-09-13 Advanced Technology Materials, Inc. Removal of particle contamination on patterned silicon/silicon dioxide using supercritical carbon dioxide/chemical formulations
US20060019850A1 (en) 2002-10-31 2006-01-26 Korzenski Michael B Removal of particle contamination on a patterned silicon/silicon dioxide using dense fluid/chemical formulations
US7011716B2 (en) 2003-04-29 2006-03-14 Advanced Technology Materials, Inc. Compositions and methods for drying patterned wafers during manufacture of integrated circuitry products
US6989358B2 (en) 2002-10-31 2006-01-24 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for removal of photoresists
US7300601B2 (en) 2002-12-10 2007-11-27 Advanced Technology Materials, Inc. Passivative chemical mechanical polishing composition for copper film planarization
US8236485B2 (en) * 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
US6735978B1 (en) 2003-02-11 2004-05-18 Advanced Technology Materials, Inc. Treatment of supercritical fluid utilized in semiconductor manufacturing applications
EP1622742A4 (en) * 2003-05-12 2009-06-10 Advanced Tech Materials CMP COMPOSITIONS FOR STEP II COPPER EQUIPMENT AND OTHER ASSOCIATED MATERIALS AND USE METHOD THEREFOR
US7736405B2 (en) 2003-05-12 2010-06-15 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for copper and associated materials and method of using same
US7119052B2 (en) 2003-06-24 2006-10-10 Advanced Technology Materials, Inc. Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
US20050025973A1 (en) 2003-07-25 2005-02-03 Slutz David E. CVD diamond-coated composite substrate containing a carbide-forming material and ceramic phases and method for making same
US7335239B2 (en) 2003-11-17 2008-02-26 Advanced Technology Materials, Inc. Chemical mechanical planarization pad
US20050118832A1 (en) 2003-12-01 2005-06-02 Korzenski Michael B. Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
US7888301B2 (en) 2003-12-02 2011-02-15 Advanced Technology Materials, Inc. Resist, barc and gap fill material stripping chemical and method
US20050145311A1 (en) 2003-12-30 2005-07-07 Walker Elizabeth L. Method for monitoring surface treatment of copper containing devices
SG150508A1 (en) * 2004-02-11 2009-03-30 Mallinckrodt Baker Inc Microelectronic cleaning composition containing halogen oxygen acids, salts and derivatives thereof
US7553803B2 (en) 2004-03-01 2009-06-30 Advanced Technology Materials, Inc. Enhancement of silicon-containing particulate material removal using supercritical fluid-based compositions
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US20050227482A1 (en) 2004-03-24 2005-10-13 Korzenski Michael B Composition useful for removal of bottom anti-reflection coatings from patterned ion-implanted photoresist wafers
MX2007000298A (es) 2004-07-09 2007-04-02 Akzo Nobel Nv Composicion que comprende hidroxido de colina y procedimiento para prepararla.
JP4145273B2 (ja) 2004-07-14 2008-09-03 株式会社ノリタケスーパーアブレーシブ Cmpパッドコンディショナー
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060148666A1 (en) 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7923423B2 (en) 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
US7365045B2 (en) 2005-03-30 2008-04-29 Advanced Tehnology Materials, Inc. Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
US20060226122A1 (en) * 2005-04-08 2006-10-12 Wojtczak William A Selective wet etching of metal nitrides
WO2006110645A2 (en) 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
US20070251551A1 (en) 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
KR20070121057A (ko) 2005-04-15 2007-12-26 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 초임계 유체 제거 또는 증착 공정을 위한 방법 및 장치
JP2008537343A (ja) 2005-04-15 2008-09-11 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド マイクロエレクトロニクスデバイスからイオン注入フォトレジスト層をクリーニングするための配合物
EP1888735B1 (en) 2005-05-26 2013-08-07 Advanced Technology Materials, Inc. Copper passivating post-chemical mechanical polishing cleaning composition and method of use
WO2006133249A2 (en) 2005-06-06 2006-12-14 Advanced Technology Materials, Inc. Integrated chemical mechanical polishing composition and process for single platen processing
KR101332501B1 (ko) 2005-06-07 2013-11-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 및 유전체 상용성 희생 반사 방지 코팅 세정 및 제거조성물
KR20080015027A (ko) 2005-06-13 2008-02-15 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 규화물 형성 후 금속 또는 금속 합금의 선택적인제거를 위한 조성물 및 방법
KR20080023346A (ko) 2005-06-16 2008-03-13 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 경화된 포토레지스트, 에칭 후 잔류물 및/또는 바닥 반사방지 코팅 층의 제거를 위한 고밀도 유체 조성물
EP1929512A2 (en) 2005-08-05 2008-06-11 Advanced Technology Materials, Inc. High throughput chemical mechanical polishing composition for metal film planarization
KR100685738B1 (ko) * 2005-08-08 2007-02-26 삼성전자주식회사 절연 물질 제거용 조성물, 이를 이용한 절연막의 제거 방법및 기판의 재생 방법
WO2007027522A2 (en) 2005-08-29 2007-03-08 Advanced Technology Materials, Inc. Composition and method for removing thick film photoresist
JP2009512194A (ja) 2005-10-05 2009-03-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド ポストエッチング残渣を除去するための酸化性水性洗浄剤
CN101496146A (zh) 2005-10-05 2009-07-29 高级技术材料公司 选择性蚀刻栅极隔片氧化物材料的组合物和方法
EP1945748A4 (en) 2005-10-13 2009-01-07 Advanced Tech Materials PHOTORESIN REMOVAL AND / OR SACRIFICIAL ANTIREFLECTION COATING COMPOSITION COMPATIBLE WITH METALS
US20090301996A1 (en) 2005-11-08 2009-12-10 Advanced Technology Materials, Inc. Formulations for removing cooper-containing post-etch residue from microelectronic devices
TWI513799B (zh) * 2005-11-09 2015-12-21 Entegris Inc 用於回收具有低k介電材料之半導體晶圓的組成物及方法
TW200734448A (en) 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US20070225186A1 (en) 2006-03-27 2007-09-27 Matthew Fisher Alkaline solutions for post CMP cleaning processes
US20080076688A1 (en) 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
WO2008036823A2 (en) 2006-09-21 2008-03-27 Advanced Technology Materials, Inc. Uric acid additive for cleaning formulations
SG175559A1 (en) 2006-09-25 2011-11-28 Advanced Tech Materials Compositions and methods for the removal of photoresist for a wafer rework application
US20080125342A1 (en) 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
WO2008080097A2 (en) 2006-12-21 2008-07-03 Advanced Technology Materials, Inc. Liquid cleaner for the removal of post-etch residues
SG177201A1 (en) 2006-12-21 2012-01-30 Advanced Tech Materials Compositions and methods for the selective removal of silicon nitride
JP2008177180A (ja) * 2007-01-16 2008-07-31 Ebara Corp 配線基板研磨用前処理液、研磨方法、配線基板製造方法及び配線基板製造装置
WO2008095078A1 (en) 2007-01-31 2008-08-07 Advanced Technology Materials, Inc. Stabilization of polymer-silica dispersions for chemical mechanical polishing slurry applications
KR101344541B1 (ko) * 2007-02-02 2013-12-26 동우 화인켐 주식회사 실리콘 산화막에 대한 선택적 에칭액 조성물
TWI516573B (zh) 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
KR20100017695A (ko) 2007-05-09 2010-02-16 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 재료 혼합과 분배를 위한 시스템 및 방법
WO2008157345A2 (en) 2007-06-13 2008-12-24 Advanced Technology Materials, Inc. Wafer reclamation compositions and methods
KR20100051839A (ko) 2007-08-02 2010-05-18 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 마이크로전자 장치로부터 잔사를 제거하기 위한 플루오라이드 비-함유 조성물
TW200927918A (en) 2007-08-20 2009-07-01 Advanced Tech Materials Composition and method for removing ion-implanted photoresist
JP2009075285A (ja) * 2007-09-20 2009-04-09 Fujifilm Corp 半導体デバイスの剥離液、及び、剥離方法
JP2011502946A (ja) 2007-11-14 2011-01-27 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 可溶性ナノ結晶の無溶媒合成
TW200934865A (en) 2007-11-30 2009-08-16 Advanced Tech Materials Formulations for cleaning memory device structures
KR20100123757A (ko) 2008-03-07 2010-11-24 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 비-선택적 산화물 에칭용 습윤 세정 조성물 및 사용 방법
US20090253072A1 (en) 2008-04-01 2009-10-08 Petruska Melissa A Nanoparticle reversible contrast enhancement material and method
TWI494710B (zh) 2008-05-01 2015-08-01 Entegris Inc 用於移除高密度經摻雜光阻之低pH混合物
CN102216854A (zh) 2008-08-04 2011-10-12 高级技术材料公司 环境友好型聚合物剥离组合物
KR20110063845A (ko) * 2008-10-02 2011-06-14 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 실리콘 기판의 금속 로딩 및 표면 패시베이션을 향상시키기 위한 계면활성제/소포제 혼합물의 용도
CN102197124B (zh) * 2008-10-21 2013-12-18 高级技术材料公司 铜清洁及保护调配物
EP2391700A4 (en) 2009-01-28 2016-08-31 Entegris Inc IN SITU CLEANING FORMULATIONS OF LITHOGRAPHIC APPARATUS
WO2010086745A1 (en) 2009-02-02 2010-08-05 Atmi Taiwan Co., Ltd. Method of etching lanthanum-containing oxide layers
WO2010091045A2 (en) 2009-02-05 2010-08-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of polymers and other organic material from a surface
US8754021B2 (en) 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
US8367555B2 (en) 2009-12-11 2013-02-05 International Business Machines Corporation Removal of masking material
WO2011094568A2 (en) 2010-01-29 2011-08-04 Advanced Technology Materials, Inc. Cleaning agent for semiconductor provided with metal wiring
JP5858597B2 (ja) 2010-01-29 2016-02-10 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド タングステン配線半導体用洗浄剤
KR101705417B1 (ko) 2010-04-15 2017-02-09 엔테그리스, 아이엔씨. 폐 인쇄 회로판의 재순환 방법
JP2012021151A (ja) 2010-06-16 2012-02-02 Sanyo Chem Ind Ltd 銅配線半導体用洗浄剤
WO2012009639A2 (en) 2010-07-16 2012-01-19 Advanced Technology Materials, Inc. Aqueous cleaner for the removal of post-etch residues
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
CN103249849B (zh) 2010-08-20 2015-11-25 安格斯公司 从电子垃圾回收贵金属和贱金属的可持续方法
SG10201506742RA (en) 2010-08-27 2015-10-29 Entegris Inc Method for preventing the collapse of high aspect ratio structures during drying
WO2012048079A2 (en) 2010-10-06 2012-04-12 Advanced Technology Materials, Inc. Composition and process for selectively etching metal nitrides
US9416338B2 (en) 2010-10-13 2016-08-16 Advanced Technology Materials, Inc. Composition for and method of suppressing titanium nitride corrosion
WO2012097143A2 (en) 2011-01-13 2012-07-19 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium- containing solutions
JP2012186470A (ja) 2011-02-18 2012-09-27 Sanyo Chem Ind Ltd 銅配線半導体用洗浄剤
WO2012154498A2 (en) 2011-05-06 2012-11-15 Advanced Technology Materials, Inc. Removal of metal impurities from silicon surfaces for solar cell and semiconductor applications
JP2012251026A (ja) 2011-05-31 2012-12-20 Sanyo Chem Ind Ltd 半導体用洗浄剤
TW201311869A (zh) 2011-06-16 2013-03-16 Advanced Tech Materials 選擇性蝕刻氮化矽之組成物及方法
BR112013032436A2 (pt) 2011-06-21 2019-09-24 Advanced Tech Materials Inc método para a recuperação de óxido de cobalto litiado de baterias de íon de lítio
KR101776923B1 (ko) 2011-08-05 2017-09-11 삼성디스플레이 주식회사 식각액 조성물, 이를 이용한 금속 패턴의 형성 방법 및 표시 기판의 제조 방법
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
CN103958640B (zh) 2011-10-21 2016-05-18 安格斯公司 无胺cmp后组合物及其使用方法
US8618036B2 (en) 2011-11-14 2013-12-31 International Business Machines Corporation Aqueous cerium-containing solution having an extended bath lifetime for removing mask material
EP2802555A1 (en) 2011-11-22 2014-11-19 Taminco Stabilized choline solutions and methods for preparing the same
CN106914470A (zh) 2011-12-15 2017-07-04 恩特格里斯公司 用于在废弃的电气和电子设备的循环利用期间剥离焊料金属的装置和方法
SG11201403556WA (en) * 2011-12-28 2014-07-30 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
US10176979B2 (en) 2012-02-15 2019-01-08 Entegris, Inc. Post-CMP removal using compositions and method of use
SG10201607609YA (en) 2012-03-12 2016-10-28 Entegris Inc Methods for the selective removal of ashed spin-on glass
WO2013138278A1 (en) 2012-03-12 2013-09-19 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
SG11201405737VA (en) 2012-03-18 2014-10-30 Entegris Inc Post-cmp formulation having improved barrier layer compatibility and cleaning performance
WO2013152260A1 (en) 2012-04-06 2013-10-10 Advanced Technology Materials, Inc. Removal of lead from solid materials
CA2851406C (en) 2012-04-13 2019-12-17 Huntsman Petrochemical Llc Using novel amines to stabilize quaternary trialkylalkanolamines
US20130295712A1 (en) 2012-05-03 2013-11-07 Advanced Technology Materials, Inc. Methods of texturing surfaces for controlled reflection
EP2847364A4 (en) 2012-05-11 2015-10-28 Entegris Inc FORMULATIONS FOR THE WET ETCHING OF NIPT DURING THE PRODUCTION OF SILICURE
US9678430B2 (en) 2012-05-18 2017-06-13 Entegris, Inc. Composition and process for stripping photoresist from a surface including titanium nitride
EP2850651A4 (en) 2012-05-18 2016-03-09 Entegris Inc AQUEOUS CLEANING SOLUTION HAVING LOW COPPER ATTACK SPEED FOR MORE EFFICIENT REMOVAL OF ORGANIC RESIDUES
JP6063206B2 (ja) * 2012-10-22 2017-01-18 富士フイルム株式会社 エッチング液、これを用いたエッチング方法及び半導体素子の製造方法
WO2014089196A1 (en) 2012-12-05 2014-06-12 Advanced Technology Materials, Inc. Compositions for cleaning iii-v semiconductor materials and methods of using same
JP6363116B2 (ja) 2013-03-04 2018-07-25 インテグリス・インコーポレーテッド 窒化チタンを選択的にエッチングするための組成物および方法
US9520617B2 (en) 2013-03-14 2016-12-13 Advanced Technology Materials, Inc. Sulfolane mixtures as ambient aprotic polar solvents
TW201500542A (zh) 2013-04-22 2015-01-01 Advanced Tech Materials 銅清洗及保護配方
US20160122696A1 (en) 2013-05-17 2016-05-05 Advanced Technology Materials, Inc. Compositions and methods for removing ceria particles from a surface
KR102338550B1 (ko) 2013-06-06 2021-12-14 엔테그리스, 아이엔씨. 질화 티타늄의 선택적인 에칭을 위한 조성물 및 방법
CA2943992A1 (en) 2014-02-25 2015-09-03 Entegris, Inc. Wet based formulations for the selective removal of noble metals

Also Published As

Publication number Publication date
US10920141B2 (en) 2021-02-16
CN105683336A (zh) 2016-06-15
SG11201509933QA (en) 2016-01-28
JP2016527707A (ja) 2016-09-08
TW201504397A (zh) 2015-02-01
KR102338550B1 (ko) 2021-12-14
WO2014197808A1 (en) 2014-12-11
JP2019134168A (ja) 2019-08-08
TWI651396B (zh) 2019-02-21
EP3004287A4 (en) 2017-06-21
CN111394100A (zh) 2020-07-10
EP3004287B1 (en) 2021-08-18
EP3004287A1 (en) 2016-04-13
SG10201708364XA (en) 2017-11-29
KR20160014714A (ko) 2016-02-11
US20160130500A1 (en) 2016-05-12

Similar Documents

Publication Publication Date Title
JP6723152B2 (ja) 窒化チタンを選択的にエッチングするための組成物及び方法
US10392560B2 (en) Compositions and methods for selectively etching titanium nitride
US10472567B2 (en) Compositions and methods for selectively etching titanium nitride
KR102338526B1 (ko) Cu/W 호환성을 갖는, 금속 하드 마스크 및 에칭-후 잔여물을 제거하기 위한 수성 제형
US10428271B2 (en) Compositions and methods for selectively etching titanium nitride
WO2016042408A2 (en) Compositions for etching titanium nitride having compatability with silicon germanide and tungsten
CN116096837A (zh) 氮化物蚀刻剂组合物和方法
CN116325086A (zh) 蚀刻剂组合物

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20160713

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20170518

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170601

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170601

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20170606

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180612

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180904

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20181106

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190301

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20190308

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20190405

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200623

R150 Certificate of patent or registration of utility model

Ref document number: 6723152

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250