WO2018061582A1 - 処理液および積層体の処理方法 - Google Patents

処理液および積層体の処理方法 Download PDF

Info

Publication number
WO2018061582A1
WO2018061582A1 PCT/JP2017/031042 JP2017031042W WO2018061582A1 WO 2018061582 A1 WO2018061582 A1 WO 2018061582A1 JP 2017031042 W JP2017031042 W JP 2017031042W WO 2018061582 A1 WO2018061582 A1 WO 2018061582A1
Authority
WO
WIPO (PCT)
Prior art keywords
treatment liquid
layer
acid
liquid according
group
Prior art date
Application number
PCT/JP2017/031042
Other languages
English (en)
French (fr)
Inventor
上村 哲也
Original Assignee
富士フイルム株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 富士フイルム株式会社 filed Critical 富士フイルム株式会社
Priority to JP2018542015A priority Critical patent/JP6970675B2/ja
Priority to KR1020197007576A priority patent/KR102160019B1/ko
Publication of WO2018061582A1 publication Critical patent/WO2018061582A1/ja
Priority to US16/291,684 priority patent/US20190194580A1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/18Hydrocarbons
    • C11D3/187Hydrocarbons aromatic
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/02Anionic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/02Anionic compounds
    • C11D1/04Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D17/00Detergent materials or soaps characterised by their shape or physical properties
    • C11D17/08Liquid soap, e.g. for dispensers; capsuled
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/042Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/046Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2003Alcohols; Phenols
    • C11D3/2041Dihydric alcohols
    • C11D3/2058Dihydric alcohols aromatic
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • C11D3/2079Monocarboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • C11D3/2082Polycarboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • C11D3/2086Hydroxy carboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/24Organic compounds containing halogen
    • C11D3/245Organic compounds containing halogen containing fluorine
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/28Heterocyclic compounds containing nitrogen in the ring
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/33Amino carboxylic acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/34Organic compounds containing sulfur
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/34Organic compounds containing sulfur
    • C11D3/3418Toluene -, xylene -, cumene -, benzene - or naphthalene sulfonates or sulfates
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/34Organic compounds containing sulfur
    • C11D3/3454Organic compounds containing sulfur containing sulfone groups, e.g. vinyl sulfones
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/36Organic compounds containing phosphorus
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/36Organic compounds containing phosphorus
    • C11D3/361Phosphonates, phosphinates or phosphonites
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3746Macromolecular compounds obtained by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3757(Co)polymerised carboxylic acids, -anhydrides, -esters in solid and liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3746Macromolecular compounds obtained by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3757(Co)polymerised carboxylic acids, -anhydrides, -esters in solid and liquid compositions
    • C11D3/3765(Co)polymerised carboxylic acids, -anhydrides, -esters in solid and liquid compositions in liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/261Alcohols; Phenols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3245Aminoacids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/36Organic compounds containing phosphorus
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5022Organic solvents containing oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • C11D2111/22
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers

Definitions

  • the present invention relates to a processing solution for a semiconductor device and a processing method for a laminate.
  • Semiconductor devices such as a CCD (Charge-Coupled Device) and a memory are manufactured by forming a fine electronic circuit pattern on a substrate using photolithography technology.
  • a substrate, a metal layer as a wiring material formed on the substrate, an etching stop layer formed on the metal layer, an interlayer insulating film formed on the etching stop layer, and an interlayer insulating film By performing a dry etching process on the laminate having the metal hard mask formed thereon using the metal hard mask as a mask, and etching each member so that the surface of the metal layer is exposed And a method of providing a hole penetrating the metal hard mask, the interlayer insulating film, and the etching stopper layer.
  • a residue (dry etching residue) of each member may adhere to at least one of the metal layer and the interlayer insulating film constituting the hole. Therefore, the removal of the residue of each member may be performed.
  • a treatment liquid containing a fluorine-containing compound may be used.
  • Patent Document 1 discloses a cleaning composition containing a fluorine-containing compound and hexafluoroisopropyl alcohol. (Claim 1).
  • a metal hard mask for example, ZrOx
  • a metal hard mask for example, ZrOx
  • wet etching using a treatment liquid containing hydrogen fluoride (HF) described in Patent Document 1 may be used.
  • HF hydrogen fluoride
  • an interlayer insulating film for example, SiOx
  • the processing solution may be used for removing the dry etching residue described above.
  • the dry etching residue of the metal hard mask is to be removed, there is a problem that the above-described interlayer insulating film is etched.
  • an object of the present invention is to provide a treatment liquid capable of suppressing etching of an insulating film and a method for treating a laminate, while being excellent in removal of a metal hard mask and residues thereof.
  • the present inventor uses a treatment liquid containing a water-soluble aromatic compound having a pH of 5 or less and having a fluorine-containing compound and a heterocyclic group and having a benzene ring.
  • a desired effect can be obtained, and have reached the present invention. That is, the present inventor has found that the above problem can be solved by the following configuration.
  • a processing solution for semiconductor devices A fluorine-containing compound and a water-soluble aromatic compound having a benzene ring without a heterocyclic group, A treatment solution having a pH of 5 or less.
  • it contains water The treatment liquid according to [1] or [2], wherein the water content is 50% by mass or more based on the total mass of the treatment liquid.
  • the treatment liquid according to any one of [1] to [3] which does not contain an oxidizing agent.
  • the treatment liquid according to any one of [1] to [4], wherein the fluorine-containing compound is hydrogen fluoride.
  • the semiconductor device has a laminate for a semiconductor device comprising a substrate, a second layer formed on the substrate, and a first layer formed on the second layer,
  • the second layer includes at least one material selected from the group consisting of SiOx, SiOC, SiN and SiON, and the first layer is made of a material different from the second layer;
  • x is a number represented by 1 to 3.
  • x is a number represented by 1 to 3.
  • the laminate further includes a third layer between the substrate and the second layer, The processing liquid according to any one of [22] to [24], wherein the third layer is a metal containing at least one material selected from the group consisting of W, Co, Cu, and Al.
  • a processing step B for processing a laminate for a semiconductor device comprising:
  • the first layer includes at least one material selected from the group consisting of TiN, TiOx and ZrOx;
  • x is a number represented by 1 to 3.
  • a treatment liquid capable of suppressing etching of an insulating film and a laminate treatment method while being excellent in the removability of a metal hard mask and residues thereof.
  • a numerical range expressed using “to” means a range including numerical values described before and after “to” as a lower limit value and an upper limit value.
  • “preparation” means that a predetermined material is procured by purchasing in addition to synthesizing or preparing a specific material.
  • 1 ⁇ (angstrom) corresponds to 0.1 nm.
  • the notation that does not indicate substitution and non-substitution are those that do not have a substituent and those that have a substituent as long as the effects of the present invention are not impaired. It is included.
  • the “hydrocarbon group” includes not only a hydrocarbon group having no substituent (unsubstituted hydrocarbon group) but also a hydrocarbon group having a substituent (substituted hydrocarbon group). .
  • the “radiation” in the present invention means, for example, an emission line spectrum of a mercury lamp, far ultraviolet rays represented by an excimer laser, extreme ultraviolet rays (EUV light), X-rays, electron beams, or the like.
  • light means actinic rays or radiation.
  • exposure means not only exposure with a mercury lamp emission line spectrum, far ultraviolet rays typified by an excimer laser, X-rays or EUV light, but also particle beams such as electron beams or ion beams, unless otherwise specified. Include drawing in exposure.
  • (meth) acrylate represents both or one of acrylate and methacrylate.
  • the treatment liquid of the present invention is a treatment liquid for semiconductor devices, which contains a fluorine-containing compound and a water-soluble aromatic compound having a heterocyclic group and having a benzene ring, and has a pH of 5 or less.
  • the treatment liquid of the present invention can suppress etching of the insulating film while being excellent in the removability of the metal hard mask and its residue (etching residue). Although the details of this reason have not been clarified yet, it is presumed that the reason is as follows. When the treatment liquid of the present invention is used, the metal hard mask and the etching residue thereof are favorably removed by the action of the fluorine-containing compound contained in the treatment liquid.
  • the fluorine-containing compound contained in the treatment liquid is easy to etch the insulating film provided in the laminate for a semiconductor device.
  • the insulating film is etched by the action of the water-soluble aromatic compound contained in the treatment liquid of the present invention. Can be suppressed.
  • the water-soluble aromatic compound having a hydrophobic skeleton aromatic ring such as a benzene ring
  • the water-soluble aromatic compound is Functions as a protective film.
  • the metal hard mask and its residue are excellent in removability and the insulating film etching suppression function is excellent. That means both.
  • the treatment liquid of the present invention contains a fluorine-containing compound.
  • the fluorine-containing compound has a function of removing (dissolving) the metal hard mask and the residue thereof.
  • the fluorine-containing compound is not particularly limited as long as it contains a fluorine atom in the compound, and a known fluorine-containing compound can be used.
  • a fluorine-containing compound what dissociates in a process liquid and discharge
  • fluorine-containing compound examples include hydrogen fluoride (HF), ammonium fluoride, tetramethylammonium fluoride, hexafluorophosphoric acid, hexafluorosilicic acid, ammonium hexafluorophosphate, and ammonium hexafluorosilicate. Can be mentioned. Further, a cation other than ammonium, such as tetramethylammonium, may be used as the counter ion.
  • the fluorine-containing compound is preferably hydrogen fluoride from the viewpoint of more exerting the above function.
  • the content of the fluorine-containing compound in the treatment liquid is preferably 0.01% by mass or more, more preferably 0.1% by mass or more, and further preferably 1% by mass or more with respect to the total mass of the treatment liquid.
  • 10 mass% or less is preferable, 5 mass% or less is more preferable, and 2 mass% or less is further more preferable.
  • the function mentioned above is exhibited more because content of a fluorine-containing compound is 0.01 mass% or more.
  • corrosion of the insulating film by a process liquid can be suppressed more because content of a fluorine-containing compound is 10 mass% or less.
  • a fluorine-containing compound may be used individually by 1 type, or may use 2 or more types together. When using 2 or more types of fluorine-containing compounds together, it is preferable that total content is in the said range.
  • the treatment liquid of the present invention contains a water-soluble aromatic compound that has no heterocyclic group and has a benzene ring.
  • the water-soluble aromatic compound is an aromatic having a solubility in water (25 ° C.) of 3 g / L or more (preferably 5 g / L or more, more preferably 10 g / L or more, more preferably 30 g / L or more). It refers to a group compound.
  • the water-soluble aromatic compound may have various functional groups. Examples thereof include a carboxy group, a phosphoric acid group, a phosphonic acid group, a sulfonic acid group, an amino group, and a hydroxyl group.
  • the water-soluble aromatic compound preferably has an acidic group from the viewpoint of more exerting a protective function against the insulating film. Specific examples of the acidic group include a carboxy group, a phosphoric acid group, a phosphonic acid group, and a sulfonic acid group.
  • the water-soluble aromatic compound preferably contains at least one selected from the group consisting of phenylphosphonic acid, benzenecarboxylic acid, benzenesulfonic acid, phenol, and derivatives thereof, and has a protective function against an insulating film. It is more preferable to include at least one selected from the group consisting of phenylphosphonic acid, benzenecarboxylic acid, benzenesulfonic acid, and derivatives thereof. Examples of phenylphosphonic acid and derivatives thereof include phenylphosphonic acid and carboxyphenylphosphonic acid.
  • Examples of benzenecarboxylic acid and derivatives thereof include benzoic acid, salicylic acid, phthalic acid, anthranilic acid, and dihydroxybenzoic acid. Among these, salicylic acid or phthalic acid is preferable, and phthalic acid is more preferable.
  • Examples of benzenesulfonic acid and derivatives thereof include benzenesulfonic acid and p-toluenesulfonic acid. Among these, p-toluenesulfonic acid is preferable.
  • Examples of phenol and derivatives thereof include phenol, catechol, resorcinol, hydroquinone, t-butylcatechol, and pyrogallol. Among these, catechol is preferable.
  • water-soluble aromatic compounds other than those mentioned above include water-soluble aromatic compounds having an amino group, such as xylenediamine.
  • the pKa (acid dissociation constant) of the water-soluble aromatic compound is preferably 6 or less, more preferably 5 or less, and even more preferably 4 or less.
  • the lower limit is not particularly limited, but is preferably ⁇ 3 or more, more preferably ⁇ 2 or more.
  • the protective function for the insulating film is more exhibited.
  • the content of the water-soluble aromatic compound in the treatment liquid is preferably 0.05 to 10% by mass, more preferably 0.1 to 10% by mass, and more preferably 0.5 to 8% by mass with respect to the total mass of the treatment liquid. % Is more preferable.
  • the content of the water-soluble aromatic compound is 0.05% by mass or more, the protective function for the insulating film is more exhibited.
  • the content of the water-soluble aromatic compound is 10% by mass or less, precipitation of the compound and the like with time can be suppressed.
  • a water-soluble aromatic compound may be used individually by 1 type, or may use 2 or more types together. When two or more water-soluble aromatic compounds are used in combination, the total content is preferably within the above range.
  • the content ratio M1 / M2 is preferably 0.05 to 10, 0.1 to 5 is more preferable, and 0.1 to 1 is still more preferable.
  • the content ratio M1 / M2 is 0.1 or more, the metal hard mask and the residue removal property thereof are further improved.
  • the content ratio M1 / M2 is 5 or less, the occurrence of damage to the insulating film can be further suppressed.
  • the treatment liquid of the present invention preferably contains an anticorrosive agent.
  • the anticorrosive is a compound other than the water-soluble aromatic compound.
  • the compound applicable to the definition of the said water-soluble aromatic compound shall be classified into the said water-soluble aromatic compound.
  • An anticorrosive has a function which suppresses that the metal layer used as the wiring of a semiconductor device etc. is etched by a fluorine-containing compound. Anticorrosives are sometimes referred to as corrosion inhibitors.
  • the anticorrosive agent is not particularly limited, and examples thereof include 1,2,4-triazole (TAZ), 5-aminotetrazole (ATA), 5-amino-1,3,4-thiadiazole-2-thiol, 3-amino- 1H-1,2,4 triazole, 3,5-diamino-1,2,4-triazole, tolyltriazole, 3-amino-5-mercapto-1,2,4-triazole, 1-amino-1,2, 4-triazole, 1-amino-1,2,3-triazole, 1-amino-5-methyl-1,2,3-triazole, 3-mercapto-1,2,4-triazole, 3-isopropyl-1, 2,4-triazole, naphthotriazole, 1H-tetrazole-5-acetic acid, 2-mercaptobenzothiazole (2-MBT), 1-phenyl-2-tetrazoline- -Thione, 2-mercaptobenzimidazole (2-MBI), 4-methyl-2-phenylim
  • substituted or unsubstituted benzotriazole as an anticorrosive.
  • Suitable substituted benzotriazoles include, but are not limited to, benzotriazoles substituted with alkyl groups, aryl groups, halogen groups, amino groups, nitro groups, alkoxy groups, or hydroxyl groups.
  • Substituted benzotriazoles include those substituted with one or more aryl groups (eg, phenyl groups) or heteroaryl groups.
  • Benzotriazoles suitable for use as anticorrosives include, but are not limited to, benzotriazole (BTA), 5-aminotetrazole, 1-hydroxybenzotriazole, 5-phenylthiol-benzotriazole, 5-chlorobenzotriazole, 4 -Chlorobenzotriazole, 5-bromobenzotriazole, 4-bromobenzotriazole, 5-fluorobenzotriazole, 4-fluorobenzotriazole, naphthotriazole, tolyltriazole, 5-phenyl-benzotriazole, 5-nitrobenzotriazole, 4- Nitrobenzotriazole, 3-amino-5-mercapto-1,2,4-triazole, 2- (5-amino-pentyl) -benzotriazole, 1-amino-benzotriazole, 5-methyl -1H-benzotriazole (5-MBTA), 4-methylbenzotriazole, 4-ethylbenzotriazole, 5-ethylbenzotri
  • benzotriazole examples include 2,2 ′- ⁇ [(4-methyl-1H-benzotriazol-1-yl) methyl] imino ⁇ bisethanol, 2,2 ′- ⁇ [(5-methyl-1H-benzo Triazol-1-yl) methyl] imino ⁇ bisethanol, 2,2 ′- ⁇ [(4-methyl-1H-benzotriazol-1-yl) methyl] imino ⁇ bisethane, 2,2 ′- ⁇ [(4- Methyl-1H-benzotriazol-1-yl) methyl] imino ⁇ bispropane, N, N-bis (2-ethylhexyl)-(4 or 5) -methyl-1H-benzotriazol-1-methylamine, etc. Can be used.
  • the anticorrosive agent is selected from the group consisting of a compound represented by the following formula (A), a compound represented by the formula (C), and a substituted or unsubstituted tetrazole from the viewpoint of further improving the corrosion resistance. It is preferable to use at least one kind.
  • R 1A to R 5A each independently represents a hydrogen atom, a substituent or an unsubstituted hydrocarbon group, a hydroxyl group, a carboxy group, or a substituted or unsubstituted amino group. However, the structure contains at least one group selected from a hydroxyl group, a carboxy group, and a substituted or unsubstituted amino group.
  • R 1C, R 2C and R N are each independently represents a hydrogen atom or a substituent or unsubstituted hydrocarbon group. R 1C and R 2C may be bonded to form a ring.
  • the hydrocarbons represented by R 1A to R 5A are alkyl groups (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, particularly preferably 1 to 3 carbon atoms), alkenyl groups (carbon number carbon atoms). 2 to 12 are preferable, 2 to 6 are more preferable), an alkynyl group (2 to 12 carbon atoms is preferable, and 2 to 6 are more preferable), an aryl group (6 to 22 carbon atoms are preferable, and 6 to 14 are more preferable) 6 to 10 are particularly preferred) and aralkyl groups (preferably having 7 to 23 carbon atoms, more preferably 7 to 15 carbon atoms, and particularly preferably 7 to 11 carbon atoms).
  • substituents examples include a hydroxyl group, a carboxy group, and a substituted or unsubstituted amino group (the substituent is preferably an alkyl group having 1 to 6 carbon atoms, more preferably an alkyl group having 1 to 3 carbon atoms). Is mentioned.
  • a hydroxyl group, a carboxy group, and a substituted or unsubstituted amino group in the structure, a hydroxyl group, a carboxy group, and a substituted or unsubstituted amino group (the substituent is preferably an alkyl group having 1 to 6 carbon atoms, preferably an alkyl group having 1 to 3 carbon atoms). At least one group selected from (preferably).
  • examples of the substituted or unsubstituted hydrocarbon group represented by R 1A to R 5A include a hydrocarbon having 1 to 6 carbon atoms substituted with a hydroxyl group, a carboxy group, and an amino group. Groups and the like.
  • examples of the compound represented by the formula (A) include 1-thioglycerol, L-cysteine, thiomalic acid, and the like.
  • R 1C the hydrocarbon group or a substituent represented by R 2C and R N, respectively a hydrocarbon group or a substituent represented by R 1A ⁇ R 5A of formula (A) described above synonymous It is.
  • R 1C examples of the substituted or unsubstituted hydrocarbon group represented by R 2C and R N, e.g., a methyl group, an ethyl group, a propyl group, and, a hydrocarbon group having 1 to 6 carbon atoms such as butyl group Can be mentioned.
  • R 1C and R 2C may be combined to form a ring, and examples thereof include a benzene ring.
  • R 1C and R 2C are combined to form a ring, it may further have a substituent (for example, a hydrocarbon group having 1 to 5 carbon atoms).
  • substituent for example, a hydrocarbon group having 1 to 5 carbon atoms.
  • Examples of the compound represented by the formula (C) include 1H-1,2,3-triazole, benzotriazole, and 5-methyl-1H-benzotriazole.
  • substituted or unsubstituted tetrazole for example, unsubstituted tetrazole, and a hydroxyl group, a carboxyl group, or a substituted or unsubstituted amino group as a substituent (the substituent is preferably an alkyl group having 1 to 6 carbon atoms). And tetrazole having 1 to 3 alkyl groups are more preferable.
  • the content of the anticorrosive agent in the treatment liquid is preferably 0.01 to 5% by mass, more preferably 0.05 to 5% by mass, and further preferably 0.1 to 3% by mass with respect to the total mass of the treatment liquid.
  • Anticorrosives may be used alone or in combination of two or more. When two or more anticorrosives are used in combination, the total amount is preferably within the above range.
  • the treatment liquid of the present invention preferably contains a boron-containing compound.
  • the boron-containing compound has a function of suppressing etching of the metal layer (particularly Co and Cu) by the fluorine-containing compound.
  • Examples of the boron-containing compound include boric acid, monophenyl borate, triphenyl borate, boron oxide, boron chloride, and methyl borate. From the viewpoint of further exerting the above functions, boric acid or boric acid mono Phenyl is preferred and boric acid is more preferred.
  • the content of the boron-containing compound in the treatment liquid is preferably 0.01 to 5% by mass, more preferably 0.05 to 5% by mass, and 0.1 to 3% by mass with respect to the total mass of the treatment liquid. Further preferred.
  • the said function is exhibited more because content of a boron-containing compound is 0.01 mass% or more.
  • the boron-containing compounds may be used alone or in combination of two or more. When two or more boron-containing compounds are used in combination, the total amount is preferably within the above range.
  • the treatment liquid of the present invention preferably contains metal ions.
  • the metal ion has a function of suppressing etching by the fluorine-containing compound on the metal layer (particularly Al) and the etching stopper layer (particularly AlOx, x is 1 to 3).
  • the metal ions are ion-bonded to the fluorine-containing compound (F ⁇ ) in the processing solution attached to the surfaces of the metal layer (particularly Al) and the etching stopper layer (particularly AlOx), and the metal layer and the etching are performed. It functions well as a protective layer on the surface of the stop layer.
  • the metal ion is preferably a divalent or higher-valent metal ion, more preferably at least one selected from the group consisting of an alkaline earth metal ion and an Al ion, from the viewpoint that the above-described functions are more exerted, Sr ion, Ba More preferred is at least one selected from the group consisting of ions and Al ions.
  • the content of metal ions in the treatment liquid is preferably 0.0005 to 2% by mass, more preferably 0.001 to 1.5% by mass, and 0.01 to 1% by mass with respect to the total mass of the treatment liquid. Is more preferable.
  • the function mentioned above is exhibited more because content of a metal ion is in the said range.
  • Metal ions may be used alone or in combination of two or more. When two or more metal ions are used in combination, the total amount is preferably within the above range.
  • a metal ion may be mix
  • the compounding amount of the metal salt in the treatment liquid is preferably 0.001 to 3% by mass, preferably 0.01 to 3% by mass, and 0.05 to 3% by mass with respect to the total mass of the treatment liquid. Is more preferable, and 0.1 to 3% by mass is even more preferable.
  • the function mentioned above is exhibited more because content of a metal ion is in the said range.
  • the treatment liquid of the present invention preferably contains an anionic polymer.
  • the anionic polymer has a function of suppressing etching by a fluorine-containing compound on the metal layer (particularly Al) and the etching stopper layer (particularly AlOx, x is 1 to 3).
  • the functions of the respective components act synergistically and the functions are more remarkably exhibited.
  • the metal ions are ion-bonded to the fluorine-containing compound (F ⁇ ) in the treatment liquid attached to the surfaces of the metal layer (particularly Al) and the etching stopper layer (particularly AlOx).
  • This metal ion is ionically bonded to the anionic polymer. That is, since two layers of a metal ion layer and an anionic polymer layer are formed on the metal layer and the etching stopper layer, the etching with the fluorine-containing compound on the metal layer and the etching stopper layer is more effectively suppressed. Presumed to be possible.
  • the anionic polymer is preferably a polymer having an anionic group or a salt thereof.
  • the anionic group include a carboxy group, a sulfonic acid group, and a phosphoric acid group, and a carboxy group is preferable.
  • Specific examples of the anionic polymer include polyacrylic acid, polymethacrylic acid, polyitaconic acid, polymaleic acid, polyfumaric acid, polyaspartic acid, polyglutamic acid, polystyrene sulfonic acid, polyacrylamide methylpropane sulfonic acid, and polyphosphoric acid.
  • polyacrylic acid polymethacrylic acid, polystyrene sulfonic acid, and polyphosphoric acid, and salts thereof are preferable, and polyacrylic acid and salts thereof are more preferable.
  • polyacrylic acid is more preferable.
  • the weight average molecular weight of the anionic polymer is preferably from 500 to 150,000, more preferably from 2,000 to 100,000, and even more preferably from 3,000 to 50,000. The said function is exhibited more because the weight average molecular weight of an anionic polymer exists in the said range.
  • the weight average molecular weight (Mw) of each component in the present invention is determined by a standard polystyrene equivalent value measured by a GPC (gel permeation chromatography) method.
  • the weight average molecular weight is measured by the GPC method by dissolving each component in THF (Tetrahydrofuran) and using a high-speed GPC (HLC-8220 GPC, manufactured by Tosoh Corporation), and using TSKgel SuperHZ4000 (manufactured by TOSOH, 4 .6 mm ID ⁇ 15 cm) and THF as the eluent.
  • THF Tetrahydrofuran
  • HSC-8220 GPC high-speed GPC
  • TSKgel SuperHZ4000 manufactured by TOSOH, 4 .6 mm ID ⁇ 15 cm
  • the content of the anionic polymer in the treatment liquid is preferably 0.01 to 10% by mass, more preferably 0.05 to 5% by mass, and more preferably 0.1 to 5% by mass with respect to the total mass of the treatment liquid. Further preferred.
  • the function mentioned above is exhibited more because content of an anionic polymer is in the said range.
  • Anionic polymers may be used alone or in combination of two or more. When two or more types of anionic polymers are used in combination, the total amount is preferably within the above range.
  • the treatment liquid of the present invention preferably contains an organic solvent.
  • an organic solvent By containing the organic solvent, the effect of preventing corrosion of the insulating film or the like can be further improved.
  • Any known organic solvent can be used as the organic solvent, but a hydrophilic organic solvent is preferred.
  • the hydrophilic organic solvent means an organic solvent that can be uniformly mixed with water at any ratio. Specific examples of the hydrophilic organic solvent include a water-soluble alcohol solvent, a water-soluble ketone solvent, a water-soluble ester solvent, a water-soluble ether solvent (for example, glycol diether), a sulfone solvent, and a sulfoxide solvent. , Nitrile solvents, amide solvents and the like, and any of these can be used to obtain the desired effect of the present application.
  • water-soluble alcohol solvent examples include alkane diol (for example, including alkylene glycol), alkoxy alcohol (for example, including glycol monoether), saturated aliphatic monohydric alcohol, unsaturated non-aromatic monohydric alcohol, and And low molecular weight alcohol containing a ring structure.
  • alkanediol examples include glycol, 2-methyl-1,3-propanediol, 1,3-propanediol, 2,2-dimethyl-1,3-propanediol, 1,4-butanediol, 1,3 -Butanediol, 1,2-butanediol, 2,3-butanediol, pinacol, alkylene glycol and the like.
  • alkylene glycol examples include ethylene glycol, propylene glycol, hexylene glycol, diethylene glycol, dipropylene glycol, triethylene glycol, and tetraethylene glycol.
  • alkoxy alcohol examples include 3-methoxy-3-methyl-1-butanol, 3-methoxy-1-butanol, 1-methoxy-2-butanol and glycol monoether.
  • glycol monoether examples include ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, ethylene glycol mono n-propyl ether, ethylene glycol monoisopropyl ether, ethylene glycol mono n-butyl ether, diethylene glycol monomethyl ether, diethylene glycol monoethyl ether, diethylene glycol.
  • Monobutyl ether triethylene glycol monomethyl ether, triethylene glycol monoethyl ether, triethylene glycol monobutyl ether, 1-methoxy-2-propanol, 2-methoxy-1-propanol, 1-ethoxy-2-propanol, 2-ethoxy- 1-propanol, propylene glycol mono-n-propyl ether , Dipropylene glycol monomethyl ether, dipropylene glycol monoethyl ether, dipropylene glycol mono-n-propyl ether, tripropylene glycol monoethyl ether, tripropylene glycol monomethyl ether and ethylene glycol monobenzyl ether and diethylene glycol monobenzyl ether It is done.
  • saturated aliphatic monohydric alcohols include methanol, ethanol, n-propyl alcohol, isopropyl alcohol, 1-butanol, 2-butanol, isobutyl alcohol, tert-butyl alcohol, 2-pentanol, t-pentyl alcohol, and 1-hexanol and the like.
  • Examples of the unsaturated non-aromatic monohydric alcohol include allyl alcohol, propargyl alcohol, 2-butenyl alcohol, 3-butenyl alcohol, and 4-penten-2-ol.
  • Examples of the low molecular weight alcohol containing a ring structure include tetrahydrofurfuryl alcohol, furfuryl alcohol, 1,3-cyclopentanediol, and the like.
  • water-soluble ketone solvents include acetone, propanone, cyclobutanone, cyclopentanone, cyclohexanone, diacetone alcohol, 2-butanone, 5-hexanedione, 1,4-cyclohexanedione, 3-hydroxyacetophenone, 1,3 -Cyclohexanedione, cyclohexanone and the like.
  • water-soluble ester solvent examples include glycol monoesters such as ethyl acetate, ethylene glycol monoacetate, diethylene glycol monoacetate, propylene glycol monomethyl ether acetate, ethylene glycol monomethyl ether acetate, propylene glycol monoethyl ether acetate.
  • glycol monoether monoesters such as tartar and ethylene glycol monoethyl ether acetate.
  • ethylene glycol monobutyl ether, tri (propylene glycol) methyl ether, and diethylene glycol monoethyl ether are preferable.
  • sulfone solvent examples include sulfolane, 3-methylsulfolane, and 2,4-dimethylsulfolane.
  • sulfoxide solvent examples include dimethyl sulfoxide and the like.
  • nitrile solvents examples include acetonitrile.
  • amide solvents include N, N-dimethylformamide, 1-methyl-2-pyrrolidone, 2-pyrrolidinone, 1,3-dimethyl-2-imidazolidinone, 2-pyrrolidinone, ⁇ -caprolactam, formamide, and N-methyl.
  • Examples include formamide, acetamide, N-methylacetamide, N, N-dimethylacetamide, N-methylpropanamide, and hexamethylphosphoric triamide.
  • hydrophilic organic solvents water-soluble alcohol solvents, sulfone solvents, amide solvents, and sulfoxide solvents are preferred from the viewpoint of further improving the corrosion prevention effect, and water-soluble alcohol solvents and sulfoxide solvents are preferred.
  • a solvent is more preferable, and a water-soluble alcohol solvent is more preferable.
  • the content of the organic solvent in the treatment liquid is preferably 1 to 50% by mass, more preferably 5 to 30% by mass, and further preferably 5 to 20% by mass with respect to the total mass of the treatment liquid.
  • the content of the organic solvent is in the range of 5 to 30% by mass, the cleaning performance of the etching residue and the corrosion resistance (corrosion performance) for the second and third layers described later are further improved.
  • the organic solvents may be used alone or in combination of two or more. When two or more organic solvents are used in combination, the total amount is preferably within the above range.
  • the organic solvent it is preferable to use a high-purity organic solvent having a reduced content of metal ions, and it is more preferable to use the organic solvent after further purification.
  • a purification method Well-known methods, such as filtration, ion exchange, distillation, adsorption purification, recrystallization, reprecipitation, sublimation, and purification using a column, can be used, and these are applied combining.
  • the organic solvent in which the content of metal ions is reduced can also be used in each embodiment of the present invention. For example, in the production of a kit or a concentrated solution described later, an apparatus in production, and a container cleaning application. Can also be suitably used.
  • the treatment liquid of the present invention preferably further contains water.
  • the water is not particularly limited, but it is preferable to use ultrapure water used in semiconductor manufacturing, and it is more preferable to use water that has been further purified to reduce inorganic anions and metal ions.
  • the purification method is not particularly limited, but purification using a filtration membrane or ion exchange membrane and purification by distillation are preferred. Further, for example, it is preferable to perform purification by the method described in JP-A-2007-254168.
  • the content of water in the treatment liquid is preferably 50% by mass or more, more preferably 50 to 99% by mass, and further preferably 60 to 95% by mass with respect to the total mass of the treatment liquid. If the water content is 50% by mass or more, the metal hard mask and its residue can be further removed.
  • the treatment liquid of the present invention preferably contains an anionic surfactant.
  • the anionic surfactant has a function of suppressing etching of the metal layer (particularly Co and Cu) by the fluorine-containing compound.
  • Anionic surfactants include coconut fatty acid salt, castor sulfated oil salt, lauryl sulfate salt, polyoxyalkylene allyl phenyl ether sulfate salt, alkylbenzene sulfonic acid, alkylbenzene sulfonate, alkyl diphenyl ether disulfonate, alkylnaphthalene sulfonic acid Salts, dialkyl sulfosuccinate salts, isopropyl phosphate, polyoxyethylene alkyl ether phosphate salts, polyoxyethylene allyl phenyl ether phosphate salts, and the like.
  • the treatment liquid of the present invention preferably contains substantially no oxidizing agent. This further improves the ability to suppress corrosion damage to the metal.
  • Constaining substantially no oxidizing agent specifically means that the content of the oxidizing agent in the treatment liquid is 1% by mass or less, preferably 0.5% by mass or less, and 0.3% by mass. The following is more preferable, and 0% by mass is further preferable.
  • Specific examples of the oxidizing agent include nitric acid and hydrogen peroxide, and it is more preferable that the treatment liquid of the present invention does not substantially contain nitric acid.
  • the treatment liquid of the present invention may contain additives other than those described above.
  • additives include chelating agents and pH adjusters.
  • the chelating agent chelates with the oxidized metal contained in the residue. For this reason, the recyclability of a processing liquid improves by adding a chelating agent.
  • a chelating agent It does not specifically limit as a chelating agent, It is preferable that it is polyamino polycarboxylic acid.
  • the polyaminopolycarboxylic acid is a compound having a plurality of amino groups and a plurality of carboxylic acid groups.
  • mono-alkylene polyamine polycarboxylic acid for example, mono-alkylene polyamine polycarboxylic acid, polyalkylene polyamine polycarboxylic acid, polyaminoalkane polycarboxylic acid, polyaminoalkanol polycarboxylic acid And hydroxyalkyl ether polyamine polycarboxylic acids.
  • Suitable polyaminopolycarboxylic acid chelating agents include, for example, butylenediamine tetraacetic acid, diethylenetriaminepentaacetic acid (DTPA), ethylenediaminetetrapropionic acid, triethylenetetraminehexaacetic acid, 1,3-diamino-2-hydroxypropane-N, N , N ′, N′-tetraacetic acid, propylenediaminetetraacetic acid, ethylenediaminetetraacetic acid (EDTA), trans-1,2-diaminocyclohexanetetraacetic acid, ethylenediaminediacetic acid, ethylenediaminedipropionic acid, 1,6-hexamethylene-diamine -N, N, N ', N'-tetraacetic acid, N, N-bis (2-hydroxybenzyl) ethylenediamine-N, N-diacetic acid, diaminopropanetetraacetic acid,
  • the content of the chelating agent in the treatment liquid is preferably 0.01 to 5% by mass, more preferably 0.01 to 3% by mass with respect to the total mass of the treatment liquid.
  • Chelating agents may be used alone or in combination of two or more. When two or more chelating agents are used in combination, the total amount is preferably within the above range.
  • the treatment liquid of the present invention may contain a pH adjuster.
  • a pH adjuster when the component contained in the process liquid mentioned above and the component which can be contained and the specific example of the pH adjuster mentioned later overlap, the overlapping component has a function as a pH adjuster with the above-mentioned function. It may be.
  • a quaternary ammonium salt such as choline, an alkali hydroxide or alkaline earth salt such as potassium hydroxide, or an amino compound such as 2-aminoethanol or guanidine can be used to raise the pH. .
  • metal ions such as ammonium hydroxide, choline compounds, monoamines, imines (eg, 1,8-diazabicyclo [5.4.0] undecane-7 -Ene (diazabicycloundecene), 1,5-diazabicyclo [4.3.0] non-5-ene), 1,4-diazabicyclo [2.2.2] octane, guanidine salts (eg guanidine carbonate ), Hydroxylamine, hydroxylamine salts and the like, and any of these can be used to obtain the desired effect of the present application.
  • metal ions such as ammonium hydroxide, choline compounds, monoamines, imines (eg, 1,8-diazabicyclo [5.4.0] undecane-7 -Ene (diazabicycloundecene), 1,5-diazabicyclo [4.3.0] non-5-ene), 1,4-diazabicyclo [2.2.2] octane, guan
  • ammonium hydroxide ammonium hydroxide, imines (for example, 1,8-diazabicyclo [5.4.0] undecan-7-ene, 1,5-diazabicyclo [4.3.0] non-5-ene), hydroxyl Amines and hydroxylamine salts are preferable from the viewpoint of remarkably obtaining the desired effect of the present application.
  • inorganic acids and organic acids such as carboxylic acids and organic sulfuric acids can be used.
  • the inorganic acid include hydrochloric acid, sulfuric acid, hydrofluoric acid, carbonic acid, hypophosphorous acid, phosphorous acid, phosphoric acid and the like.
  • carboxylic acid examples include formic acid, acetic acid, propionic acid, butyric acid, valeric acid, 2-methylbutyric acid, n-hexanoic acid, 3,3-dimethylbutyric acid, 2-ethylbutyric acid, 4-methylpentanoic acid, n- Heptanoic acid, 2-methylhexanoic acid, n-octanoic acid, 2-ethylhexanoic acid, benzoic acid, glycolic acid, salicylic acid, glyceric acid, oxalic acid, glutaric acid, adipic acid, pimelic acid, maleic acid, phthalic acid, apple Examples thereof include acid, tartaric acid, lactic acid, diglycolic acid, 2-furancarboxylic acid, 2,5-furandicarboxylic acid, 3-furancarboxylic acid, 2-tetrahydrofurancarboxylic acid, methoxyacetic acid, methoxyphenylacetic acid
  • organic sulfuric acid examples include methanesulfonic acid, ethanesulfonic acid, isethionic acid and the like.
  • the pH adjusters may be used alone or in appropriate combination of two or more.
  • the content of the pH adjusting agent is not particularly limited, and may be determined as appropriate so that the pH of the treatment liquid is in the above-described range, for example.
  • examples of other additives include antifoaming agents, rust preventives, and preservatives.
  • the treatment liquid of the present invention preferably contains substantially no coarse particles.
  • Coarse particles refer to particles having a diameter of 0.2 ⁇ m or more, for example, when the shape of the particles is regarded as a sphere.
  • the fact that coarse particles are not substantially included means that particles having a diameter of 0.2 ⁇ m or more in 1 mL of the treatment liquid are measured when a treatment liquid is measured using a commercially available measuring device of a light scattering type in-liquid particle measurement method. It means 10 or less.
  • the coarse particles contained in the treatment liquid are particles such as dust, dust, organic solids and inorganic solids contained as impurities in the raw material, and dust, dust, Examples of the particles include organic solids and inorganic solids, which finally exist as particles without being dissolved in the treatment liquid.
  • the amount of coarse particles present in the treatment liquid can be measured in a liquid phase using a commercially available measuring apparatus in a light scattering type in-liquid particle measurement method using a laser as a light source. Examples of the method for removing coarse particles include processing such as filtering described later.
  • the processing liquid of the present invention is a processing liquid for semiconductor devices.
  • “for a semiconductor device” means used in the manufacture of a semiconductor device.
  • the treatment liquid of the present invention can be used in any process for manufacturing a semiconductor device in addition to removal of a metal hard mask and removal of etching residues.
  • the treatment liquid may be a pre-wet liquid, a permanent film (for example, a color filter, a transparent insulating film, a resin lens), or the like used to remove the semiconductor substrate from the semiconductor substrate (for example, a removal liquid and a stripping liquid).
  • a pCMP after chemical mechanical polishing
  • the treatment liquid of the present invention is preferably used for the treatment of a laminated body for a semiconductor device from the viewpoint that the above-described effects of the present invention are more exhibited.
  • the stacked body includes a substrate, a second layer formed on the substrate, and a first layer formed on the second layer.
  • the second layer is made of a material containing SiOx, SiOC, SiN and SiON, and the first layer is made of a material different from that of the second layer.
  • the first layer preferably contains at least one material of TiN, TiOx, and ZrOx.
  • the first layer is preferably a metal hard mask.
  • the second layer is preferably an interlayer insulating film.
  • the laminate includes a third layer between the substrate and the second layer, and the third layer is a metal including at least one material selected from the group consisting of W, Co, Cu, and Al. It is preferable that The third layer is preferably a metal layer (wiring).
  • the substrate, the first layer, the second layer, and the third layer will be described in detail in “Laminated body processing method” described later.
  • the removal rate ratio ER1 / ER2 is preferably 0.5 to 1000, 0.8 to 800 is more preferable, and 1 to 500 is more preferable.
  • the removal speed ratio ER1 / ER2 is within the above range, the above-described effects of the present invention are more exhibited.
  • the selection of pH is very important.
  • the pH of the treatment liquid of the present invention is 5 or less, preferably 1 to 5, more preferably 2 to 5, and still more preferably 2 to 4.
  • the pH of the treatment liquid can be measured using a known pH meter.
  • the treatment liquid of the present invention may be a kit obtained by dividing the raw material into a plurality of parts. Moreover, you may prepare a process liquid as a concentrate. In this case, it can be used by diluting with water and / or an organic solvent at the time of use.
  • the treatment liquid of the present invention is a kit or a concentrated liquid, it can be stored, transported and used in any container as long as corrosivity does not become a problem.
  • a container having a high cleanliness in the container and little impurity elution is preferable for semiconductor applications.
  • the containers that can be used include, but are not limited to, “Clean Bottle” series manufactured by Aicero Chemical Co., Ltd., “Pure Bottle” manufactured by Kodama Resin Co., Ltd., and the like.
  • the inner wall of the container has one or more kinds of resins selected from the group consisting of polyethylene resin, polypropylene resin, and polyethylene-polypropylene resin, and other resins, or stainless steel, hastelloy, inconel, monel, etc. It is preferably formed from a metal that has been subjected to a metal elution prevention treatment.
  • a fluororesin perfluoro resin
  • a fluororesin perfluoro resin
  • a container whose inner wall is made of a fluorine-based resin elution of an oligomer of ethylene or propylene is used compared to the case where a container whose inner wall is made of polyethylene resin, polypropylene resin, or polyethylene-polypropylene resin.
  • the occurrence of defects can be suppressed.
  • a FluoroPure PFA composite drum manufactured by Entegris may be mentioned. Also described on page 4 of Japanese Patent Publication No. 3-502677, page 3 of International Publication No. 2004/016526, page 9 and page 16 of International Publication No. 99/46309, etc. These containers can also be used.
  • quartz and electropolished metal material are also preferably used for the inner wall of the container.
  • the metal material used for producing the electropolished metal material contains at least one selected from the group consisting of chromium and nickel, and the total content of chromium and nickel is 25 with respect to the total mass of the metal material.
  • a metal material exceeding mass% is preferable, and examples thereof include stainless steel and nickel-chromium alloy.
  • the total content of chromium and nickel in the metal material is preferably 25% by mass or more, and more preferably 30% by mass or more with respect to the total mass of the metal material.
  • the upper limit of the total content of chromium and nickel in the metal material is not particularly limited, but is generally preferably 90% by mass or less.
  • Stainless steel is not particularly limited, and known stainless steel can be used. Especially, the alloy containing 8 mass% or more of nickel is preferable, and the austenitic stainless steel containing 8 mass% or more of nickel is more preferable.
  • austenitic stainless steel for example, SUS (Steel Use Stainless) 304 (Ni content 8 mass%, Cr content 18 mass%), SUS304L (Ni content 9 mass%, Cr content 18 mass%), SUS316 ( Ni content 10 mass%, Cr content 16 mass%), SUS316L (Ni content 12 mass%, Cr content 16 mass%), etc. are mentioned.
  • the nickel-chromium alloy is not particularly limited, and a known nickel-chromium alloy can be used. Among these, a nickel-chromium alloy having a nickel content of 40 to 75% by mass and a chromium content of 1 to 30% by mass is preferable.
  • the nickel-chromium alloy include Hastelloy (trade name, the same applies hereinafter), Monel (trade name, the same applies hereinafter), Inconel (product name, the same applies hereinafter), and the like. More specifically, Hastelloy C-276 (Ni content 63 mass%, Cr content 16 mass%), Hastelloy-C (Ni content 60 mass%, Cr content 17 mass%), Hastelloy C-22 ( Ni content 61 mass%, Cr content 22 mass%) etc. are mentioned. Further, the nickel-chromium alloy may further contain boron, silicon, tungsten, molybdenum, copper, cobalt, and the like in addition to the above-described alloy as necessary.
  • the method for electropolishing the metal material is not particularly limited, and a known method can be used.
  • a known method can be used.
  • the methods described in paragraphs ⁇ 0011>- ⁇ 0014> of JP-A-2015-227501 and paragraphs ⁇ 0036>- ⁇ 0042> of JP-A-2008-264929 can be used.
  • the metal material is electropolished so that the chromium content in the passive layer on the surface is higher than the chromium content in the parent phase. Therefore, from the inner wall covered with the electropolished metal material, it is difficult for the metal element to flow into the treatment liquid. Therefore, a chemical solution for semiconductor with a low content of specific metal elements such as Ca atom, Fe atom and Na atom is used. It is speculated that it can be obtained.
  • the metal material is preferably buffed.
  • the buffing method is not particularly limited, and a known method can be used.
  • the size of the abrasive grains used for buffing finishing is not particularly limited, but is preferably # 400 or less in that the unevenness on the surface of the metal material tends to be smaller.
  • the buffing is preferably performed before the electrolytic polishing.
  • the metal material may be processed by combining one or two or more of buff polishing, acid cleaning, magnetic fluid polishing, and the like performed in different stages such as the size of the abrasive grains. .
  • what has the said container and the said process liquid accommodated in this container may be called a process liquid container.
  • the liquid may be appropriately selected according to the use, but includes at least one of the treatment liquid of the present invention itself, a dilution of the treatment liquid of the present invention, or a component added to the treatment liquid of the present invention. If it is a liquid, the effect of the present invention is remarkably obtained.
  • the treatment liquid of the present invention may be bottled, transported and stored in a container such as a gallon bottle or a coated bottle after production.
  • the inside of the container may be replaced with an inert gas (such as nitrogen or argon) having a purity of 99.99995 volume% or more.
  • an inert gas such as nitrogen or argon
  • a gas having a low moisture content is preferable.
  • the temperature may be normal temperature, but the temperature may be controlled in the range of ⁇ 20 ° C. to 20 ° C. in order to prevent deterioration.
  • the clean room preferably satisfies the ISO (International Organization for Standardization) 14644-1 clean room standards. It is preferable to satisfy any of ISO class 1, ISO class 2, ISO class 3, and ISO class 4, more preferably ISO class 1 or ISO class 2, and even more preferably ISO class 1.
  • ISO International Organization for Standardization
  • the treatment liquid of the present invention is preferably filtered in order to remove foreign substances, coarse particles, and the like.
  • the filter used for filtering can be used without particular limitation as long as it has been conventionally used for filtering.
  • the material constituting the filter include a fluorine resin such as PTFE (polytetrafluoroethylene), a polyamide resin such as nylon, and a polyolefin resin such as polyethylene and polypropylene (PP) (high density, ultra high molecular weight). Included).
  • a fluorine resin such as PTFE (polytetrafluoroethylene), a polyamide resin such as nylon, and a polyolefin resin such as polyethylene and polypropylene (PP) (high density, ultra high molecular weight). Included).
  • polyamide-based resin, PTFE, and polypropylene are preferable, and by using a filter formed of these materials, a highly polar foreign substance that easily causes residue defects and particle defects. Can be removed more effectively.
  • the lower limit is preferably 70 mN / m or more, and the upper limit is preferably 95 mN / m or less.
  • the critical surface tension of the filter is preferably 75 mN / m or more and 85 mN / m or less.
  • the value of critical surface tension is a manufacturer's nominal value.
  • the pore diameter of the filter is preferably about 0.001 to 1.0 ⁇ m, more preferably about 0.02 to 0.5 ⁇ m, and further preferably about 0.01 to 0.1 ⁇ m.
  • the filtering by the first filter may be performed only once or may be performed twice or more.
  • the filters may be of the same type or of different types, but of different types. It is preferable.
  • the first filter and the second filter are preferably different in at least one of the hole diameter and the constituent material. It is preferable that the second and subsequent hole diameters are the same or smaller than the first filtering hole diameter.
  • the pore diameter here can refer to the nominal value of the filter manufacturer.
  • the commercially available filter can be selected from various filters provided by, for example, Nippon Pole Co., Ltd., Advantech Toyo Co., Ltd., Nihon Entegris Co., Ltd. (former Nihon Microlith Co., Ltd.), KITZ Micro Filter Co., Ltd. or the like.
  • P-nylon filter (pore size 0.02 ⁇ m, critical surface tension 77 mN / m) made of polyamide; (manufactured by Nippon Pole Co., Ltd.), “PE / clean filter (pore size 0.02 ⁇ m)” made of high-density polyethylene; (Manufactured by Nippon Pole Co., Ltd.) and “PE / clean filter (pore diameter 0.01 ⁇ m)” (made by Nippon Pole Co., Ltd.) made of high-density polyethylene can also be used.
  • the second filter a filter formed of the same material as the first filter described above can be used.
  • the thing with the same hole diameter as the 1st filter mentioned above can be used.
  • the ratio of the second filter hole diameter to the first filter hole diameter Is preferably from 0.01 to 0.99, more preferably from 0.1 to 0.9, and even more preferably from 0.3 to 0.9.
  • the filtering with the first filter is performed with a mixed liquid containing a part of the components of the processing liquid, the remaining components are mixed with this to prepare the processing liquid, and then the filtering with the second filter is performed. May be performed.
  • the filter to be used is treated before the treatment liquid is filtered.
  • the liquid used for this treatment is not particularly limited, but the treatment liquid itself of the present invention, a liquid obtained by diluting the treatment liquid of the present invention, or a liquid containing a component contained in the treatment liquid is desired in the present application. The effect is remarkably obtained.
  • the upper limit of the temperature during filtering is preferably room temperature (25 ° C.) or less, more preferably 23 ° C. or less, and even more preferably 20 ° C. or less. Moreover, 0 degreeC or more is preferable, as for the lower limit of the temperature at the time of filtering, 5 degreeC or more is more preferable, and 10 degreeC or more is further more preferable. Filtering can remove particulate foreign matter and impurities, but if performed at the above temperature, the amount of particulate foreign matter and impurities dissolved in the treatment liquid is reduced, so filtering is performed more efficiently. .
  • a method for processing a laminate according to the present invention is for a semiconductor device comprising a substrate, a second layer formed on the substrate, and a first layer formed on the second layer, using the processing liquid. It has processing process B which performs processing of a layered product.
  • the processing method of the laminated body of this invention may have the process liquid preparation process A which prepares the said process liquid before the process process B.
  • FIG. In the following description of the laminate processing method, a case where the treatment liquid preparation step A is performed before the treatment step B is shown as an example. However, the present invention is not limited to this, and the laminate treatment method of the present invention is performed in advance. It may be performed using the prepared treatment liquid.
  • the processing method of the laminated body of this invention uses the processing liquid mentioned above, it is excellent in the etching property of a 1st layer (metal hard mask), and can suppress the etching of a 2nd layer (insulating layer).
  • a laminate that is a processing target includes a substrate, a second layer formed on the substrate, and a first layer formed on the second layer.
  • the laminate preferably includes a third layer between the substrate and the second layer.
  • such a laminate includes a substrate, a metal layer (corresponding to the third layer), an interlayer insulating film (corresponding to the second layer), and a metal hard mask (corresponding to the first layer).
  • a laminate for a semiconductor device provided in this order can be given. It is preferable that the laminate further has a hole formed from the surface (opening) of the metal hard mask toward the substrate so as to expose the surface of the metal layer through a dry etching process or the like.
  • the manufacturing method of the laminated body having holes as described above is not particularly limited, the laminated body before processing having a substrate, a metal layer, an interlayer insulating film, and a metal hard mask in this order is usually used.
  • a method of providing a hole penetrating through the metal hard mask and the interlayer insulating film by performing a dry etching process using the metal hard mask as a mask and etching the interlayer insulating film so that the surface of the metal layer is exposed Is mentioned.
  • the method for manufacturing the metal hard mask is not particularly limited. For example, first, a metal hard mask precursor layer containing a predetermined component is formed on the interlayer insulating film, and a resist film having a predetermined pattern is formed thereon. .
  • the laminated body may have layers other than the above-mentioned layer, for example, an etching stop layer, an antireflection layer, etc. are mentioned.
  • FIG. 1 the cross-sectional schematic diagram which shows an example of the laminated body for semiconductor devices which is a process target object is shown.
  • a laminated body 10 shown in FIG. 1 includes a metal layer 2, an etching stop layer 3, an interlayer insulating film 4, and a metal hard mask 5 in this order on a substrate 1, and is placed in a predetermined position after a dry etching process or the like.
  • a hole 6 from which a part of the metal layer 2 is exposed is formed. That is, the laminate 10 shown in FIG. 1 includes the substrate 1, the metal layer 2, the etching stopper layer 3, the interlayer insulating film 4, and the metal hard mask 5 in this order, and the opening of the metal hard mask 5.
  • the inner wall 11 of the hole 6 is composed of a cross-sectional wall 11 a made up of the etching stop layer 3, the interlayer insulating film 4 and the metal hard mask 5, and a bottom wall 11 b made up of the exposed metal layer 2. It is attached.
  • the method for treating a laminate of the present invention can be suitably used for cleaning for removing these dry etching residues 12 and for removing the metal hard mask 5. That is, the etching of the inner wall 11 (for example, the interlayer insulating film 4) of the stacked body can be suppressed while the removal performance of the dry etching residue 12 and the metal hard mask 5 is excellent.
  • the metal hard mask preferably includes at least one material selected from the group consisting of TiN, TiOx, and ZrOx.
  • x is a number represented by 1 to 3.
  • the interlayer insulating film (also referred to as “insulating film” in this specification) is preferably a material having a dielectric constant k of 3.0 or less, and more preferably a material of 2.6 or less.
  • Specific examples of the material for the interlayer insulating film include SiOx, SiON, and SiOC.
  • x is a number represented by 1 to 3.
  • the material of the etching stop layer is not particularly limited. Specific materials for the etching stop layer include Al-containing compounds (for example, AlOx), TEOS (tetraethoxysilane), SiN, SiOC, poly-Si (polycrystalline silicon), and a-Si (amorphous silicon). Etc.), a compound containing Al is preferable, and AlOx is more preferable. Here, x is a number represented by 1 to 3.
  • the wiring material for forming the metal layer preferably contains at least one material selected from the group consisting of W, Co, Cu and Al. Further, these metals may be alloys with other metals.
  • the “substrate” here includes, for example, a single-layer semiconductor substrate and a multi-layer semiconductor substrate.
  • the material constituting the semiconductor substrate composed of a single layer is not particularly limited, and is generally preferably composed of a Group III-V compound such as silicon, silicon germanium, GaAs, or any combination thereof.
  • the structure is not particularly limited. For example, an exposed integrated circuit such as interconnect features such as metal wires and dielectric materials on the above-described semiconductor substrate such as silicon. You may have a structure.
  • Metals and alloys used in the interconnect structure include, but are not limited to, aluminum, aluminum alloyed with copper, copper, titanium, tantalum, cobalt, silicon, titanium nitride, tantalum nitride, and tungsten. It is not a thing. Further, an interlayer dielectric layer, silicon oxide, silicon nitride, silicon carbide, carbon-doped silicon oxide, or the like may be provided on the semiconductor substrate.
  • Treatment liquid preparation step A is a step of preparing the treatment liquid.
  • Each component used in this step is as described above.
  • the procedure in this step is not particularly limited.
  • the treatment is performed by adding a fluorine-containing compound, a water-soluble aromatic compound, and other optional components to a solvent such as water and / or an organic solvent, and stirring and mixing.
  • the method of preparing a liquid is mentioned.
  • the component having a large amount of impurities at the time of the raw material it is preferable to use a component that has been subjected to foreign substance removal by filtering and ion component reduction by ion exchange resin or the like.
  • processing step B In the processing step B, the processing liquid is brought into contact with the laminate. As a result, at least one of cleaning for removing dry etching residue and removal of the metal hard mask (wet etching) is performed.
  • the method of bringing the treatment liquid into contact with the laminate is not particularly limited. For example, the method of immersing the laminate in the treatment liquid in a tank, the method of spraying the treatment liquid on the laminate, and the treatment liquid on the laminate. The method of flowing, or those arbitrary combinations are mentioned.
  • the temperature of the treatment liquid is preferably 90 ° C. or less, more preferably 25 to 80 ° C., further preferably 30 to 75 ° C., and particularly preferably 40 to 65 ° C.
  • the treatment time can be adjusted according to the contact method of the treatment liquid and the temperature of the treatment liquid.
  • the processing time is, for example, within 60 minutes, preferably 1 to 60 minutes, It is more preferably 3 to 20 minutes, and further preferably 4 to 15 minutes.
  • the processing time is, for example, 10 seconds to 5 minutes, preferably 15 seconds to 4 minutes, more preferably 15 seconds to 3 minutes, and more preferably 20 seconds to More preferably, it is 2 minutes.
  • a mechanical stirring method may be used in order to further improve the processing capacity of the processing liquid.
  • the mechanical stirring method include a method of circulating the treatment liquid on the laminate, a method of flowing or spraying the treatment liquid on the laminate, and a method of stirring the treatment liquid using ultrasonic waves or megasonic. It is done.
  • the processing method of the laminated body of this invention may have further the process (rinsing process B2) which rinses a laminated body with a solvent after the process process B.
  • the rinsing step B2 is preferably performed continuously from the processing step B and is a rinsing step with a rinsing solvent (rinsing liquid) for 5 seconds to 5 minutes.
  • the rinsing step B2 may be performed using the mechanical stirring method described above.
  • rinsing solvent examples include deionized water, methanol, ethanol, isopropyl alcohol, N-methylpyrrolidinone, ⁇ -butyrolactone, dimethyl sulfoxide, ethyl lactate, and propylene glycol monomethyl ether acetate, but are not limited thereto. Absent. Or you may utilize the aqueous
  • the rinse solvent is preferably an aqueous ammonium hydroxide solution, deionized water, methanol, ethanol and isopropyl alcohol, more preferably an aqueous ammonium hydroxide solution, deionized water and isopropyl alcohol, and an aqueous ammonium hydroxide solution and deionized water. More preferably it is.
  • a method for bringing the rinse solvent into contact with the laminate the above-described method for bringing the treatment liquid into contact with the laminate can be similarly applied.
  • the temperature of the rinsing solvent in the rinsing step B2 is preferably 16 to 27 ° C. You may use the process liquid mentioned above as a rinse solvent of rinse process B2.
  • the processing method of the laminated body of this invention may have drying process B3 which dries a laminated body after rinse process B2.
  • the drying method is not particularly limited.
  • a drying method for example, a spin drying method, a method of allowing a dry gas to flow over a laminate, a method of heating a substrate by a heating means such as a hot plate or an infrared lamp, a Marangoni drying method, a rotagoni drying method, IPA ( Isopropyl alcohol) drying method, or any combination thereof.
  • the drying time depends on the specific method used, but is generally preferably 30 seconds to several minutes.
  • the processing method of the laminated body of this invention has the coarse particle removal process H which removes the coarse particle in a process liquid, before performing the said process process B.
  • FIG. By reducing or removing coarse particles in the treatment liquid, the amount of coarse particles remaining on the laminate after the treatment step B can be reduced. As a result, pattern damage due to coarse particles on the laminate can be suppressed, and the influence on device yield reduction and reliability reduction can also be suppressed.
  • a specific method for removing coarse particles includes, for example, a method of filtering and purifying the treatment liquid that has undergone the treatment liquid preparation step A using a particle removal membrane having a predetermined particle removal diameter. The definition of coarse particles is as described above.
  • the processing method of the laminated body of this invention it is preferable to implement at least one process of the above-mentioned static elimination process I and static elimination process J, and to reduce the charging potential of a process liquid.
  • the static elimination method include a method of bringing water and / or a treatment liquid into contact with a conductive material.
  • the contact time for bringing water and / or the treatment liquid into contact with the conductive material is preferably 0.001 to 1 second, and more preferably 0.01 to 0.1 second.
  • the resin examples include high density polyethylene (HDPE), high density polypropylene (PP), 6,6-nylon, tetrafluoroethylene (PTFE), a copolymer of tetrafluoroethylene and perfluoroalkyl vinyl ether (PFA). ), Polychlorotrifluoroethylene (PCTFE), ethylene / chlorotrifluoroethylene copolymer (ECTFE), ethylene / tetrafluoroethylene copolymer (ETFE), and tetrafluoroethylene / hexafluoropropylene copolymer Examples include coalescence (FEP). Examples of the conductive material include stainless steel, gold, platinum, diamond, and glassy carbon.
  • the method for treating a laminate using the treatment liquid of the present invention can reuse the drainage of the treatment liquid used in the treatment step B, and can be used for washing other laminates.
  • the processing method of the laminated body of this invention is an aspect which reuses the drainage of a processing liquid, it is preferable to be comprised from the following process.
  • the processing step B is synonymous with the processing step B described in the above-described embodiment, and the same is true for a preferable embodiment.
  • the process D is synonymous with the process B in the aspect mentioned above, and its preferable aspect is also the same.
  • the drainage recovery means in the drainage recovery steps C and E is not particularly limited.
  • the collected waste liquid is preferably stored in the above-described resin container in the above-described static elimination process J, and at this time, a static elimination process similar to that in the static elimination process J may be performed. Moreover, you may provide the process of implementing filtration etc. to the collect
  • the components used for the preparation of the treatment liquids of Examples and Comparative Examples are as follows.
  • HF Hydrogen fluoride (manufactured by Kanto Chemical) ⁇ Water-soluble aromatic compound> Phthalic acid: pKa 2.98 (manufactured by Wako Pure Chemical Industries, Ltd.), 74 g / L (25 ° C.) Phenylphosphonic acid: pKa 1.86 (manufactured by Tokyo Chemical Industry Co., Ltd.), 400 g / L (25 ° C.) p-Toluenesulfonic acid: pKa-2.15 (manufactured by Tokyo Chemical Industry Co., Ltd.), 670 g / L (25 ° C.) Anthranilic acid: pKa 2.00 (manufactured by Tokyo Chemical Industry Co., Ltd.), 4.5 g / L (25 ° C.) Salicylic acid: pKa 2.78 (manufactured by Wako Pure Chemical Industries), 3.3 g / L (25 ° C.
  • Hosten HLP trade name “NIKKOL Phosten HLP” (Nikko Chemicals)
  • anionic surfactant Perex SSL anionic surfactant (trade name, manufactured by Kao Corporation)
  • Perex NBL Anionic surfactant (trade name, manufactured by Kao Corporation)
  • ASK Anionic surfactant (trade name, manufactured by Kao Corporation)
  • Dodecanoic acid Anionic surfactant (manufactured by Wako Pure Chemical Industries, Ltd.)
  • Dodecanedioic acid anionic surfactant (manufactured by Wako Pure Chemical Industries, Ltd.)
  • 5-MBTA 5-methyl-1H-benzotriazole (Wako Pure Chemical Industries, Ltd.)
  • BTA Benzotriazole (manufactured by Wako Pure Chemical Industries, Ltd.)
  • IRGAMET 42 2,2 ′- ⁇ [(4-Methyl-1H-benzotriazol-1-yl) methyl] imino ⁇ bisethanol (BASF)
  • IRGAMET 39 N, N-bis (2-ethylhexyl)-(4 or 5) -methyl-1H-benzotriazole-1-methylamine (manufactured by BASF) Citric acid: (Wako Pure Chemical Industries, Ltd.)
  • PAA polyacrylic acid, weight average molecular weight (Mw) 5000, (manufactured by Wako Pure Chemical Industries, Ltd.), anionic polymer PAA (MW500): polyacrylic acid, weight average molecular weight (Mw) 500, (Wako Pure Chemical Industries, Ltd.) Manufactured by Kogyo Co., Ltd.), anionic polymer PAA (MW 25000): polyacrylic acid, weight average molecular weight (Mw) 25000, (manufactured by Wako Pure Chemical Industries, Ltd.), anionic polymer PAA (MW 150,000): polyacrylic acid, weight average molecular weight ( Mw) 150,000, (manufactured by Wako Pure Chemical Industries, Ltd.), anionic polymer polystyrene sulfonic acid (MW3000): weight average molecular weight (Mw) 3000, (manufactured by Tokyo Chemical Industry Co., Ltd.), anionic polymer polyphospho
  • EGBE ethylene glycol mono-n-butyl ether (manufactured by Wako Pure Chemical Industries, Ltd.)
  • HG Hexylene glycol (Wako Pure Chemical Industries, Ltd.)
  • DEGBE Diethylene glycol monobutyl ether (Wako Pure Chemical Industries, Ltd.)
  • the organic solvent was purified by repeating ion exchange and filter filtration after repeated distillation in a distillation column formed of glass.
  • Water was purified by the method described in Japanese Patent Application Laid-Open No. 2007-254168 and used for the preparation of the treatment liquid.
  • the film thickness of the model film before and after the treatment was measured using an ellipsometry (spectral ellipsometer, trade name “Vase”, manufactured by JA Woollam Japan), a measurement range of 250 to 1000 nm, a measurement angle of 70 degrees, and Measurement was performed under the condition of 75 degrees.
  • ellipsometry spectral ellipsometer, trade name “Vase”, manufactured by JA Woollam Japan
  • Measurement was performed under the condition of 75 degrees.
  • Examples 2-1 to 2-7 ⁇ Preparation of treatment solution> Each component was mixed and stirred so that the total amount of each component shown in Table 2 was 100% by mass to obtain each treatment liquid of Examples 2-1 to 2-7.
  • the components used for the preparation of each treatment liquid are as described above.
  • the pH of each treatment solution of Examples 2-1 to 2-7 was measured in the same manner as in Example 1-1.
  • a third layer (metal layer: Al, W, Co, or Cu), other layers (etching stop layer: AlOx, x is 1 to 3), a second layer (insulating film: SiO 2) , SiON, or SiOC) and a first layer having a predetermined opening (metal hard mask: TiN or ZrOx, x is 1 to 3) in this order (corresponding to a layered body before processing) ) was formed.
  • metal hard mask TiN or ZrOx, x is 1 to 3
  • Corrosion performance was judged according to the degree of corrosion. The evaluation criteria are as follows. A: Corrosion is not observed between different metals B: Partial corrosion is observed between different metals
  • Examples 3-1 to 3-5 The processing solutions of Examples 2-1 to 2-5 were used as the processing solutions of Examples 3-1 to 3-5 in the following tests.
  • A In various evaluations of “PER performance”, “cleaning performance” and “corrosion performance”, the same results as in the first processing were obtained.
  • D In any of the evaluations of “PER performance”, “cleaning performance” and “corrosion performance”, it was greatly inferior to the processing of the first sheet and did not satisfy the performance required in practice.
  • A In various evaluations of “PER performance”, “cleaning performance” and “corrosion performance”, the same results as before the storage of the treatment liquid were obtained.
  • D In any of the evaluations of “PER performance”, “cleaning performance” and “corrosion performance”, the performance was significantly inferior to that before storage of the treatment liquid, and the performance required in practice was not satisfied.
  • Example 3-1 Evaluation was conducted in the same manner as in Example 3-1, except that 8.0% phthalic acid was changed to 5.0% phthalic acid and 3.0% phenylphosphonic acid. Results were obtained.
  • Example 3-1 Evaluation was performed in the same manner as in Example 3-1, except that 5-MBTA 0.25% was changed to 5-MBTA 0.15% and IRGAMET 42 0.1%. was gotten.
  • Example 3-3 evaluation was performed in the same manner except that EGBE 10% was changed to EGBE 5% and DEGBE 5%, and the same results as Example 3-3 were obtained.
  • Example 3-3 evaluation was carried out in the same manner except that PAA (MW5000) 0.5% was changed to PAA (MW5000) 0.4% and polystyrene sulfonic acid (MW3000) 0.1%. The same result as in Example 3-3 was obtained.
  • Example 3-3 Evaluation was made in the same manner as in Example 3-3 except that HF was changed to ammonium fluoride (manufactured by Stella Chemifa Co., Ltd.), and Example 3-3 except that the recycling performance was B. Similar results were obtained. Similar results were obtained for etching performance and PER performance.
  • Example 3-3 Evaluation was made in the same manner as in Example 3-3 except that HF was changed to ammonium hexafluorosilicate (manufactured by Stella Chemifa Co., Ltd.).
  • Example 3 except that the recycling performance was B. Similar results to -3 were obtained. Similar results were obtained for etching performance and PER performance.
  • Example 3-3 Evaluation was performed in the same manner as in Example 3-3 except that HF 1.2% was changed to HF 0.8% and ammonium fluoride 0.4%, and the same result as Example 3-3 was obtained. It was. Similar results were obtained for etching performance and PER performance.

Abstract

本発明の課題は、メタルハードマスクおよびこれの残渣物の除去性に優れつつ、絶縁膜のエッチングを抑制できる処理液、および、積層体の処理方法を提供することである。本発明の処理液は、半導体デバイス用の処理液であって、含フッ素化合物と、複素環基を有さずベンゼン環を有する水溶性芳香族化合物と、を含有し、pHが5以下である。

Description

処理液および積層体の処理方法
 本発明は、半導体デバイス用の処理液、および積層体の処理方法に関する。
 CCD(Charge-Coupled Device)、および、メモリーなどの半導体デバイスは、フォトリソグラフィー技術を用いて、基板上に微細な電子回路パターンを形成して製造される。具体的には、基板と、基板上に形成された配線材料となる金属層と、金属層上に形成されたエッチング停止層と、エッチング停止層上に形成された層間絶縁膜と、層間絶縁膜上に形成されたメタルハードマスクと、を有する積層体に対して、メタルハードマスクをマスクとして用いてドライエッチング工程を実施して、金属層の表面が露出するように各部材をエッチングすることにより、メタルハードマスク、層間絶縁膜およびエッチング停止層内を貫通するホールを設ける方法が挙げられる。
 ドライエッチング工程を経た積層体は、ホールを構成する金属層上および層間絶縁膜上の少なくとも一方において、各部材の残渣物(ドライエッチング残渣物)が付着していることがある。そのため、各部材の残渣物の除去が行われることがある。
 このような残渣物の除去には、含フッ素化合物を含有する処理液が用いられることがあり、例えば特許文献1には、含フッ素化合物およびヘキサフルオロイソプロピルアルコールなどを含有する洗浄用組成物が開示されている(請求項1)。
特開2015-200830号公報
 上記ドライエッチング工程を経た積層体には、ホール領域外にメタルハードマスク(例えば、ZrOxなど)が存在しているため、これの除去が求められる。このようなメタルハードマスクの除去には、特許文献1に記載されているフッ化水素(HF)を含む処理液によるウェットエッチングが用いられる場合がある。しかしながら、フッ化水素を含有する処理液を用いた場合、層間絶縁膜(例えば、SiOxなど)もエッチングされるという問題がある。
 また、処理液は、メタルハードマスクのエッチング液として用いられる他、上述したドライエッチング残渣物の除去にも用いられることがある。しかしながら、メタルハードマスクのドライエッチング残渣物を除去しようとした場合、上述した層間絶縁膜がエッチングされるという問題がある。
 そこで、本発明は、メタルハードマスクおよびこれの残渣物の除去性に優れつつ、絶縁膜のエッチングを抑制できる処理液、および、積層体の処理方法を提供することを目的とする。
 本発明者は、上記課題について鋭意検討した結果、pHが5以下であり、かつ、含フッ素化合物および複素環基を有さずベンゼン環を有する水溶性芳香族化合物を含有する処理液を用いることで、所望の効果が得られることを見出し、本発明に至った。
 すなわち、本発明者は、以下の構成により上記課題が解決できることを見出した。
[1]
 半導体デバイス用の処理液であって、
 含フッ素化合物と、複素環基を有さずベンゼン環を有する水溶性芳香族化合物と、を含有し、
 pHが5以下である、処理液。
[2]
 上記水溶性芳香族化合物のpKaが6以下である、[1]に記載の処理液。
[3]
 さらに、水を含有し、
 上記水の含有量が、上記処理液の全質量に対して、50質量%以上である、[1]または[2]に記載の処理液。
[4]
 酸化剤を含有しない、[1]~[3]のいずれか1つに記載の処理液。
[5]
 上記含フッ素化合物が、フッ化水素である、[1]~[4]のいずれか1つに記載の処理液。
[6]
 上記水溶性芳香族化合物が酸性基を有する、[1]~[5]のいずれか1つに記載の処理液。
[7]
 上記水溶性芳香族化合物が、フェニルホスホン酸、ベンゼンカルボン酸、および、ベンゼンスルホン酸、ならびに、これらの誘導体からなる群より選択される少なくとも1種を含む、[1]~[6]のいずれか1つに記載の処理液。
[8]
 上記水溶性芳香族化合物の含有量が、上記処理液の全質量に対して、0.05~10質量%である、[1]~[7]のいずれか1つに記載の処理液。
[9]
 上記含フッ素化合物の含有量をM1とし、上記水溶性芳香族化合物の含有量をM2とした場合において、含有比M1/M2が0.05~10である、[1]~[8]のいずれか1つに記載の処理液。
[10]
 pHが2~5である、[1]~[9]のいずれか1つに記載の処理液。
[11]
 さらに、アニオン性界面活性剤を含有する、[1]~[10]のいずれか1つに記載の処理液。
[12]
 さらに、防食剤を含有する、[1]~[11]のいずれか1つに記載の処理液。
[13]
 さらに、含ホウ素化合物を含有する、[1]~[12]のいずれか1つに記載の処理液。
[14]
 さらに、有機溶剤を含有する、[1]~[13]のいずれか1つに記載の処理液。
[15]
 さらに、アニオン性ポリマーを含有する、[1]~[14]のいずれか1つに記載の処理液。
[16]
 上記アニオン性ポリマーの重量平均分子量が、2000~100000である、[15]に記載の処理液。
[17]
 上記アニオン性ポリマーがポリアクリル酸である、[15]または[16]に記載の処理液。
[18]
 さらに、金属イオンを含有する、[1]~[17]のいずれか1つに記載の処理液。
[19]
 上記金属イオンが2価以上の金属イオンである、[18]に記載の処理液。
[20]
 上記金属イオンが、アルカリ土類金属イオンおよびAlイオンからなる群より選択される少なくとも1種である、[18]または[19]に記載の処理液。
[21]
 上記金属イオンが、Srイオン、BaイオンおよびAlイオンからなる群より選択される少なくとも1種である、[18]~[20]のいずれか1つに記載の処理液。
[22]
 上記半導体デバイスが、基板と、上記基板上に形成された第2層と、上記第2層上に形成された第1層と、を備える半導体デバイス用の積層体を有し、
 上記第2層がSiOx、SiOC、SiNおよびSiONからなる群より選択される少なくとも1種の材料を含み、かつ、上記第1層が上記第2層とは異なる材料から構成されており、
 上記処理液が上記積層体の処理に用いられる、[1]~[21]のいずれか1つに記載の処理液。ここで、xは、1~3で表される数である。
[23]
 上記第1層が、TiN、TiOxおよびZrOxからなる群より選択される少なくとも1種の材料を含む、[22]に記載の処理液。ここで、xは、1~3で表される数である。
[24]
 上記処理液による上記第1層の除去速度をER1とし、上記処理液による上記第2層の除去速度をER2とした場合、除去速度比ER1/ER2が0.5~1000である、[22]または[23]に記載の処理液。
[25]
 上記積層体が、上記基板と上記第2層との間に、さらに第3層を備え、
 上記第3層が、W、Co、CuおよびAlからなる群より選択される少なくとも1種の材料を含む金属である、[22]~[24]のいずれか1つに記載の処理液。
[26]
 [1]~[25]のいずれか1つに記載の処理液を用いて、基板と、上記基板上に形成された第2層と、上記第2層上に形成された第1層と、を備える半導体デバイス用の積層体の処理を行う処理工程Bを有し、
 上記第1層が、TiN、TiOxおよびZrOxからなる群より選択される少なくとも1種の材料を含み、
 上記第2層が、SiOx、SiOC、SiNおよびSiONからなる群より選択される少なくとも1種の材料を含む、積層体の処理方法。ここで、xは、1~3で表される数である。
[27]
 上記処理工程Bの前に、上記処理液を調製する処理液調製工程Aをさらに有する、[26]に記載の積層体の処理方法。
 以下に示すように、本発明によれば、メタルハードマスクおよびこれの残渣物の除去性に優れつつ、絶縁膜のエッチングを抑制できる処理液、および、積層体の処理方法を提供できる。
本発明の処理液の処理対象物の一例を示す断面模式図である。
 以下に、本発明について説明する。
 なお、本発明において「~」を用いて表される数値範囲は、「~」の前後に記載される数値を下限値および上限値として含む範囲を意味する。
 また、本発明において「準備」というときには、特定の材料を合成ないし調合等して備えることのほか、購入等により所定の物を調達することを含む意味である。
 また、本発明において、1Å(オングストローム)は、0.1nmに相当する。
 また、本発明における基(原子群)の表記において、置換および無置換を記していない表記は、本発明の効果を損ねない範囲で、置換基を有さないものと共に置換基を有するものをも包含するものである。例えば、「炭化水素基」とは、置換基を有さない炭化水素基(無置換炭化水素基)のみならず、置換基を有する炭化水素基(置換炭化水素基)をも包含するものである。このことは、各化合物についても同義である。
 また、本発明における「放射線」とは、例えば、水銀灯の輝線スペクトル、エキシマレーザーに代表される遠紫外線、極紫外線(EUV光)、X線、または、電子線等を意味する。また、本発明において光とは、活性光線または放射線を意味する。本発明中における「露光」とは、特に断らない限り、水銀灯の輝線スペクトル、エキシマレーザーに代表される遠紫外線、X線またはEUV光などによる露光のみならず、電子線またはイオンビーム等の粒子線による描画も露光に含める。
 また、本発明において、「(メタ)アクリレート」はアクリレートおよびメタクリレートの双方、または、いずれかを表す。
[処理液]
 本発明の処理液は、半導体デバイス用の処理液であって、含フッ素化合物と複素環基を有さずベンゼン環を有する水溶性芳香族化合物とを含有し、pHが5以下である。
 本発明の処理液は、メタルハードマスクおよびこれの残渣物(エッチング残渣物)の除去性に優れつつ、絶縁膜のエッチングを抑制できる。この理由の詳細は、未だ明らかになっていない部分もあるが、以下の理由によるものと推測される。
 本発明の処理液を用いた場合、処理液に含まれる含フッ素化合物の作用によって、メタルハードマスクおよびこれのエッチング残渣物が良好に除去される。
 ここで、処理液に含まれる含フッ素化合物は、半導体デバイス用の積層体が備える絶縁膜をエッチングしやすいが、本発明の処理液に含まれる水溶性芳香族化合物の作用により、絶縁膜のエッチングを抑制できると考えられる。
 この理由としては、疎水性の骨格(ベンゼン環などの芳香族環)を有する水溶性芳香族化合物が、疎水的な表面の絶縁膜に良好に付着して、水溶性芳香族化合物が絶縁膜の保護膜として機能する。これにより、絶縁膜のエッチングが抑制されると推測される。
 以下において、本発明の処理液に含まれる成分および含まれ得る成分について説明する。なお、以下の説明において、「本発明の上述した効果」という場合には、メタルハードマスクおよびこれの残渣物(エッチング残渣物)の除去性に優れること、および、絶縁膜のエッチング抑制機能に優れること、の両方を意味する。
<含フッ素化合物>
 本発明の処理液は、含フッ素化合物を含有する。含フッ素化合物は、メタルハードマスクおよびこれの残渣物を除去(溶解)する機能を備える。
 含フッ素化合物としては、化合物内にフッ素原子を含有していれば特に制限されず、公知の含フッ素化合物を用いることができる。なかでも、含フッ素化合物としては、処理液中で解離してフッ化物イオンを放出するものが好ましい。
 含フッ素化合物としては、例えば、フッ化水素(HF)、フッ化アンモニウム、フッ化テトラメチルアンモニウム、ヘキサフルオロリン酸、ヘキサフルオロケイ酸、ヘキサフルオロリン酸アンモニウム、および、ヘキサフルオロケイ酸アンモニウム等が挙げられる。
 また、カウンターイオンとしてアンモニウム以外のカチオン、例えばテトラメチルアンモニウム等を用いてもよい。
 含フッ素化合物は、上記機能がより発揮される観点から、フッ化水素であることが好ましい。
 処理液中の含フッ素化合物の含有量は、処理液の全質量に対して、0.01質量%以上が好ましく、0.1質量%以上がより好ましく、1質量%以上がさらに好ましい。上限としては10質量%以下が好ましく、5質量%以下がより好ましく、2質量%以下がさらに好ましい。
 含フッ素化合物の含有量が0.01質量%以上であることで、上述した機能がより発揮される。また、含フッ素化合物の含有量が10質量%以下であることで、処理液による絶縁膜の腐食をより抑制できる。
 なお、含フッ素化合物は、1種を単独で用いても、2種以上を併用してもよい。2種以上の含フッ素化合物を併用する場合には、合計含有量が上記範囲内であることが好ましい。
<水溶性芳香族化合物>
 本発明の処理液は、複素環基を有さずベンゼン環を有する水溶性芳香族化合物を含有する。
 本発明において、水溶性芳香族化合物とは、水(25℃)に対する溶解度が3g/L以上(好ましくは5g/L以上、より好ましく10g/L以上、さらに好ましくは30g/L以上)である芳香族化合物のことをいう。
 水溶性芳香族化合物は、各種官能基を有していてもよい。例えば、カルボキシ基、リン酸基、ホスホン酸基、スルホン酸基、アミノ基、および、水酸基などが挙げられる。
 水溶性芳香族化合物は、絶縁膜に対する保護機能がより発揮される観点から、酸性基を有することが好ましい。酸性基としては、具体的には、カルボキシ基、リン酸基、ホスホン酸基、および、スルホン酸基などが挙げられる。
 水溶性芳香族化合物としては、フェニルホスホン酸、ベンゼンカルボン酸、ベンゼンスルホン酸、および、フェノール、ならびに、これらの誘導体からなる群より選択される少なくとも1種を含むことが好ましく、絶縁膜に対する保護機能がより発揮される観点から、フェニルホスホン酸、ベンゼンカルボン酸、および、ベンゼンスルホン酸、ならびに、これらの誘導体からなる群より選択される少なくとも1種を含むことがより好ましい。
 フェニルホスホン酸およびこれの誘導体としては、例えば、フェニルホスホン酸、および、カルボキシフェニルホスホン酸などが挙げられる。
 ベンゼンカルボン酸およびこれの誘導体としては、例えば、安息香酸、サリチル酸、フタル酸、アントラニル酸、および、ジヒドロキシ安息香酸などが挙げられ、これらの中でも、サリチル酸またはフタル酸が好ましく、フタル酸がより好ましい。
 ベンゼンスルホン酸およびこれの誘導体としては、例えば、ベンゼンスルホン酸、p-トルエンスルホン酸などが挙げられ、これらの中でもp-トルエンスルホン酸が好ましい。
 フェノールおよびこれの誘導体としては、例えば、フェノール、カテコール、レゾルシノール、ヒドロキノン、t-ブチルカテコール、および、ピロガロールなどが挙げられ、これらの中でもカテコールが好ましい。
 上記以外の水溶性芳香族化合物としては、アミノ基を有する水溶性芳香族化合物が挙げられ、例えば、キシレンジアミンなどが挙げられる。
 水溶性芳香族化合物のpKa(酸解離定数)は、6以下が好ましく、5以下がより好ましく、4以下がさらに好ましい。また、下限値は特に限定されないが、-3以上が好ましく、-2以上がより好ましい。
 水溶性芳香族化合物のpKaが6以下であることで、絶縁膜に対する保護機能がより発揮される。
 処理液中の水溶性芳香族化合物の含有量は、処理液の全質量に対して、0.05~10質量%が好ましく、0.1~10質量%がより好ましく、0.5~8質量%がさらに好ましい。水溶性芳香族化合物の含有量が0.05質量%以上であると、絶縁膜に対する保護機能がより発揮される。水溶性芳香族化合物の含有量が10質量%以下であると、経時に伴う化合物等の析出を抑制できる。
 なお、水溶性芳香族化合物は、1種を単独で用いても、2種以上を併用してもよい。2種以上の水溶性芳香族化合物を併用する場合には、合計含有量が上記範囲内であることが好ましい。
 上記含フッ素化合物の含有量(質量%)をM1とし、上記水溶性芳香族化合物の含有量(質量%)をM2とした場合において、含有比M1/M2は、0.05~10が好ましく、0.1~5がより好ましく、0.1~1が更に好ましい。
 含有比M1/M2が0.1以上であることで、メタルハードマスクおよびこれの残渣物の除去性がより向上する。含有比M1/M2が5以下であることで、絶縁膜のダメージの発生をより抑制できる。
<防食剤>
 本発明の処理液は、防食剤を含有することが好ましい。防食剤は、上記水溶性芳香族化合物以外の化合物である。なお、本明細書において、以下に防食剤として挙げられている場合であっても、上記水溶性芳香族化合物の定義に当てはまる化合物は、上記水溶性芳香族化合物に分類するものとする。
 防食剤は、半導体デバイスの配線などになる金属層が含フッ素化合物によりエッチングされることを抑制する機能を有する。防食剤は、腐食防止剤と称されることがある。
 防食剤としては特に限定されないが、例えば、1,2,4-トリアゾール(TAZ)、5-アミノテトラゾール(ATA)、5-アミノ-1,3,4-チアジアゾール-2-チオール、3-アミノ-1H-1,2,4トリアゾール、3,5-ジアミノ-1,2,4-トリアゾール、トリルトリアゾール、3-アミノ-5-メルカプト-1,2,4-トリアゾール、1-アミノ-1,2,4-トリアゾール、1-アミノ-1,2,3-トリアゾール、1-アミノ-5-メチル-1,2,3-トリアゾール、3-メルカプト-1,2,4-トリアゾール、3-イソプロピル-1,2,4-トリアゾール、ナフトトリアゾール、1H-テトラゾール-5-酢酸、2-メルカプトベンゾチアゾール(2-MBT)、1-フェニル-2-テトラゾリン-5-チオン、2-メルカプトベンゾイミダゾール(2-MBI)、4-メチル-2-フェニルイミダゾール、2-メルカプトチアゾリン、2,4-ジアミノ-6-メチル-1,3,5-トリアジン、チアゾール、イミダゾール、ベンゾイミダゾール、トリアジン、メチルテトラゾール、ビスムチオールI、1,3-ジメチル-2-イミダゾリジノン、1,5-ペンタメチレンテトラゾール、1-フェニル-5-メルカプトテトラゾール、イミダゾリンチオン、4-メチル-4H-1,2,4-トリアゾール-3-チオール、5-アミノ-1,3,4-チアジアゾール-2-チオール、ベンゾチアゾール、リン酸トリトリル、インダゾール、アデニン、シトシン、グアニン、チミン、プロパンチオール、ベンゾヒドロキサム酸、チオ尿素、1,1,3,3-テトラメチル尿素、尿素、尿酸、エチルキサントゲン酸カリウム、グリシン、ドデシルホスホン酸、イミノ二酢酸、クエン酸、マロン酸、コハク酸、ニトリロ三酢酸、スルホラン、2,3,5-トリメチルピラジン、2-エチル-3,5-ジメチルピラジン、キノキサリン、アセチルピロール、ピリダジン、ヒスタジン、ピラジン、システイン、シスチン、チオフェン、メルカプトピリジンN-オキシド、チアミンHCl、テトラエチルチウラムジスルフィド、2,5-ジメルカプト-1,3-チアジアゾールアスコルビン酸、および、アスコルビン酸が挙げられる。
 さらに、防食剤として、置換または無置換のベンゾトリアゾールを含むことも好ましい。好適な置換型ベンゾトリアゾールには、これらに限定されないが、アルキル基、アリール基、ハロゲン基、アミノ基、ニトロ基、アルコキシ基、または、水酸基で置換されたベンゾトリアゾールが含まれる。置換型ベンゾトリアゾールには、1以上のアリール基(例えば、フェニル基)またはヘテロアリール基で置換されたものも含まれる。
 防食剤として用いるのに好適なベンゾトリアゾールは、これらに限定されないが、ベンゾトリアゾール(BTA)、5-アミノテトラゾール、1-ヒドロキシベンゾトリアゾール、5-フェニルチオール-ベンゾトリアゾール、5-クロロベンゾトリアゾール、4-クロロベンゾトリアゾール、5-ブロモベンゾトリアゾール、4-ブロモベンゾトリアゾール、5-フルオロベンゾトリアゾール、4-フルオロベンゾトリアゾール、ナフトトリアゾール、トリルトリアゾール、5-フェニル-ベンゾトリアゾール、5-ニトロベンゾトリアゾール、4-ニトロベンゾトリアゾール、3-アミノ-5-メルカプト-1,2,4-トリアゾール、2-(5-アミノ-ペンチル)-ベンゾトリアゾール、1-アミノ-ベンゾトリアゾール、5-メチル-1H-ベンゾトリアゾール(5-MBTA)、4-メチルベンゾトリアゾール、4-エチルベンゾトリアゾール、5-エチルベンゾトリアゾール、4-プロピルベンゾトリアゾール、5-プロピルベンゾトリアゾール、4-イソプロピルベンゾトリアゾール、5-イソプロピルベンゾトリアゾール、4-n-ブチルベンゾトリアゾール、5-n-ブチルベンゾトリアゾール、4-イソブチルベンゾトリアゾール、5-イソブチルベンゾトリアゾール、4-ペンチルベンゾトリアゾール、5-ペンチルベンゾトリアゾール、4-ヘキシルベンゾトリアゾール、5-ヘキシルベンゾトリアゾール、5-メトキシベンゾトリアゾール、1-[N,N-ビス(2-エチルヘキシル)アミノメチル]-ベンゾトリアゾール、5-t-ブチルベンゾトリアゾール、5-(1’,1’-ジメチルプロピル)-ベンゾトリアゾール、5-(1’,1’,3’-トリメチルブチル)ベンゾトリアゾール、5-n-オクチルベンゾトリアゾール、および、5-(1’,1’,3’,3’-テトラメチルブチル)ベンゾトリアゾールが含まれる。
 また、ベンゾトリアゾールとしては、2,2’-{[(4-メチル-1H-ベンゾトリアゾール-1-イル)メチル]イミノ}ビスエタノール、2,2’-{[(5-メチル-1H-ベンゾトリアゾール-1-イル)メチル]イミノ}ビスエタノール、2,2’-{[(4-メチル-1H-ベンゾトリアゾール-1-イル)メチル]イミノ}ビスエタン、2,2’-{[(4-メチル-1H-ベンゾトリアゾール-1-イル)メチル]イミノ}ビスプロパン、および、N,N-ビス(2-エチルヘキシル)-(4または5)-メチル-1H-ベンゾトリアゾール-1-メチルアミン等も用いることができる。
 防食剤としては、腐食防止性をより向上させる観点から、下記式(A)で表される化合物、式(C)で表される化合物、および、置換又は無置換のテトラゾールからなる群より選択される少なくとも1種を用いることが好ましい。
Figure JPOXMLDOC01-appb-C000001
 上記式(A)において、R1A~R5Aは、それぞれ独立に、水素原子、置換基若しくは無置換の炭化水素基、水酸基、カルボキシ基、または、置換若しくは無置換のアミノ基を表す。ただし、構造中に水酸基、カルボキシ基および置換若しくは無置換のアミノ基から選ばれる基を少なくとも1つ含む。
 上記式(C)において、R1C、R2CおよびRは、それぞれ独立に、水素原子、または、置換基若しくは無置換の炭化水素基を表す。また、R1CとR2Cとが結合して環を形成してもよい。
 上記式(A)中、R1A~R5Aが表す炭化水素としては、アルキル基(炭素数1~12が好ましく、1~6がより好ましく、1~3が特に好ましい)、アルケニル基(炭素数2~12が好ましく、2~6がより好ましい)、アルキニル基(炭素数2~12が好ましく、2~6がより好ましい)、アリール基(炭素数6~22が好ましく、6~14がより好ましく、6~10が特に好ましい)、および、アラルキル基(炭素数7~23が好ましく、7~15がより好ましく、7~11が特に好ましい)が挙げられる。
 また、置換基としては、例えば、水酸基、カルボキシ基、および、置換若しくは無置換のアミノ基(置換基としては、炭素数1~6のアルキル基が好ましく、1~3のアルキル基がより好ましい)が挙げられる。
 なお、式(A)においては、構造中に水酸基、カルボキシ基、および、置換若しくは無置換のアミノ基(置換基としては、炭素数1~6のアルキル基が好ましく、1~3のアルキル基がより好ましい)から選ばれる基を少なくとも1つ含む。
 式(A)において、R1A~R5Aで表される置換基若しくは無置換の炭化水素基としては、例えば、水酸基、カルボキシ基、および、アミノ基で置換された炭素数1~6の炭化水素基等が挙げられる。
 式(A)で表される化合物としては、例えば、1-チオグリセロール、L-システイン、および、チオリンゴ酸等が挙げられる。
 式(C)において、R1C、R2CおよびRで表される炭化水素基または置換基としては、上述した式(A)のR1A~R5Aが表す炭化水素基または置換基とそれぞれ同義である。R1C、R2C及びRで表される置換または無置換の炭化水素基としては、例えば、メチル基、エチル基、プロピル基、および、ブチル基等の炭素数1~6の炭化水素基が挙げられる。
 また、R1CとR2Cとが結合して環を形成してもよく、例えば、ベンゼン環が挙げられる。R1CとR2Cとが結合して環を形成した場合、さらに置換基(例えば、炭素数1~5の炭化水素基)を有していてもよい。
 式(C)で表される化合物としては、例えば、1H-1,2,3-トリアゾール、ベンゾトリアゾール、5-メチル-1H-ベンゾトリアゾール等が挙げられる。
 置換または無置換のテトラゾールとしては、例えば、無置換テトラゾール、および、置換基として水酸基、カルボキシル基、または、置換若しくは無置換のアミノ基(置換基としては、炭素数1~6のアルキル基が好ましく、1~3のアルキル基がより好ましい)を有するテトラゾールが挙げられる。
 処理液中の防食剤の含有量は、処理液の全質量に対して、0.01~5質量%が好ましく、0.05~5質量%がより好ましく、0.1~3質量%がさらに好ましい。
 防食剤は、単独でも2種類以上組み合わせて用いてもよい。防食剤が2種以上組み合わせて用いられる場合には、その総量が上述の範囲内となることが好ましい。
<含ホウ素化合物>
 本発明の処理液は、含ホウ素化合物を含有することが好ましい。含ホウ素化合物は、金属層(特に、CoおよびCu)の含フッ素化合物によるエッチングを抑制する機能を有する。
 含ホウ素化合物としては、ホウ酸、ホウ酸モノフェニル、ホウ酸トリフェニル、酸化ホウ素、塩化ホウ素、および、ホウ酸メチルが挙げられ、上記機能がより発揮される観点から、ホウ酸またはホウ酸モノフェニルが好ましく、ホウ酸がより好ましい。
 処理液中の含ホウ素化合物の含有量は、処理液の全質量に対して、0.01~5質量%が好ましく、0.05~5質量%がより好ましく、0.1~3質量%がさらに好ましい。
 含ホウ素化合物の含有量が0.01質量%以上であることで、上記機能がより発揮される。
 含ホウ素化合物は、単独でも2種類以上組み合わせて用いてもよい。含ホウ素化合物が2種以上組み合わせて用いられる場合には、その総量が上述の範囲内となることが好ましい。
<金属イオン>
 本発明の処理液は、金属イオンを含有することが好ましい。金属イオンは、金属層(特に、Al)およびエッチング停止層(特に、AlOx、xは1~3)に対する含フッ素化合物によるエッチングを抑制する機能を有する。
 詳細には、金属イオンが、金属層(特に、Al)およびエッチング停止層(特に、AlOx)の表面に付着した処理液中の含フッ素化合物(F)とイオン結合して、金属層およびエッチング停止層の表面の保護層として良好に機能する。その結果、金属層およびエッチング停止層の表面に新たに含フッ素化合物が供給されることを抑制できるので、含フッ素化合物による金属層およびエッチング停止層のエッチングを抑制できる。
 金属イオンは、上述した機能がより発揮される観点から、2価以上の金属イオンが好ましく、アルカリ土類金属イオンおよびAlイオンからなる群より選択される少なくとも1種がより好ましく、Srイオン、BaイオンおよびAlイオンからなる群より選択される少なくとも1種がさらに好ましい。
 処理液中の金属イオンの含有量は、処理液の全質量に対して、0.0005~2質量%が好ましく、0.001~1.5質量%がより好ましく、0.01~1質量%がさらに好ましい。金属イオンの含有量が上記範囲内であることで、上述した機能がより発揮される。
 金属イオンは、単独でも2種類以上組み合わせて用いてもよい。金属イオンが2種以上組み合わせて用いられる場合には、その総量が上述の範囲内となることが好ましい。
 ここで、金属イオンは、金属塩の形態で処理液に配合されてもよい。すなわち、この場合には、本発明の処理液は、上記金属イオンを有する金属塩が配合されてなる。この場合、処理液中の金属塩の配合量は、処理液の全質量に対して、0.001~3質量%が好ましく、0.01~3質量%が好ましく、0.05~3質量%がより好ましく、0.1~3質量%がさらに好ましい。金属イオンの含有量が上記範囲内であることで、上述した機能がより発揮される。
<アニオン性ポリマー>
 本発明の処理液は、アニオン性ポリマーを含有することが好ましい。アニオン性ポリマーは、金属層(特に、Al)およびエッチング停止層(特に、AlOx、xは1~3)に対する含フッ素化合物によるエッチングを抑制する機能を有する。特に、アニオン性ポリマーと、上記金属イオンと、を併用すると、各成分の機能が相乗的に作用して、上記機能がより顕著に発揮される。
 詳細には、上述したように、金属イオンは、金属層(特に、Al)およびエッチング停止層(特に、AlOx)の表面に付着した処理液中の含フッ素化合物(F)とイオン結合するが、この金属イオンがアニオン性ポリマーとイオン結合する。すなわち、金属層およびエッチング停止層の上に、金属イオンの層とアニオン性ポリマーの層との2層が形成されるので、金属層およびエッチング停止層に対する含フッ素化合物によるエッチングをより効果的に抑制できると推測される。
 アニオン性ポリマーは、アニオン性基を有するポリマーまたはその塩であることが好ましい。アニオン性基としては、カルボキシ基、スルホン酸基、および、リン酸基など挙げられ、カルボキシ基が好ましい。
 アニオン性ポリマーとしては、具体的には、ポリアクリル酸、ポリメタクリル酸、ポリイタコン酸、ポリマレイン酸、ポリフマル酸、ポリアスパラギン酸、ポリグルタミン酸、ポリスチレンスルホン酸、ポリアクリルアミドメチルプロパンスルホン酸、および、ポリリン酸、ならびにその塩などが挙げられ、上記機能がより発揮される点から、ポリアクリル酸、ポリメタクリル酸、ポリスチレンスルホン酸、および、ポリリン酸、ならびにその塩が好ましく、ポリアクリル酸およびその塩がより好ましく、ポリアクリル酸がさらに好ましい。
 アニオン性ポリマーの重量平均分子量は、500~150000が好ましく、2000~100000がより好ましく、3000~50000がさらに好ましい。アニオン性ポリマーの重量平均分子量が上記範囲内にあることで、上記機能がより発揮される。
 本発明における各成分の重量平均分子量(Mw)は、特に断りのない限り、GPC(ゲルパーミエーションクロマトグラフィー)法で測定された標準ポリスチレン換算値により求められる。具体的には、重量平均分子量のGPC法による測定は、各成分をTHF(Tetrahydrofuran)に溶解させ、高速GPC(HLC-8220GPC、東ソー社製)を用い、カラムとして、TSKgel SuperHZ4000(TOSOH製、4.6mmI.D.×15cm)を用い、溶離液としてTHFを用いて行うことができる。
 処理液中のアニオン性ポリマーの含有量は、処理液の全質量に対して、0.01~10質量%が好ましく、0.05~5質量%がより好ましく、0.1~5質量%がさらに好ましい。アニオン性ポリマーの含有量が上記範囲内であることで、上述した機能がより発揮される。
 アニオン性ポリマーは、単独でも2種類以上組み合わせて用いてもよい。アニオン性ポリマーが2種以上組み合わせて用いられる場合には、その総量が上述の範囲内となることが好ましい。
<有機溶剤>
 本発明の処理液は、有機溶剤を含有することが好ましい。有機溶剤を含有することで、絶縁膜などの腐食防止効果をより向上できる。
 有機溶剤としては、公知の有機溶剤をいずれも用いることができるが、親水性有機溶剤が好ましい。親水性有機溶剤とは、水といずれの比率においても均一に混合可能な有機溶剤のことを意味する。
 親水性有機溶剤としては、具体的には、水溶性アルコール系溶剤、水溶性ケトン系溶剤、水溶性エステル系溶剤、水溶性エーテル系溶剤(例えば、グリコールジエーテル)、スルホン系溶剤、スルホキシド系溶剤、ニトリル系溶剤、および、アミド系溶剤などが挙げられ、本願所望の効果を得るためにこれらのいずれも用いることができる。
 水溶性アルコール系溶剤としては、例えば、アルカンジオール(例えば、アルキレングリコールを含む)、アルコキシアルコール(例えば、グリコールモノエーテルを含む)、飽和脂肪族一価アルコール、不飽和非芳香族一価アルコール、および、環構造を含む低分子量のアルコールなどが挙げられる。
 アルカンジオールとしては、例えば、グリコール、2-メチル-1,3-プロパンジオール、1,3-プロパンジール、2,2-ジメチル-1,3-プロパンジオール、1,4-ブタンジオール、1,3-ブタンジオール、1,2-ブタンジオール、2,3-ブタンジオール、ピナコールおよびアルキレングリコールなどが挙げられる。
 アルキレングリコールとしては、例えば、エチレングリコール、プロピレングリコール、へキシレングリコール、ジエチレングリコール、ジプロピレングリコール、トリエチレングリコールおよびテトラエチレングリコールなどが挙げられる。
 アルコキシアルコールとしては、例えば、3-メトキシ-3-メチル-1-ブタノール、3-メトキシ-1-ブタノール、1-メトキシ-2-ブタノールおよびグリコールモノエーテルなどが挙げられる。
 グリコールモノエーテルとしては、例えば、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、エチレングリコールモノn-プロピルエーテル、エチレングリコールモノイソプロピルエーテル、エチレングリコールモノn-ブチルエーテル、ジエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、ジエチレングリコールモノブチルエーテル、トリエチレングリコールモノメチルエーテル、トリエチレングリコールモノエチルエーテル、トリエチレングリコールモノブチルエーテル、1-メトキシ-2-プロパノール、2-メトキシ-1-プロパノール、1-エトキシ-2-プロパノール、2-エトキシ-1-プロパノール、プロピレングリコールモノ-n-プロピルエーテル、ジプロピレングリコールモノメチルエーテル、ジプロピレングリコールモノエチルエーテル、ジプロピレングリコールモノ-n-プロピルエーテル、トリプロピレングリコールモノエチルエーテル、トリプロピレングリコールモノメチルエーテルおよびエチレングリコールモノベンジルエーテルおよびジエチレングリコールモノベンジルエーテルなどが挙げられる。
 飽和脂肪族一価アルコールとしては、例えば、メタノール、エタノール、n-プロピルアルコール、イソプロピルアルコール、1-ブタノール、2-ブタノール、イソブチルアルコール、tert-ブチルアルコール、2-ペンタノール、t-ペンチルアルコール、および、1-ヘキサノールなどが挙げられる。
 不飽和非芳香族一価アルコールとしては、例えば、アリルアルコール、プロパルギルアルコール、2-ブテニルアルコール、3-ブテニルアルコール、および、4-ペンテン-2-オールなどが挙げられる。
 環構造を含む低分子量のアルコールとしては、例えば、テトラヒドロフルフリルアルコール、フルフリルアルコール、および、1,3-シクロペンタンジオールなどが挙げられる。
 水溶性ケトン系溶剤としては、例えば、アセトン、プロパノン、シクロブタノン、シクロペンタノン、シクロヘキサノン、ジアセトンアルコール、2-ブタノン、5-ヘキサンジオン、1,4-シクロヘキサンジオン、3-ヒドロキシアセトフェノン、1,3-シクロヘキサンジオン、および、シクロヘキサノンなどが挙げられる。
 水溶性エステル系溶剤としては、例えば、酢酸エチル、エチレングリコールモノアセタート、ジエチレングリコールモノアセタート等のグリコールモノエステル、およびプロピレングリコールモノメチルエーテルアセタート、エチレングリコールモノメチルエーテルアセタート、プロピレングリコールモノエチルエーテルアセタート、エチレングリコールモノエチルエーテルアセタート等のグリコールモノエーテルモノエステルが挙げられる。
 これらの中でも、エチレングリコールモノブチルエーテル、トリ(プロピレングリコール)メチルエーテル、および、ジエチレングリコールモノエチルエーテルが好ましい。
 スルホン系溶剤としては、例えば、スルホラン、3-メチルスルホラン、および、2,4-ジメチルスルホラン等が挙げられる。
 スルホキシド系溶剤としては、例えば、ジメチルスルホキシド等が挙げられる。
 ニトリル系溶剤としては、アセトニトリル等が挙げられる。
 アミド系溶剤としては、N,N-ジメチルホルムアミド、1-メチル-2-ピロリドン、2-ピロリジノン、1,3-ジメチル-2-イミダゾリジノン、2-ピロリジノン、ε-カプロラクタム、ホルムアミド、N-メチルホルムアミド、アセトアミド、N-メチルアセトアミド、N,N-ジメチルアセトアミド、N-メチルプロパンアミド、および、ヘキサメチルホスホリックトリアミド等が挙げられる。
 親水性有機溶剤のなかでも、腐食防止効果をより向上させる観点から、水溶性アルコール系溶剤、スルホン系溶剤、アミド系溶剤、および、スルホキシド系溶剤が好ましく、水溶性アルコール系溶剤、および、スルホキシド系溶剤がより好ましく、水溶性アルコール系溶剤がさらに好ましい。
 処理液中の有機溶剤の含有量は、処理液の全質量に対して、1~50質量%が好ましく、5~30質量%がより好ましく、5~20質量%がさらに好ましい。
 特に、有機溶剤の含有量が5~30質量%の範囲内にあることで、エッチング残渣物の洗浄性能および後述する第2層および第3層に対する腐食防止性(コロージョン性能)がより向上する。
 有機溶剤は、単独でも2種類以上組み合わせて用いてもよい。有機溶剤が2種以上組み合わせて用いられる場合には、その総量が上述の範囲内となることが好ましい。
 有機溶剤は、金属イオンの含有量が低減した高純度の有機溶剤を用いることが好ましく、さらに精製して用いることがより好ましい。
 精製方法としては、特に限定されないが、ろ過、イオン交換、蒸留、吸着精製、再結晶、再沈殿、昇華およびカラムを用いた精製などの公知の方法を用いることができ、これらを組み合わせて適用することもできる。
 金属イオンの含有量が低減された有機溶剤は、本発明の各実施態様においても使用することができ、例えば、後述するキットまたは濃縮液の作製、製造における装置、および、容器の洗浄用途などにも好適に用いることができる。
<水>
 本発明の処理液は、さらに水を含有することが好ましい。
 水は、特に限定されないが、半導体製造に使用される超純水を用いることが好ましく、その超純水をさらに精製し、無機陰イオン及び金属イオンなどを低減させた水を用いることがより好ましい。精製方法は特に限定されないが、ろ過膜又はイオン交換膜を用いた精製、および、蒸留による精製が好ましい。また、例えば、特開2007―254168号公報に記載されている方法により精製を行なうことが好ましい。
 処理液中の水の含有量は、処理液の全質量に対して、50質量%以上が好ましく、50~99質量%がより好ましく、60~95質量%がさらに好ましい。水の含有量が50質量%以上であると、メタルハードマスクおよびこれの残渣物の除去性がより向上する。
<アニオン性界面活性剤>
 本発明の処理液は、アニオン性界面活性剤を含有することが好ましい。アニオン性界面活性剤は、含フッ素化合物による金属層(特に、CoおよびCu)のエッチングを抑制する機能を有する。
 アニオン性界面活性剤としては、ヤシ脂肪酸塩、ヒマシ硫酸化油塩、ラウリルサルフェート塩、ポリオキシアルキレンアリルフェニルエーテルサルフェート塩、アルキルベンゼンスルホン酸、アルキルベンゼンスルホン酸塩、アルキルジフェニルエーテルジスルホン酸塩、アルキルナフタレンスルホン酸塩、ジアルキルスルホサクシネート塩、イソプロピルホスフェート、ポリオキシエチレンアルキルエーテルホスフェート塩、および、ポリオキシエチレンアリルフェニルエーテルホスフェート塩などが挙げられる。
 処理液中のアニオン性界面活性剤の含有量は、処理液の全質量に対して、0.001~1質量%が好ましく、0.001~0.2質量%がより好ましく、0.003~0.2質量%がさらに好ましい。
 アニオン性界面活性剤の含有量が上記範囲内であることで、上記機能が発揮されつつ、メタルハードマスクのエッチング性がより向上する。
 アニオン性界面活性剤は、単独でも2種類以上組み合わせて用いてもよい。アニオン性界面活性剤が2種以上組み合わせて用いられる場合には、その総量が上述の範囲内となることが好ましい。
<酸化剤>
 本発明の処理液は、酸化剤を実質的に含有しないことが好ましい。これにより、金属に対する腐食ダメージ抑制能がより向上する。
 酸化剤を実質的に含有しないとは、具体的には、処理液中の酸化剤の含有量が1質量%以下であることをいい、0.5質量%以下が好ましく、0.3質量%以下がより好ましく、0質量%がさらに好ましい。
 酸化剤としては、具体的には、硝酸、過酸化水素挙げられ、本発明の処理液は、硝酸を実質的に含有しないことがより好ましい。
<他の添加剤>
 本発明の処理液は、上記以外の他の添加剤を含有してもよい。このような他の添加剤としては、例えば、キレート剤およびpH調整剤などが挙げられる。
(キレート剤)
 キレート剤は、残渣物中に含まれる酸化した金属とキレート化する。このため、キレート剤を添加することで処理液のリサイクル性が向上する。
 キレート剤としては、特に限定されないが、ポリアミノポリカルボン酸であることが好ましい。
 ポリアミノポリカルボン酸は、複数のアミノ基および複数のカルボン酸基を有する化合物であり、例えば、モノ-アルキレンポリアミンポリカルボン酸、ポリアルキレンポリアミンポリカルボン酸、ポリアミノアルカンポリカルボン酸、ポリアミノアルカノールポリカルボン酸、およびヒドロキシアルキルエーテルポリアミンポリカルボン酸が挙げられる。
 好適なポリアミノポリカルボン酸キレート剤としては、例えば、ブチレンジアミン四酢酸、ジエチレントリアミン五酢酸(DTPA)、エチレンジアミンテトラプロピオン酸、トリエチレンテトラミン六酢酸、1,3-ジアミノ-2-ヒドロキシプロパン-N,N,N’,N’-四酢酸、プロピレンジアミン四酢酸、エチレンジアミン四酢酸(EDTA)、トランス-1,2-ジアミノシクロヘキサン四酢酸、エチレンジアミン二酢酸、エチレンジアミンジプロピオン酸、1,6-ヘキサメチレン-ジアミン-N,N,N’,N’-四酢酸、N,N-ビス(2-ヒドロキシベンジル)エチレンジアミン-N,N-二酢酸、ジアミノプロパン四酢酸、1,4,7,10-テトラアザシクロドデカン-四酢酸、ジアミノプロパノール四酢酸、および(ヒドロキシエチル)エチレンジアミン三酢酸が挙げられる。なかでも、ジエチレントリアミン五酢酸(DTPA)、エチレンジアミン四酢酸(EDTA)、または、トランス-1,2-ジアミノシクロヘキサン四酢酸が好ましい。
 処理液がキレート剤を含有する場合、処理液中のキレート剤の含有量は、処理液の全質量に対して、0.01~5質量%が好ましく、0.01~3質量%がより好ましい。
 キレート剤は、単独でも2種類以上組み合わせて用いてもよい。キレート剤が2種以上組み合わせて用いられる場合には、その総量が上述の範囲内となることが好ましい。
(pH調整剤)
 本発明の処理液は、pH調整剤を含有してもよい。なお、上述した処理液に含まれる成分および含まれ得る成分と、後述するpH調整剤の具体例と、が重複する場合には、重複する成分は上述した機能とともにpH調整剤としての機能を備えていてもよい。
 pH調整剤としては、pHを上げるために、コリン等の第四級アンモニウム塩、水酸化カリウム等の水酸化アルカリ又はアルカリ土類塩、2-アミノエタノール、グアニジン等のアミノ化合物を用いることができる。限定はされないが、一般的に金属イオンを含んでいないことが好ましく、例えば、水酸化アンモニウム、コリン化合物、モノアミン類、イミン類(例えば、1,8-ジアザビシクロ[5.4.0]ウンデカン-7-エン(ジアザビシクロウンデセン)、1,5-ジアザビシクロ[4.3.0]ノナ-5-エン)、1,4-ジアザビシクロ[2.2.2]オクタン、グアニジン塩類(例えば、炭酸グアニジン)、ヒドロキシルアミン、ヒドロキシルアミン塩等が挙げられ、本願所望の効果を得るためにこれらのいずれも用いることができる。なかでも、水酸化アンモニウム、イミン類(例えば、1,8-ジアザビシクロ[5.4.0]ウンデカン-7-エン、1,5-ジアザビシクロ[4.3.0]ノナ-5-エン)、ヒドロキシルアミン、ヒドロキシルアミン塩が本願所望の効果を顕著に得る観点から好ましい。
 pHを下げるためには、無機酸、ならびに、カルボン酸および有機硫酸等の有機酸があげられる。無機酸の具体例としては、塩酸、硫酸、フッ酸、炭酸、次亜リン酸、亜リン酸、リン酸等があげられる。カルボン酸の具体例としては、ギ酸、酢酸、プロピオン酸、酪酸、吉草酸、2-メチル酪酸、n-ヘキサン酸、3,3-ジメチル酪酸、2-エチル酪酸、4-メチルペンタン酸、n-ヘプタン酸、2-メチルヘキサン酸、n-オクタン酸、2-エチルヘキサン酸、安息香酸、グリコール酸、サリチル酸、グリセリン酸、シュウ酸、グルタル酸、アジピン酸、ピメリン酸、マレイン酸、フタル酸、リンゴ酸、酒石酸、乳酸、ジグリコール酸、2-フランカルボン酸、2,5-フランジカルボン酸、3-フランカルボン酸、2-テトラヒドロフランカルボン酸、メトキシ酢酸、メトキシフェニル酢酸、フェノキシ酢酸等があげられる。有機硫酸の具体例としては、メタンスルホン酸、エタンスルホン酸、イセチオン酸等があげられる。
 pH調整剤は、単独でも2種類以上適宜組み合わせて用いてもよい。
 pH調整剤の含有量は、特に限定されず、例えば、処理液のpHが上述した範囲になるように適宜決定されればよい。
 また、他の添加剤としては、例えば、消泡剤、防錆剤および防腐剤などが挙げられる。
<粗大粒子>
 本発明の処理液は、粗大粒子を実質的に含まないことが好ましい。
 粗大粒子とは、例えば、粒子の形状を球体とみなした場合において、直径0.2μm以上の粒子を指す。また、粗大粒子を実質的に含まないとは、光散乱式液中粒子測定方式の市販の測定装置を用いた処理液を測定した場合に、処理液1mL中の直径0.2μm以上の粒子が10個以下であることをいう。
 なお、処理液に含まれる粗大粒子とは、原料に不純物として含まれる塵、埃、有機固形物および無機固形物などの粒子、ならびに、処理液の調製中に汚染物として持ち込まれる塵、埃、有機固形物および無機固形物などの粒子等であり、最終的に処理液中で溶解せずに粒子として存在するものが該当する。
 処理液中に存在する粗大粒子の量は、レーザを光源として、光散乱式液中粒子測定方式における市販の測定装置を利用して液相で測定することができる。
 粗大粒子の除去方法としては、例えば、後述するフィルタリング等の処理が挙げられる。
<用途>
 本発明の処理液は、半導体デバイス用の処理液である。本発明においては、「半導体デバイス用」とは、半導体デバイスの製造の際に用いられるという意味である。本発明の処理液は、メタルハードマスクの除去、および、エッチング残渣物の除去の他に、半導体デバイスを製造するためのいずれの工程にも用いることができる。
 例えば、処理液は、プリウェット液、永久膜(例えば、カラーフィルタ、透明絶縁膜、樹脂製のレンズ)等を半導体基板から除去するために用いられる溶液(例えば、除去液および剥離液など)、および、pCMP(化学機械研磨後)洗浄液などとしても用いてもよい。なお、永久膜の除去後の半導体基板は、再び半導体デバイスの使用に用いられることがあるため、永久膜の除去は、半導体デバイスの製造工程に含むものとする。
 本発明の処理液は、本発明の上述した効果がより発揮される点から、半導体デバイス用の積層体の処理に使用されることが好ましい。ここで、積層体は、基板と、基板上に形成された第2層と、第2層上に形成された第1層と、を備える。また、第2層は、SiOx、SiOC、SiNおよびSiONを含む材料から構成され、かつ、第1層が第2層とは異なる材料から形成されている。
 なお、第1層は、TiN、TiOxおよびZrOxの少なくとも1種の材料を含むことが好ましい。また、第1層は、メタルハードマスクであることが好ましい。
 第2層は、層間絶縁膜であることが好ましい。
 また、上記積層体は、基板と第2層との間に、第3層を備え、第3層が、W、Co、CuおよびAlからなる群より選択される少なくとも1種の材料を含む金属であることが好ましい。第3層は、金属層(配線)であることが好ましい。
 基板、第1層、第2層および第3層については、後述の「積層体の処理方法」で詳述する。
 本発明の処理液による第1層の除去速度をER1とし、本発明の処理液による第2層の除去速度をER2とした場合、除去速度比ER1/ER2は、0.5~1000が好ましく、0.8~800がより好ましく、1~500がさらに好ましい。
 除去速度比ER1/ER2が上記範囲内にあることで、本発明の上述した効果がより発揮される。
<処理液の物性など>
 本発明の処理液において、pHの選択は非常に重要である。本発明の処理液のpHは、5以下であり、1~5が好ましく、2~5がより好ましく、2~4がさらに好ましい。このように処理液のpHが5以下であることで、含フッ素化合物が良好に機能し、メタルハードマスクおよびこれの残渣物の除去性が向上する。
 処理液のpHは、公知のpHメータを用いて測定することができる。
[キットおよび濃縮液]
 本発明の処理液は、その原料を複数に分割したキットとしてもよい。
 また、処理液は、濃縮液として準備してもよい。この場合、使用時に水および/または有機溶剤で希釈して使用することができる。
[容器(収容容器)]
 本発明の処理液は、キットおよび濃縮液であるか否かに関わらず、腐食性等が問題とならない限り、任意の容器に充填して保管、運搬、そして使用することができる。容器としては、半導体用途向けに、容器内のクリーン度が高く、不純物の溶出が少ないものが好ましい。使用可能な容器としては、アイセロ化学(株)製の「クリーンボトル」シリーズ、および、コダマ樹脂工業製の「ピュアボトル」などが挙げられるが、これらに限定されない。この容器の内壁は、ポリエチレン樹脂、ポリプロピレン樹脂およびポリエチレン-ポリプロピレン樹脂からなる群より選択される1種以上の樹脂、またこれとは異なる樹脂、または、ステンレス、ハステロイ、インコネルおよびモネルなど、防錆および金属溶出防止処理が施された金属から形成されることが好ましい。
 上記の異なる樹脂としては、フッ素系樹脂(パーフルオロ樹脂)を好ましく用いることができる。このように、内壁がフッ素系樹脂である容器を用いることで、内壁が、ポリエチレン樹脂、ポリプロピレン樹脂、または、ポリエチレン-ポリプロピレン樹脂である容器を用いる場合と比べて、エチレンまたはプロピレンのオリゴマーの溶出という不具合の発生を抑制できる。
 このような内壁がフッ素系樹脂である容器の具体例としては、例えば、Entegris社製 FluoroPurePFA複合ドラム等が挙げられる。また、特表平3-502677号公報の第4頁等、国際公開第2004/016526号パンフレットの第3頁等、および、国際公開第99/46309号パンフレットの第9頁および16頁等に記載の容器も用いることができる。
 また、容器の内壁には、上述したフッ素系樹脂の他に、石英および電解研磨された金属材料(すなわち、電解研磨済みの金属材料)も好ましく用いられる。
 上記電解研磨された金属材料の製造に用いられる金属材料は、クロムおよびニッケルからなる群から選択される少なくとも1種を含有し、クロムおよびニッケルの含有量の合計が金属材料全質量に対して25質量%超である金属材料であることが好ましく、例えばステンレス鋼、およびニッケル-クロム合金等が挙げられる。
 金属材料におけるクロムおよびニッケルの含有量の合計は、金属材料全質量に対して25質量%以上が好ましく、30質量%以上がより好ましい。
 なお、金属材料におけるクロムおよびニッケルの含有量の合計の上限値としては特に制限されないが、一般的に90質量%以下が好ましい。
 ステンレス鋼としては、特に制限されず、公知のステンレス鋼を用いることができる。なかでも、ニッケルを8質量%以上含有する合金が好ましく、ニッケルを8質量%以上含有するオーステナイト系ステンレス鋼がより好ましい。オーステナイト系ステンレス鋼としては、例えばSUS(Steel Use Stainless)304(Ni含有量8質量%、Cr含有量18質量%)、SUS304L(Ni含有量9質量%、Cr含有量18質量%)、SUS316(Ni含有量10質量%、Cr含有量16質量%)、およびSUS316L(Ni含有量12質量%、Cr含有量16質量%)等が挙げられる。
 ニッケル-クロム合金としては、特に制限されず、公知のニッケル-クロム合金を用いることができる。なかでも、ニッケル含有量が40~75質量%、クロム含有量が1~30質量%のニッケル-クロム合金が好ましい。
 ニッケル-クロム合金としては、例えば、ハステロイ(商品名、以下同じ。)、モネル(商品名、以下同じ)、およびインコネル(商品名、以下同じ)等が挙げられる。より具体的には、ハステロイC-276(Ni含有量63質量%、Cr含有量16質量%)、ハステロイ-C(Ni含有量60質量%、Cr含有量17質量%)、ハステロイC-22(Ni含有量61質量%、Cr含有量22質量%)等が挙げられる。
 また、ニッケル-クロム合金は、必要に応じて、上記した合金の他に、さらに、ホウ素、ケイ素、タングステン、モリブデン、銅、およびコバルト等を含有していてもよい。
 金属材料を電解研磨する方法としては特に制限されず、公知の方法を用いることができる。例えば、特開2015-227501号公報の段落<0011>-<0014>、および特開2008-264929号公報の段落<0036>-<0042>等に記載された方法を用いることができる。
 金属材料は、電解研磨されることにより表面の不動態層におけるクロムの含有量が、母相のクロムの含有量よりも多くなっているものと推測される。そのため、電解研磨された金属材料で被覆された内壁からは、処理液中に金属元素が流出しにくいため、Ca原子、Fe原子およびNa原子等の特定金属元素の含有量が少ない半導体用薬液を得ることができるものと推測される。
 なお、金属材料はバフ研磨されていることが好ましい。バフ研磨の方法は特に制限されず、公知の方法を用いることができる。バフ研磨の仕上げに用いられる研磨砥粒のサイズは特に制限されないが、金属材料の表面の凹凸がより小さくなりやすい点で、#400以下が好ましい。
 なお、バフ研磨は、電解研磨の前に行われることが好ましい。
 また、金属材料は、研磨砥粒のサイズなどの番手を変えて行われる複数段階のバフ研磨、酸洗浄、および磁性流体研磨などを、1または2以上組み合わせて処理されたものであってもよい。
 本発明においては、上記容器と、この容器内に収容された上記処理液と、を有するものを、処理液収容体という場合がある。
 これらの容器は、充填前に容器内部を洗浄することが好ましい。液体は、用途に応じて適宜選択すればよいが、本発明の処理液そのもの、本発明の処理液を希釈したもの、または、本発明の処理液に添加している成分の少なくとも1種を含む液体であると、本発明の効果が顕著に得られる。本発明の処理液は、製造後にガロン瓶やコート瓶などの容器にボトリングし、輸送し、保管されてもよい。
 保管における処理液中の成分の変化を防ぐ目的で、容器内を純度99.99995体積%以上の不活性ガス(チッソ、またはアルゴンなど)で置換しておいてもよい。特に、含水率が少ないガスが好ましい。また、輸送、保管に際しては、常温でもよいが、変質を防ぐため、-20℃から20℃の範囲に温度制御してもよい。
[クリーンルーム]
 本発明の処理液の製造、収容容器の開封および/または洗浄、処理液の充填などを含めた取り扱い、処理分析、および、測定は、全てクリーンルームで行うことが好ましい。クリーンルームは、ISO(国際標準化機構)14644-1のクリーンルームの基準を満たすことが好ましい。ISOクラス1、ISOクラス2、ISOクラス3、ISOクラス4のいずれかを満たすことが好ましく、ISOクラス1またはISOクラス2を満たすことがより好ましく、ISOクラス1を満たすことがさらに好ましい。
[フィルタリング]
 本発明の処理液は、異物および粗大粒子などを除去したりするために、フィルタリングされたものであることが好ましい。
 フィルタリングに使用されるフィルタは、従来からろ過用途等に用いられているものであれば特に限定されることなく用いることができる。フィルタを構成する材料としては、例えば、PTFE(ポリテトラフルオロエチレン)等のフッ素系樹脂、ナイロン等のポリアミド系樹脂、ならびに、ポリエチレンおよびポリプロピレン(PP)等のポリオレフィン樹脂(高密度、超高分子量を含む)等が挙げられる。これらの中でも、ポリアミド系樹脂、PTFE、および、ポリプロピレン(高密度ポリプロピレンを含む)が好ましく、これらの素材により形成されたフィルタを使用することで、残渣欠陥やパーティクル欠陥の原因となり易い極性の高い異物をより効果的に除去できる。
 フィルタの臨界表面張力として、下限値としては70mN/m以上が好ましく、上限値としては、95mN/m以下が好ましい。特に、フィルタの臨界表面張力は、75mN/m以上85mN/m以下が好ましい。
 なお、臨界表面張力の値は、製造メーカーの公称値である。臨界表面張力が上記範囲のフィルタを使用することで、残渣欠陥やパーティクル欠陥の原因となり易い極性の高い異物をより効果的に除去できる。
 フィルタの孔径は、0.001~1.0μm程度が好ましく、0.02~0.5μm程度がより好ましく、0.01~0.1μm程度がさらに好ましい。フィルタの孔径を上記範囲とすることで、ろ過詰まりを抑えつつ、処理液に含まれる微細な異物を確実に除去することが可能となる。
 フィルタを使用する際、異なるフィルタを組み合わせてもよい。その際、第1のフィルタでのフィルタリングは、1回のみでもよいし、2回以上行ってもよい。異なるフィルタを組み合わせて2回以上フィルタリングを行う場合には、各フィルタは、互いに同じ種類のものであってもよいし、互いに種類の異なるものであってもよいが、互いに種類の異なるものであることが好ましい。典型的には、第1のフィルタと第2フィルタとは、孔径および構成素材のうちの少なくとも一方が異なっていることが好ましい。
 1回目のフィルタリングの孔径より2回目以降の孔径が同じ、または、小さい方が好ましい。また、上述した範囲内で異なる孔径の第1のフィルタを組み合わせてもよい。ここでの孔径は、フィルタメーカーの公称値を参照できる。市販のフィルタとしては、例えば、日本ポール株式会社、アドバンテック東洋株式会社、日本インテグリス株式会社(旧日本マイクロリス株式会社)または株式会社キッツマイクロフィルタ等が提供する各種フィルタの中から選択できる。また、ポリアミド製の「P-ナイロンフィルター(孔径0.02μm、臨界表面張力77mN/m)」;(日本ポール株式会社製)、高密度ポリエチレン製の「PE・クリーンフィルタ(孔径0.02μm)」;(日本ポール株式会社製)、および高密度ポリエチレン製の「PE・クリーンフィルタ(孔径0.01μm)」;(日本ポール株式会社製)も使用することができる。
 第2のフィルタは、上述した第1のフィルタと同様の材料で形成されたフィルタを使用できる。上述した第1のフィルタと同様の孔径のものが使用できる。第2のフィルタの孔径が第1のフィルタより小さいものを用いる場合には、第2のフィルタの孔径と第1のフィルタの孔径との比(第2のフィルタの孔径/第1のフィルタの孔径)が0.01~0.99が好ましく、0.1~0.9より好ましく、0.3~0.9がさらに好ましい。第2フィルタの孔径を上記範囲とすることにより、処理液に混入している微細な異物がより確実に除去される。
 例えば、第1のフィルタでのフィルタリングは、処理液の一部の成分が含まれる混合液で行い、これに残りの成分を混合して処理液を調製した後で、第2のフィルタでのフィルタリングを行ってもよい。
 また、使用されるフィルタは、処理液を濾過する前に処理することが好ましい。この処理に使用される液体は、特に限定されないが、本発明の処理液そのもの、本発明の処理液を希釈したもの、または、処理液に含まれる成分を含有する液体であると、本願所望の効果が顕著に得られる。
 フィルタリングを行う場合には、フィルタリング時の温度の上限値は、室温(25℃)以下が好ましく、23℃以下がより好ましく、20℃以下がさらに好ましい。また、フィルタリング時の温度の下限値は、0℃以上が好ましく、5℃以上がより好ましく、10℃以上がさらに好ましい。
 フィルタリングでは、粒子性の異物や不純物が除去できるが、上記温度で行われると、処理液中に溶解している粒子性の異物や不純物の量が少なくなるため、フィルタリングがより効率的に行われる。
[積層体の処理方法]
 本発明の積層体の処理方法は、上記処理液を用いて、基板と、基板上に形成された第2層と、第2層上に形成された第1層と、を備える半導体デバイス用の積層体の処理を行う処理工程Bを有する。また、本発明の積層体の処理方法は、処理工程Bの前に、上記処理液を調製する処理液調製工程Aを有していてもよい。
 以下の積層体の処理方法の説明においては、処理工程Bの前に処理液調製工程Aを実施する場合を一例として示すが、これに限定されず、本発明の積層体の処理方法は、予め準備された上記処理液を用いて行われるものであってもよい。
 なお、後述するように、処理工程Bでは、第1層の除去、および、ドライエッチング残渣物の除去の少なくとも一方が実施される。
 本発明の積層体の処理方法は、上述した処理液を使用しているので、第1層(メタルハードマスク)のエッチング性に優れ、かつ、第2層(絶縁層)のエッチングを抑制できる。
<積層体>
 処理対象物である積層体は、基板と、基板上に形成された第2層と、第2層上に形成された第1層と、を備える。積層体は、基板と第2層との間に、第3層を備えることが好ましい。
 このような積層体としては、具体的には、基板、金属層(上記第3層に相当)、層間絶縁膜(第2層に相当)、および、メタルハードマスク(第1層に相当)をこの順に備える半導体デバイス用の積層体が挙げられる。
 積層体は、さらに、ドライエッチング工程等を経たことにより、金属層の表面を露出するようにメタルハードマスクの表面(開口部)から基板に向かって形成されたホールを有することが好ましい。
 上記のような、ホールを有する積層体の製造方法は特に制限されないが、通常、基板と、金属層と、層間絶縁膜と、メタルハードマスクとをこの順で有する処理前の積層体に対して、メタルハードマスクをマスクとして用いてドライエッチング工程を実施して、金属層の表面が露出するように層間絶縁膜をエッチングすることにより、メタルハードマスクおよび層間絶縁膜内を貫通するホールを設ける方法が挙げられる。
 なお、メタルハードマスクの製造方法は特に制限されず、例えば、まず、層間絶縁膜上に所定の成分を含むメタルハードマスク前駆層を形成して、その上に所定のパターンのレジスト膜を形成する。次に、レジスト膜をマスクとして用いて、メタルハードマスク前駆層をエッチングすることで、メタルハードマスク(すなわち、メタルハードマスク前駆層がパターニングされた膜)を製造する方法が挙げられる。
 また、積層体は、上述の層以外の層を有していてもよく、例えば、エッチング停止層、反射防止層等が挙げられる。
 図1に、処理対象物である半導体デバイス用の積層体の一例を示す断面模式図を示す。
 図1に示す積層体10は、基板1上に、金属層2、エッチング停止層3、層間絶縁膜4、および、メタルハードマスク5をこの順に備え、ドライエッチング工程等を経たことで所定位置に金属層2の一部が露出するホール6が形成されている。つまり、図1に示す積層体10は、基板1と、金属層2と、エッチング停止層3と、層間絶縁膜4と、メタルハードマスク5と、をこの順で備え、メタルハードマスク5の開口部の位置において、その表面から金属層2の表面まで貫通するホール6が形成された積層体である。ホール6の内壁11は、エッチング停止層3、層間絶縁膜4およびメタルハードマスク5からなる断面壁11aと、露出された金属層2からなる底壁11bとで構成され、ドライエッチング残渣物12が付着している。
 本発明の積層体の処理方法は、これらのドライエッチング残渣物12の除去を目的とした洗浄、および、メタルハードマスク5の除去に好適に用いることができる。すなわち、ドライエッチング残渣物12、および、メタルハードマスク5の除去性能に優れつつ、積層体の内壁11(例えば、層間絶縁膜4など)のエッチングを抑制できる。
(メタルハードマスク)
 メタルハードマスクは、TiN、TiOxおよびZrOxからなる群より選択される少なくとも1種の材料を含むことが好ましい。ここで、xは、1~3で表される数である。
(層間絶縁膜)
 層間絶縁膜(本明細書において「絶縁膜」という場合がある。)は、誘電率kが3.0以下の材料が好ましく、2.6以下の材料がより好ましい。
 具体的な層間絶縁膜の材料としては、SiOx、SiON、およびSiOCなどが挙げられる。ここで、xは、1~3で表される数である。
(エッチング停止層)
 エッチング停止層の材料は、特に限定されない。具体的なエッチング停止層の材料としては、Alを含有する化合物(例えば、AlOx)、TEOS(テトラエトキシシラン)、SiN、SiOC、poly-Si(多結晶シリコン)、および、a-Si(アモルファスシリコン)などが挙げられ、Alを含有する化合物が好ましく、AlOxがより好ましい。ここで、xは、1~3で表される数である。
(金属層)
 金属層を形成する配線材料は、W、Co、CuおよびAlからなる群より選択される少なくとも1種の材料を含有することが好ましい。また、これらの金属は、他の金属との合金であってもよい。
(基板)
 ここでいう「基板」には、例えば、単層からなる半導体基板、および、多層からなる半導体基板が含まれる。
 単層からなる半導体基板を構成する材料は特に限定されず、一般的に、シリコン、シリコンゲルマニウム、GaAsのような第III-V族化合物、またはそれらの任意の組み合わせから構成されることが好ましい。
 多層からなる半導体基板である場合には、その構成は特に限定されず、例えば、上述のシリコン等の半導体基板上に金属線および誘電材料のような相互接続構造(interconnectfeatures)などの露出した集積回路構造を有していてもよい。相互接続構造に用いられる金属および合金としては、アルミニウム、銅と合金化されたアルミニウム、銅、チタン、タンタル、コバルト、シリコン、窒化チタン、窒化タンタル、およびタングステンが挙げられるが、これらに限定されるものではない。また、半導体基板上に、層間誘電体層、酸化シリコン、窒化シリコン、炭化シリコンおよび炭素ドープ酸化シリコン等の層を有していてもよい。
 以下、処理液調製工程Aおよび処理工程Bについて、それぞれ詳述する。
(処理液調製工程A)
 処理液調製工程Aは、上記処理液を調製する工程である。本工程で使用される各成分は、上述した通りである。
 本工程の手順は特に制限されず、例えば、含フッ素化合物、水溶性芳香族化合物、および、その他の任意成分を、水および/または有機溶剤などの溶媒に添加して、撹拌混合することにより処理液を調製する方法が挙げられる。
 また、処理液に含まれる各成分は、半導体グレードに分類されるもの、または、それに準ずる高純度グレードに分類されるものを使用することが好ましい。また、原材料の時点で不純物が多い成分に関しては、フィルタリングによる異物除去、イオン交換樹脂などによるイオン成分低減を行ったものを用いることが好ましい。
(処理工程B)
 処理工程Bでは、上記積層体に上記処理液を接触させる。これにより、ドライエッチング残渣物の除去を目的とした洗浄、および、メタルハードマスクの除去(ウェットエッチング)の少なくとも一方が行われる。
 積層体に処理液を接触させる方法は特に限定されないが、例えば、タンクに入れた処理液中に積層体を浸漬する方法、積層体上に処理液を噴霧する方法、積層体上に処理液を流す方法、またはそれらの任意の組み合わせが挙げられる。
 処理液の温度は、90℃以下であることが好ましく、25~80℃であることがより好ましく、30~75℃であることがさらに好ましく、40~65℃であることが特に好ましい。
 処理時間は、処理液の接触方法および処理液の温度に応じて調整することができる。
 浸漬バッチ方式(処理槽内で複数枚の積層体を浸漬し処理するバッチ方式)で処理する場合には、処理時間は、例えば、60分以内であり、1~60分であることが好ましく、3~20分であることがより好ましく、4~15分であることがさらに好ましい。
 枚葉方式で処理する場合には、処理時間は、例えば、10秒~5分であり、15秒~4分であることが好ましく、15秒~3分であることがより好ましく、20秒~2分であることがさらに好ましい。
 さらに、処理液の処理能力をより増進するために、機械的撹拌方法を用いてもよい。
 機械的撹拌方法としては、例えば、積層体上で処理液を循環させる方法、積層体上で処理液を流過または噴霧させる方法、超音波またはメガソニックにて処理液を撹拌する方法等が挙げられる。
(リンス工程B2)
 本発明の積層体の処理方法は、処理工程Bの後に、積層体を溶剤ですすいで清浄する工程(リンス工程B2)をさらに有していてもよい。
 リンス工程B2は、処理工程Bに連続して行われ、リンス溶剤(リンス液)で5秒~5分にわたってすすぐ工程であることが好ましい。リンス工程B2は、上述の機械的撹拌方法を用いて行ってもよい。
 リンス溶剤としては、例えば、脱イオン水、メタノール、エタノール、イソプロピルアルコール、N-メチルピロリジノン、γ-ブチロラクトン、ジメチルスルホキシド、乳酸エチルおよびプロピレングリコールモノメチルエーテルアセテートが挙げられるが、これらに限定されるものではない。あるいは、pH>8の水性リンス液(希釈した水性の水酸化アンモニウム等)を利用してもよい。
 リンス溶剤としては、水酸化アンモニウム水溶液、脱イオン水、メタノール、エタノールおよびイソプロピルアルコールが好ましく、水酸化アンモニウム水溶液、脱イオン水およびイソプロピルアルコールであることがより好ましく、水酸化アンモニウム水溶液および脱イオン水であることがさらに好ましい。
 リンス溶剤を積層体に接触させる方法としては、上述した処理液を積層体に接触させる方法を同様に適用することができる。
 リンス工程B2におけるリンス溶剤の温度は、16~27℃であることが好ましい。
 上述した処理液は、リンス工程B2のリンス溶剤として使用してもよい。
(乾燥工程B3)
 本発明の積層体の処理方法は、リンス工程B2の後に積層体を乾燥させる乾燥工程B3を有していてもよい。
 乾燥方法としては、特に限定されない。乾燥方法としては、例えば、スピン乾燥法、積層体上に乾性ガスを流過させる方法、ホットプレート若しくは赤外線ランプのような加熱手段によって基板を加熱する方法、マランゴニ乾燥法、ロタゴニ乾燥法、IPA(イソプロピルアルコール)乾燥法、またはそれらの任意の組み合わせが挙げられる。
 乾燥時間は、用いる特定の方法に依存するが、一般的には、30秒~数分であることが好ましい。
(粗大粒子除去工程H)
 本発明の積層体の処理方法は、上記処理工程Bを行う前に、処理液中の粗大粒子を除去する粗大粒子除去工程Hを有することが好ましい。
 処理液中の粗大粒子を低減または除去することで、処理工程Bを経た後の積層体上に残存する粗大粒子の量を低減することができる。この結果、積層体上の粗大粒子に起因したパターンダメージを抑制でき、デバイスの歩留まり低下および信頼性低下への影響も抑制することができる。
 粗大粒子を除去するための具体的な方法としては、例えば、処理液調製工程Aを経た処理液を所定の除粒子径の除粒子膜を用いて濾過精製する方法等が挙げられる。
 なお、粗大粒子の定義については、上述のとおりである。
(除電工程I、J)
 本発明の積層体の処理方法は、上記処理液調製工程Aにおける上記処理液の調製の際に水を用いて、上記処理液調製工程Aの前に上記水に対して除電を行う除電工程I、および/又は、上記処理液調製工程Aの後であって上記処理工程Bを行う前に、上記処理液に対して除電を行う除電工程Jを有することが好ましい。
 積層体へ処理液を供給するための接液部の材質は、処理液に対して金属溶出のない樹脂とすることが好ましい。
 このため、本発明の積層体の処理方法では、上述の除電工程Iおよび除電工程Jの少なくとも一方の工程を実施し、処理液の帯電電位を低減させることが好ましい。また、除電を行うことで、基板への異物(粗大粒子など)の付着や積層体へのダメージ(腐食)をより抑制することができる。
 除電方法としては、具体的には、水および/または処理液を導電性材料に接触させる方法が挙げられる。
 水および/または処理液を導電性材料に接触させる接触時間は、0.001~1秒が好ましく、0.01~0.1秒がより好ましい。
 樹脂の具体的な例としては、高密度ポリエチレン(HDPE)、高密度ポリプロピレン(PP)、6,6-ナイロン、テトラフルオロエチレン(PTFE)、テトラフルオロエチレンとパーフルオロアルキルビニルエーテルの共重合体(PFA)、ポリクロロトリフルオロエチレン(PCTFE)、エチレン・クロロトリフルオロエチレン共重合体(ECTFE)、エチレン・四フッ化エチレン共重合体(ETFE)、および、四フッ化エチレン・六フッ化プロピレン共重合体(FEP)などが挙げられる。
 導電性材料としては、ステンレス鋼、金、白金、ダイヤモンド、および、グラッシーカーボンなどが挙げられる。
 本発明の処理液を用いた積層体の処理方法は、処理工程Bで用いた処理液の排液を再利用し、さらに他の積層体の洗浄に用いることが可能である。
 本発明の積層体の処理方法は、処理液の排液を再利用する態様である場合、下記の工程から構成されることが好ましい。
 上記処理工程Bと、
 上記処理工程Bで使用された上記処理液の排液を回収する排液回収工程Cと、
 回収された上記処理液の排液を用いて、新たに準備される積層体を処理する処理工程Dと、
 上記処理工程Dで使用された上記処理液の排液を回収する排液回収工程Eと、
を有し、
 上記処理工程Dと上記排液回収工程Eとを繰り返す工程とを含有する。
 上記排液を再利用する態様において、処理工程Bは、上述した態様で説明した処理工程Bと同義であり、また好ましい態様についても同じである。また、上記排液を再利用する態様においても、粗大粒子除去工程H、除電工程I、Jを有していることが好ましい。また、処理工程Bの前に上述した態様で説明した処理液調製工程Aを有していてもよい。
 処理工程Dは、上述した態様における処理工程Bと同義であり、好ましい態様も同様である。
 排液回収工程C、Eにおける排液回収手段は特に限定されない。回収した排液は、上記除電工程Jにおいて上述した樹脂製容器に保存されることが好ましく、この時に除電工程Jと同様の除電工程を行ってもよい。また、回収した排液に濾過等を実施し不純物を除去する工程を設けてもよい。
 以下、実施例を用いて、本発明について詳細に説明する。ただし、本発明はこれに限定されるものではない。なお、特に断りのない限り、「%」は質量基準である。
[実施例1-1~1-77、比較例1-1~1-2]
<処理液の調製>
 第1表に示す各成分の合計量が100質量%となるように、各成分を混合および攪拌して、実施例および比較例の各処理液を得た。
 実施例および比較例の各処理液の調製に用いた成分は以下の通りである。
<含フッ素化合物>
HF:フッ化水素(関東化学社製)
<水溶性芳香族化合物>
フタル酸:pKa2.98(和光純薬工業社製)、74g/L(25℃)
フェニルホスホン酸:pKa1.86(東京化成工業社製)、400g/L(25℃)
p-トルエンスルホン酸:pKa-2.15(東京化成工業社製)、670g/L(25℃)
アントラニル酸:pKa2.00(東京化成工業社製)、4.5g/L(25℃)
サリチル酸:pKa2.78(和光純薬社製)、3.3g/L(25℃)
カテコール:pKa14超(和光純薬工業社製)、312g/L(25℃)
p-キシリレンジアミン:pKa14超(東京化成工業社製)、100g/L以上(25℃)
<界面活性剤>
Hosten HLP:商品名「NIKKOL ホステン HLP」(日光ケミカルズ社製)、アニオン性界面活性剤ぺレックス SSL:アニオン性界面活性剤(商品名、花王社製)
ぺレックス NBL:アニオン性界面活性剤(商品名、花王社製)
ラテムル ASK:アニオン性界面活性剤(商品名、花王社製)
ドデカン酸:アニオン性界面活性剤(和光純薬工業社製)
ドデカン二酸:アニオン性界面活性剤(和光純薬工業社製)
<防食剤>
5-MBTA:5-メチル-1H-ベンゾトリアゾール(和光純薬工業社製)
BTA:ベンゾトリアゾール(和光純薬工業社製)
IRGAMET 42:2,2’-{[(4-メチル-1H-ベンゾトリアゾール-1-イル)メチル]イミノ}ビスエタノール(BASF社製)
IRGAMET 39:N,N-ビス(2-エチルヘキシル)-(4または5)-メチル-1H-ベンゾトリアゾール-1-メチルアミン(BASF社製)
クエン酸:(和光純薬工業社製)
<含ホウ素化合物>
ホウ酸:(和光純薬工業社製)
ホウ酸モノフェニル:(東京化成工業社製)
<高分子化合物>
PAA(MW5000):ポリアクリル酸、重量平均分子量(Mw) 5000、(和光純薬工業社製)、アニオン性ポリマー
PAA(MW500):ポリアクリル酸、重量平均分子量(Mw) 500、(和光純薬工業社製)、アニオン性ポリマー
PAA(MW25000):ポリアクリル酸、重量平均分子量(Mw) 25000、(和光純薬工業社製)、アニオン性ポリマー
PAA(MW150000):ポリアクリル酸、重量平均分子量(Mw) 150000、(和光純薬工業社製)、アニオン性ポリマー
ポリスチレンスルホン酸(MW3000):重量平均分子量(Mw) 3000、(東京化成社製)、アニオン性ポリマー
ポリリン酸(MW5000):重量平均分子量(Mw) 5000、(和光純薬工業社製)、アニオン性ポリマー
ポリエチレンイミン(MW5000):重量平均分子量(Mw) 5000、(BASF社製)、カチオン性ポリマー
ポリアリルアミン(MW5000):重量平均分子量(Mw) 5000、(BASF社製)、カチオン性ポリマー
<金属イオン>
 金属イオンについては、金属塩化物の形態で処理液中に添加した。
SrCl(表中「SrCl2」と記した):(和光純薬工業社製)
BaCl(表中「BaCl2」と記した):(和光純薬工業社製)
CaCl(表中「CaCl2」と記した):(和光純薬工業社製)
AlCl(表中「AlCl3」と記した):(和光純薬工業社製)
KCl:(和光純薬工業社製)
LaCl(表中「LaCl3」と記した):(和光純薬工業社製)
TiCl(表中「TiCl3」と記した):(和光純薬工業社製)CuCl(表中「CuCl2」と記した):(和光純薬工業社製)
ZnCl(表中「ZnCl2」と記した):(和光純薬工業社製)
<有機溶剤>
EGBE:エチレングリコールモノn-ブチルエーテル(和光純薬工業社製)
HG:へキシレングリコール(和光純薬工業社製)
DEGBE:ジエチレングリコールモノブチルエーテル(和光純薬工業社製)
 上記の有機溶剤は、硝子により形成された蒸留塔で蒸留を繰り返した後、イオン交換及びフィルタ濾過を繰り返すことで精製を行った。
<水>
 水は、特開2007―254168号公報に記載されている方法により精製を行い、処理液の調製に用いた。
<pH調整剤>
MSA:メタンスルホン酸(和光純薬工業社製)
DBU:ジアザシクロウンデセン(和光純薬工業社製)
 なお、pH調整剤は、処理液のpHが表中の値になるように適量(処理液中の全質量に対して1質量%以下)加えた。
<その他>
(酸化剤)
硝酸
[処理液の物性]
<pH>
 pHメータ(製品名「pH Meter F-51」、堀場製作所製)を用いて、実施例および比較例の各処理液の23℃におけるpHを測定した。
[評価試験]
<エッチング性能>
 第1表に記載の各材料からなるモデル膜(TiN、ZrOx、Al、AlOx、W、Co、Cu、SiO、SiONおよびSiOCの各膜)を準備して、そのエッチングレートに基づいて、エッチング性の評価を行った。各モデル膜の膜厚は、1000Åの膜厚である。なお、xは、1~3で表される数である。
 実施例および比較例の各処理液を用いて、各モデル膜のエッチング処理をした。具体的には、実施例および比較例の処理液中に各モデル膜を10分間浸漬して、処理液の浸漬前後におけるモデル膜の膜厚差に基づいて、エッチングレート(Å/分)を算出した。
 なお、処理前後のモデル膜の膜厚は、エリプソメトリー(分光エリプソメーター、商品名「Vase」、ジェー・エー・ウーラム・ジャパン社製)を用いて、測定範囲250-1000nm、測定角度70度および75度の条件で測定した。
[評価結果]
 以上の評価結果を下記第1表に示す。なお、表中の「>0.5」とは、0.5未満であることを示す。また、「>0.1」とは、0.1未満であることを示す。
Figure JPOXMLDOC01-appb-T000002
Figure JPOXMLDOC01-appb-T000003
Figure JPOXMLDOC01-appb-T000004
 第1表に示すように、含フッ素化合物と水溶性芳香族化合物とを含有し、pHが5以下である実施例1-1~1-77の処理液を用いると、メタルハードマスクの除去性(エッチング性)に優れつつ、絶縁膜のエッチングを抑制できることが示された。
 これに対して、含フッ素化合物を含有しない比較例1-1の処理液を用いると、メタルハードマスクの除去性が劣ることが示された。
 また、水溶性芳香族化合物を含有しない比較例1-2の処理液を用いると、絶縁膜のエッチングが顕著になることが示された。
[実施例2-1~2-7]
<処理液の調製>
 第2表に示す各成分の合計量が100質量%となるように、各成分を混合および攪拌して、実施例2-1~2-7の各処理液を得た。各処理液の調製に用いた成分は上述の通りである。
 実施例2-1~2-7の各処理液について、実施例1-1と同様にしてpHを測定した。
[評価試験]
 実施例2-1~2-7では、処理液をエッチング残渣物の除去に使用する「洗浄液」として用いた際の性能を確認した。
<PER性能>
 上述した実施例1-1等における「エッチング性能」の評価方法と同様にして、PER(Post Etching Residue)性能を評価した。
<洗浄性能>
 基板(Si)上に、第3層(金属層:Al、W、Co、または、Cu)、その他の層(エッチング停止層:AlOx、xは1~3)、第2層(絶縁膜:SiO2、SiON、または、SiOC)、および、所定の開口部を有する第1層(メタルハードマスク:TiNまたはZrOx、xは1~3)を、この順で備える積層体(処理前の積層体に該当)を形成した。得られた積層体を使用し、第1層をマスクとしてプラズマエッチングを実施して、第3層の表面が露出するまで、第2層のエッチングを行い、ホールを形成し、試料1を製造した(図1参照)。この積層体の断面を走査型電子顕微鏡写真(SEM:Scanning Electron Microscope)で確認すると、ホール壁面にはプラズマエッチング残渣物が認められた。
 そして、下記の手順により、洗浄性能を評価した。まず、各処理液を65℃まで加熱した後、処理液中に上記積層体を10分間浸漬させた。積層体の浸漬後における残渣の残り具合を走査型電子顕微鏡(SEM)にて確認後、以下の基準により洗浄性能を評価した。
A:完全に洗浄(100%)が出来ている(浸漬前にSEMにて確認された残渣が、浸漬後に100%除去されている)
B:98%以上100%未満の洗浄が出来ている(浸漬前にSEMにて確認された残渣が、浸漬後に98%以上100%未満除去されている)
C:95%以上98%未満の洗浄が出来ている(浸漬前にSEMにて確認された残渣が、浸漬後に95%以上98%未満除去されている)
D:90%以上95%未満の洗浄が出来ている(浸漬前にSEMにて確認された残渣が、浸漬後に90%以上95%未満除去されている)
E:洗浄が90%未満(浸漬前にSEMにて確認された残渣が、浸漬後に90%未満除去されている)
<コロージョン性能>
 上記「洗浄性能」の評価試験後の積層体について、TEM(透過型電子顕微鏡)による観測を行い、各金属層の間で異種金属間の電池反応(過剰腐食)が観測されるかを確認した。その腐食程度に応じてコロージョン性能を判断した。評価基準は次の通りである。
A:異種金属間でコロージョンの発生が見られない
B:異種金属間で一部コロージョンの発生が見られる
[評価結果]
 以上の評価結果を下記第2表に示す。なお、表中の「>0.5」とは、0.5未満であることを示す。
Figure JPOXMLDOC01-appb-T000005
 第2表に示すように、含フッ素化合物と水溶性芳香族化合物とを含有し、pHが5以下である実施例2-1~2-7の処理液を用いると、メタルハードマスクのエッチング残渣物の除去性に優れつつ、絶縁膜のエッチングを抑制できることが示された。
[実施例3-1~3-5]
 上記実施例2-1~2-5の処理液を、実施例3-1~3-5の処理液として、以下の試験に使用した。
<処理液のリサイクル後(25枚処理後)における評価(リサイクル性能)>
 上記「PER性能」、「洗浄性能」および「コロージョン性能」で用いたモデル膜または積層体を、各処理液で25枚処理した後における評価を行い、リサイクル性の評価とした。
 具体的には、上記「PER性能」、「洗浄性能」および「コロージョン性能」で行った手順および条件で処理液を換えずにモデル膜または積層体を1枚毎に処理し、25枚目の処理後のモデル膜または積層体について、上記「PER性能」、「洗浄性能」および「コロージョン性能」の評価をした。
 処理液のリサイクル後(25枚処理後)における各性能は、下記の基準に従い行った。
 A:「PER性能」、「洗浄性能」および「コロージョン性能」の各種評価において、1枚目の処理時と変わらない結果が得られた。
 B:「PER性能」、「洗浄性能」および「コロージョン性能」のいずれかの評価において、1枚目の処理時よりわずかに劣る結果となった。
 C:「PER性能」、「洗浄性能」および「コロージョン性能」のいずれかの評価において、1枚目の処理時より大きく劣る結果となったが、実用上要求される性能は満たした。
 D:「PER性能」、「洗浄性能」および「コロージョン性能」のいずれかの評価において、1枚目の処理時より大きく劣り、実用上要求される性能を満たさなかった。
<処理液の24時間経過後における評価(経時変化)>
 調製から24時間経過した後の各処理液を用いて、上記「PER性能」、「洗浄性能」および「コロージョン性能」で用いたモデル膜または積層体の処理を行うことで、処理液の経時変化を評価した。
 具体的には、まず、洗浄液を保存瓶に投入し60℃で24時間密閉保存した。次いで、上記「PER性能」、「洗浄性能」および「コロージョン性能」で行った手順および条件で、保存後の処理液を用いてモデル膜または積層体を処理した後、上記「PER性能」、「洗浄性能」および「コロージョン性能」の評価をした。
 処理液の24時間経過後における経時変化の評価は、下記の基準にしたがって実施した。
 A:「PER性能」、「洗浄性能」および「コロージョン性能」の各種評価において、処理液の保存前と変わらない結果が得られた。
 B:「PER性能」、「洗浄性能」および「コロージョン性能」のいずれかの評価において、処理液の保存前よりわずかに劣る結果となった。
 C:「PER性能」、「洗浄性能」および「コロージョン性能」のいずれかの評価において、処理液の保存前より大きく劣る結果となったが、実用上要求される性能は満たした。
 D:「PER性能」、「洗浄性能」および「コロージョン性能」のいずれかの評価において、処理液の保存前より大きく劣り、実用上要求される性能を満たさなかった。
 実施例3-1~3-5の評価結果を第3表に示す。
Figure JPOXMLDOC01-appb-T000006
 第3表に示すように、実施例3-1~3-5の処理液は、リサイクル性および経時変化に優れていることが示された。
 実施例3-1において、フタル酸8.0%を、フタル酸5.0%とフェニルホスホン酸3.0%に変更した以外は同様にして評価を行ったところ、実施例3-1と同様の結果が得られた。
 実施例3-1において、ホウ酸0.1%を、ホウ酸0.05%とホウ酸モノフェニル0.05%に変更した以外は同様にして評価を行ったところ、実施例3-1と同様の結果が得られた。
 実施例3-1において、5-MBTA0.25%を、5-MBTA0.15%とIRGAMET42 0.1%に変更した以外は同様にして評価を行ったところ、実施例3-1と同様の結果が得られた。
 実施例3-1において、SrCl0.1%を、SrCl0.08%とBaCl0.02%に変更した以外は同様にして評価を行ったところ、実施例3-1と同様の結果が得られた。
 実施例3-3において、EGBE10%を、EGBE5%とDEGBE 5%に変更した以外は同様にして評価を行ったところ、実施例3-3と同様の結果が得られた。
 実施例3-3において、PAA(MW5000)0.5%を、PAA(MW5000) 0.4%とポリスチレンスルホン酸(MW3000)0.1%に変更した以外は同様にして評価を行ったところ、実施例3-3と同様の結果が得られた。
 実施例3-3において、HFを、フッ化アンモニウム(ステラケミファ(株)社製)に変えた以外は同様にして評価を行ったところ、リサイクル性能がBになった以外は実施例3-3と同様の結果が得られた。なお、エッチング性能とPER性能については、同様の結果が得られた。
 実施例3-3において、HFを、ヘキサフルオロケイ酸アンモニウム(ステラケミファ(株)社製)に変えた以外は同様にして評価を行ったところ、リサイクル性能がBになった以外は実施例3-3と同様の結果が得られた。なお、エッチング性能とPER性能については、同様の結果が得られた。
 実施例3-3において、HF1.2%を、HF0.8%とフッ化アンモニウム0.4%に変えた以外は同様にして評価を行ったところ、実施例3-3と同様の結果が得られた。なお、エッチング性能とPER性能については、同様の結果が得られた。
 1 基板
 2 金属層
 3 エッチング停止層
 4 層間絶縁膜
 5 メタルハードマスク
 6 ホール
 10 積層体
 11 内壁
 11a 断面壁
 11b 底壁
 12 ドライエッチング残渣物
 

Claims (27)

  1.  半導体デバイス用の処理液であって、
     含フッ素化合物と、複素環基を有さずベンゼン環を有する水溶性芳香族化合物と、を含有し、
     pHが5以下である、処理液。
  2.  前記水溶性芳香族化合物のpKaが6以下である、請求項1に記載の処理液。
  3.  さらに、水を含有し、
     前記水の含有量が、前記処理液の全質量に対して、50質量%以上である、請求項1または2に記載の処理液。
  4.  酸化剤を含有しない、請求項1~3のいずれか1項に記載の処理液。
  5.  前記含フッ素化合物が、フッ化水素である、請求項1~4のいずれか1項に記載の処理液。
  6.  前記水溶性芳香族化合物が酸性基を有する、請求項1~5のいずれか1項に記載の処理液。
  7.  前記水溶性芳香族化合物が、フェニルホスホン酸、ベンゼンカルボン酸、および、ベンゼンスルホン酸、ならびに、これらの誘導体からなる群より選択される少なくとも1種を含む、請求項1~6のいずれか1項に記載の処理液。
  8.  前記水溶性芳香族化合物の含有量が、前記処理液の全質量に対して、0.05~10質量%である、請求項1~7のいずれか1項に記載の処理液。
  9.  前記含フッ素化合物の含有量をM1とし、前記水溶性芳香族化合物の含有量をM2とした場合において、含有比M1/M2が0.05~10である、請求項1~8のいずれか1項に記載の処理液。
  10.  pHが2~5である、請求項1~9のいずれか1項に記載の処理液。
  11.  さらに、アニオン性界面活性剤を含有する、請求項1~10のいずれか1項に記載の処理液。
  12.  さらに、防食剤を含有する、請求項1~11のいずれか1項に記載の処理液。
  13.  さらに、含ホウ素化合物を含有する、請求項1~12のいずれか1項に記載の処理液。
  14.  さらに、有機溶剤を含有する、請求項1~13のいずれか1項に記載の処理液。
  15.  さらに、アニオン性ポリマーを含有する、請求項1~14のいずれか1項に記載の処理液。
  16.  前記アニオン性ポリマーの重量平均分子量が、2000~100000である、請求項15に記載の処理液。
  17.  前記アニオン性ポリマーがポリアクリル酸である、請求項15または16に記載の処理液。
  18.  さらに、金属イオンを含有する、請求項1~17のいずれか1項に記載の処理液。
  19.  前記金属イオンが2価以上の金属イオンである、請求項18に記載の処理液。
  20.  前記金属イオンが、アルカリ土類金属イオンおよびAlイオンからなる群より選択される少なくとも1種である、請求項18または19に記載の処理液。
  21.  前記金属イオンが、Srイオン、BaイオンおよびAlイオンからなる群より選択される少なくとも1種である、請求項18~20のいずれか1項に記載の処理液。
  22.  前記半導体デバイスが、基板と、前記基板上に形成された第2層と、前記第2層上に形成された第1層と、を備える半導体デバイス用の積層体を有し、
     前記第2層がSiOx、SiOC、SiNおよびSiONからなる群より選択される少なくとも1種の材料を含み、かつ、前記第1層が前記第2層とは異なる材料から構成されており、
     前記処理液が前記積層体の処理に用いられる、請求項1~21のいずれか1項に記載の処理液。ここで、xは、1~3で表される数である。
  23.  前記第1層が、TiN、TiOxおよびZrOxからなる群より選択される少なくとも1種の材料を含む、請求項22に記載の処理液。ここで、xは、1~3で表される数である。
  24.  前記処理液による前記第1層の除去速度をER1とし、前記処理液による前記第2層の除去速度をER2とした場合、除去速度比ER1/ER2が0.5~1000である、請求項22または23に記載の処理液。
  25.  前記積層体が、前記基板と前記第2層との間に、さらに第3層を備え、
     前記第3層が、W、Co、CuおよびAlからなる群より選択される少なくとも1種の材料を含む金属である、請求項22~24のいずれか1項に記載の処理液。
  26.  請求項1~25のいずれか1項に記載の処理液を用いて、基板と、前記基板上に形成された第2層と、前記第2層上に形成された第1層と、を備える半導体デバイス用の積層体の処理を行う処理工程Bを有し、
     前記第1層が、TiN、TiOxおよびZrOxからなる群より選択される少なくとも1種の材料を含み、
     前記第2層が、SiOx、SiOC、SiNおよびSiONからなる群より選択される少なくとも1種の材料を含む、積層体の処理方法。ここで、xは、1~3で表される数である。
  27.  前記処理工程Bの前に、前記処理液を調製する処理液調製工程Aをさらに有する、請求項26に記載の積層体の処理方法。
PCT/JP2017/031042 2016-09-29 2017-08-30 処理液および積層体の処理方法 WO2018061582A1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2018542015A JP6970675B2 (ja) 2016-09-29 2017-08-30 処理液および積層体の処理方法
KR1020197007576A KR102160019B1 (ko) 2016-09-29 2017-08-30 처리액 및 적층체의 처리 방법
US16/291,684 US20190194580A1 (en) 2016-09-29 2019-03-04 Treatment liquid and method for treating laminate

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2016192210 2016-09-29
JP2016-192210 2016-09-29

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US16/291,684 Continuation US20190194580A1 (en) 2016-09-29 2019-03-04 Treatment liquid and method for treating laminate

Publications (1)

Publication Number Publication Date
WO2018061582A1 true WO2018061582A1 (ja) 2018-04-05

Family

ID=61763472

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2017/031042 WO2018061582A1 (ja) 2016-09-29 2017-08-30 処理液および積層体の処理方法

Country Status (5)

Country Link
US (1) US20190194580A1 (ja)
JP (1) JP6970675B2 (ja)
KR (1) KR102160019B1 (ja)
TW (1) TWI820006B (ja)
WO (1) WO2018061582A1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210006000A (ko) * 2018-07-06 2021-01-15 엔테그리스, 아이엔씨. 물질의 선택적 에칭을 위한 개선
JPWO2021049330A1 (ja) * 2019-09-11 2021-03-18
US20220315800A1 (en) * 2021-03-24 2022-10-06 Fujimi Incorporated Silicon nitride chemical mechanical polishing slurry with silicon nitride removal rate enhancers and methods of use thereof
JP7330972B2 (ja) 2017-12-08 2023-08-22 ビーエーエスエフ ソシエタス・ヨーロピア 半導体基板からエッチング後または灰化後の残留物を除去するための洗浄剤組成物、およびそれに対応する製造方法
WO2024048269A1 (ja) * 2022-08-29 2024-03-07 東京エレクトロン株式会社 基板処理方法、および基板処理装置

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7140745B2 (ja) * 2017-03-08 2022-09-21 株式会社フジミインコーポレーテッド 表面処理組成物及びその製造方法、表面処理方法、並びに半導体基板の製造方法
FR3068509B1 (fr) * 2017-06-30 2020-02-28 Technic France Composition chimique de nettoyage pour le retrait d'une couche de passivation amorphe a la surface de materiaux cristallins
KR20200058428A (ko) * 2017-10-10 2020-05-27 미쯔비시 케미컬 주식회사 세정액, 세정 방법 및 반도체 웨이퍼의 제조 방법
SG11202111994PA (en) * 2019-05-01 2021-11-29 Fujifilm Electronic Materials U S A Inc Etching compositions
EP3983500A4 (en) * 2019-06-13 2022-11-02 FUJIFILM Electronic Materials U.S.A, Inc. ETCHING COMPOSITIONS
KR20220159054A (ko) * 2021-05-25 2022-12-02 주식회사 이엔에프테크놀로지 식각액 조성물
CN114632194B (zh) * 2022-04-11 2023-05-23 东莞市人民医院 具有长效no催化释放的涂层材料及制备方法和应用

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10261607A (ja) * 1997-01-16 1998-09-29 Mitsubishi Materials Shilicon Corp 半導体基板の洗浄液及びその洗浄方法
JP2005232559A (ja) * 2004-02-23 2005-09-02 Meltex Inc チタン剥離液
JP2005268285A (ja) * 2004-03-16 2005-09-29 Sharp Corp 半導体のエッチング方法およびエッチング液
JP2010067610A (ja) * 2008-09-12 2010-03-25 Dongjin Semichem Co Ltd 有機発光ダイオード表示装置のエッチング液組成物
JP2014057039A (ja) * 2012-08-10 2014-03-27 Fujifilm Corp 半導体基板製品の製造方法及びエッチング液
WO2014115805A1 (ja) * 2013-01-25 2014-07-31 富士フイルム株式会社 半導体基板のエッチング方法、エッチング液及び半導体素子の製造方法並びにエッチング液のキット
JP2016127065A (ja) * 2014-12-26 2016-07-11 富士フイルム株式会社 エッチング液、これを用いたエッチング方法および半導体基板製品の製造方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6296714B1 (en) * 1997-01-16 2001-10-02 Mitsubishi Materials Silicon Corporation Washing solution of semiconductor substrate and washing method using the same
CN102981377B (zh) * 2005-06-07 2014-11-12 高级技术材料公司 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
WO2008080097A2 (en) * 2006-12-21 2008-07-03 Advanced Technology Materials, Inc. Liquid cleaner for the removal of post-etch residues
KR20100051839A (ko) * 2007-08-02 2010-05-18 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 마이크로전자 장치로부터 잔사를 제거하기 위한 플루오라이드 비-함유 조성물
JP2014103179A (ja) * 2012-11-16 2014-06-05 Fujifilm Corp 半導体基板のエッチング液、これを用いたエッチング方法及び半導体素子の製造方法
KR102338550B1 (ko) * 2013-06-06 2021-12-14 엔테그리스, 아이엔씨. 질화 티타늄의 선택적인 에칭을 위한 조성물 및 방법
US9472420B2 (en) * 2013-12-20 2016-10-18 Air Products And Chemicals, Inc. Composition for titanium nitride hard mask and etch residue removal
JP6231423B2 (ja) 2014-04-09 2017-11-15 東京応化工業株式会社 フォトリソグラフィ用剥離液及びパターン形成方法
US10301580B2 (en) * 2014-12-30 2019-05-28 Versum Materials Us, Llc Stripping compositions having high WN/W etching selectivity

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10261607A (ja) * 1997-01-16 1998-09-29 Mitsubishi Materials Shilicon Corp 半導体基板の洗浄液及びその洗浄方法
JP2005232559A (ja) * 2004-02-23 2005-09-02 Meltex Inc チタン剥離液
JP2005268285A (ja) * 2004-03-16 2005-09-29 Sharp Corp 半導体のエッチング方法およびエッチング液
JP2010067610A (ja) * 2008-09-12 2010-03-25 Dongjin Semichem Co Ltd 有機発光ダイオード表示装置のエッチング液組成物
JP2014057039A (ja) * 2012-08-10 2014-03-27 Fujifilm Corp 半導体基板製品の製造方法及びエッチング液
WO2014115805A1 (ja) * 2013-01-25 2014-07-31 富士フイルム株式会社 半導体基板のエッチング方法、エッチング液及び半導体素子の製造方法並びにエッチング液のキット
JP2016127065A (ja) * 2014-12-26 2016-07-11 富士フイルム株式会社 エッチング液、これを用いたエッチング方法および半導体基板製品の製造方法

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7330972B2 (ja) 2017-12-08 2023-08-22 ビーエーエスエフ ソシエタス・ヨーロピア 半導体基板からエッチング後または灰化後の残留物を除去するための洗浄剤組成物、およびそれに対応する製造方法
KR20210006000A (ko) * 2018-07-06 2021-01-15 엔테그리스, 아이엔씨. 물질의 선택적 에칭을 위한 개선
JP2021528867A (ja) * 2018-07-06 2021-10-21 インテグリス・インコーポレーテッド 材料を選択的にエッチングするための改善
JP7128948B2 (ja) 2018-07-06 2022-08-31 インテグリス・インコーポレーテッド 材料を選択的にエッチングするための改善
KR102579803B1 (ko) * 2018-07-06 2023-09-19 엔테그리스, 아이엔씨. 물질의 선택적 에칭을 위한 개선
JPWO2021049330A1 (ja) * 2019-09-11 2021-03-18
US20220315800A1 (en) * 2021-03-24 2022-10-06 Fujimi Incorporated Silicon nitride chemical mechanical polishing slurry with silicon nitride removal rate enhancers and methods of use thereof
WO2024048269A1 (ja) * 2022-08-29 2024-03-07 東京エレクトロン株式会社 基板処理方法、および基板処理装置

Also Published As

Publication number Publication date
JP6970675B2 (ja) 2021-11-24
TWI820006B (zh) 2023-11-01
KR102160019B1 (ko) 2020-09-28
JPWO2018061582A1 (ja) 2019-06-24
US20190194580A1 (en) 2019-06-27
TW201819612A (zh) 2018-06-01
KR20190041492A (ko) 2019-04-22

Similar Documents

Publication Publication Date Title
WO2018061670A1 (ja) 処理液、および積層体の処理方法
JP6970675B2 (ja) 処理液および積層体の処理方法
JP6713044B2 (ja) 処理液、基板の洗浄方法及びレジストの除去方法
KR102051346B1 (ko) 처리액, 기판 세정 방법 및 레지스트의 제거 방법
KR102055154B1 (ko) 처리액 및 기판 세정 방법
TWI737798B (zh) 處理液、基板的清洗方法、半導體裝置的製造方法
TWI702284B (zh) 處理液、基板的洗淨方法及半導體裝置的製造方法
KR102121759B1 (ko) 세정액, 기판 세정 방법, 및 반도체 디바이스의 제조 방법
JP7090625B2 (ja) 処理液、キット、基板の洗浄方法

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 17855540

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2018542015

Country of ref document: JP

Kind code of ref document: A

ENP Entry into the national phase

Ref document number: 20197007576

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 17855540

Country of ref document: EP

Kind code of ref document: A1