CN105683336A - 用于选择性蚀刻氮化钛的组合物和方法 - Google Patents

用于选择性蚀刻氮化钛的组合物和方法 Download PDF

Info

Publication number
CN105683336A
CN105683336A CN201480032492.0A CN201480032492A CN105683336A CN 105683336 A CN105683336 A CN 105683336A CN 201480032492 A CN201480032492 A CN 201480032492A CN 105683336 A CN105683336 A CN 105683336A
Authority
CN
China
Prior art keywords
acid
ammonium
compositions
ether
triazole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201480032492.0A
Other languages
English (en)
Inventor
陈丽敏
斯蒂芬·里皮
埃马纽尔·I·库珀
宋凌雁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Advanced Technology Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials Inc filed Critical Advanced Technology Materials Inc
Priority to CN202010258552.8A priority Critical patent/CN111394100A/zh
Publication of CN105683336A publication Critical patent/CN105683336A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/02Etching, surface-brightening or pickling compositions containing an alkali metal hydroxide
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/044Hydroxides or bases
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3942Inorganic per-compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3947Liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/395Bleaching agents
    • C11D3/3956Liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/06Hydroxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/20Other heavy metals
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)

Abstract

本发明提供可用于从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件相对于金属导电材料例如钴、钌和铜以及绝缘材料而言选择性去除氮化钛和/或光致抗蚀剂蚀刻残余物材料的组合物。所述去除组合物含有至少一种氧化剂和一种蚀刻剂,可含有各种腐蚀抑制剂以确保选择性。

Description

用于选择性蚀刻氮化钛的组合物和方法
技术领域
本发明涉及在金属导体和绝缘体材料(即,低k电介质)存在下选择性蚀刻氮化钛和/或光致抗蚀剂蚀刻残余物的组合物和方法,并且更具体地涉及以比铜、钴、钌和低k电介质材料的暴露层或下伏层更高的蚀刻速率和选择性有效且高效地蚀刻氮化钛和/或光致抗蚀剂蚀刻残余物的组合物和方法。
背景技术
光致抗蚀剂掩模通常用于半导体工业中以对材料如半导体或电介质进行图案化。在一种应用中,光致抗蚀剂掩模被用于双镶嵌工艺中以在微电子器件的后端金属化中形成互连。所述双镶嵌工艺包括在覆盖金属导体层如铜或钴层的低k电介质层上形成光致抗蚀剂掩模。然后根据所述光致抗蚀剂掩模蚀刻所述低k电介质层以形成暴露所述金属导体层的通孔和/或沟槽。所述通孔和沟槽通常被称为双镶嵌结构,其通常是使用两个光刻步骤来限定的。然后从低k电介质层去除光致抗蚀剂掩模,之后将导电材料沉积在通孔和/或沟槽中以形成互连。
随着微电子器件尺寸降低,实现通孔和沟槽的临界尺寸(criticaldimension)变得更困难。因此,使用金属硬掩模来提供通孔和沟槽的更好轮廓控制。所述金属硬掩模可由钛或氮化钛制成,并且在形成双镶嵌结构的通孔和/或沟槽后通过湿式蚀刻工艺去除。至关重要的是所述湿式蚀刻工艺使用有效去除金属硬掩模和/或光致抗蚀剂蚀刻残余物而不影响下伏的金属导体层和低k电介质材料的去除化学。换句话说,去除化学需要对金属导体层和低k电介质层具高度选择性。
因此,本发明的一个目的在于提供相对于所存在的金属导体层和低k电介质层选择性去除硬掩模材料而不损害硬掩模的蚀刻速率的改进组合物。
发明内容
本发明涉及用于相对于所存在的金属导体层和低k电介质层选择性蚀刻硬掩模层和/或光致抗蚀剂蚀刻残余物的组合物和方法。更具体地,本发明涉及用于相对于铜、钴、钌和低k电介质层选择性蚀刻氮化钛和/或光致抗蚀剂蚀刻残余物的组合物和方法。
在一个方面,描述了用于从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面选择性去除氮化钛和/或光致抗蚀剂蚀刻残余物材料的组合物,所述组合物包含至少一种氧化剂、至少一种蚀刻剂、至少一种金属腐蚀抑制剂、至少一种螯合剂和至少一种溶剂。
在另一个方面,描述了从上面具有氮化钛材料和/或光致抗蚀剂蚀刻残余物的微电子器件的表面蚀刻氮化钛材料和/或光致抗蚀剂蚀刻残余物的方法,所述方法包括使所述表面与组合物接触,其中所述组合物从所述表面相对于金属和绝缘材料选择性去除氮化钛材料和/或光致抗蚀剂蚀刻残余物,并且其中所述组合物包含至少一种氧化剂、至少一种蚀刻剂、至少一种金属腐蚀抑制剂、至少一种螯合剂和至少一种溶剂。
根据随后的公开内容和所附权利要求书,本发明的其它方面、特征和实施方式将更完全地显而易见。
具体实施方式
一般来说,本发明涉及用于相对于所存在的金属导体层和低k电介质层选择性蚀刻硬掩模层和/或光致抗蚀剂蚀刻残余物的组合物和方法。更具体地,本发明涉及用于相对于铜、钴、钌和低k电介质层选择性蚀刻氮化钛和/或光致抗蚀剂蚀刻残余物的组合物和方法。微电子器件上可能存在的其它材料应该基本上不被所述组合物去除或腐蚀。
为便于参考,“微电子器件”对应于半导体衬底、平板显示器、相变存储器件、太阳能面板和其它产品(包括太阳能电池器件、光伏器件和微机电系统(MEMS)),其被制造用于微电子、集成电路、能量收集或计算机芯片应用中。应理解,术语“微电子器件”、“微电子衬底”和“微电子器件结构”并不意在以任何方式进行限制并且包括最终将变成微电子器件或微电子组件的任何衬底或结构。所述微电子器件可以被图案化、毯覆,可以是控制件和/或测试器件。
本文中使用的“硬掩模封盖层”对应于在等离子体蚀刻步骤期间沉积在电介质材料上以保护所述电介质材料的材料。硬掩模封盖层在传统上是氮化硅、氧氮化硅、氮化钛、氧氮化钛、钛和其它类似化合物。
在本文中使用时,“氮化钛”和“TiNx”对应于纯氮化钛以及包括不同的化学计量比和氧含量的不纯的氮化钛(TiOxNy)。
在本文中使用时,“约”旨在对应于所陈述的值的±5%。
如本文所定义,“低k电介质材料”对应于在层状微电子器件中用作电介质材料的任何材料,其中所述材料具有小于约3.5的介电常数。优选地,所述低k电介质材料包括低极性材料如含硅有机聚合物、含硅杂化有机/无机材料、有机硅酸盐玻璃(OSG)、TEOS、氟化硅酸盐玻璃(FSG)、二氧化硅和碳掺杂氧化物(CDO)玻璃。应理解,所述低k电介质材料可具有不同的密度和不同的孔隙率。
如本文所定义,“金属导体层”包含铜、钨、钴、钼、铝、钌、包含上述金属的合金以及其组合。
如本文所定义,“胺”物质包括至少一种伯、仲和叔胺,其条件是(i)包括羧酸基团和胺基团两者的物质、(ii)包括胺基团的表面活性剂,和(iii)其中胺基团是取代基(例如,连接至芳基或杂环部分)的物质根据这个定义不被视为“胺”。所述胺的分子式可由NR1R2R3表示,其中R1、R2和R3可以彼此相同或不同并选自氢、直链或支链的C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基、己基)、C6-C10芳基(例如,苄基)、直链或支链的C1-C6烷醇(例如,甲醇、乙醇、丙醇、丁醇、戊醇、己醇)及其组合,其条件是R1、R2和R3不能都是氢。
如本文所定义,“光致抗蚀剂蚀刻残余物”对应于包含光致抗蚀剂材料或作为在蚀刻或灰化步骤后的光致抗蚀剂副产物的材料的任何残余物,正如本领域技术人员所容易理解的。光致抗蚀剂蚀刻残余物可以包括含硅材料、含钛材料、含氮材料、含氧材料、聚合残余物材料、含铜残余物材料(包括铜氧化物残余物)、含钨残余物材料、含钴残余物材料、蚀刻气体残余物例如氯和氟、及其组合。
“基本上不含”在本文定义为小于2重量%,优选小于1重量%、更优选小于0.5重量%,甚至更优选小于0.1重量%并且最优选0重量%。
在本文中使用时,“氟化物”物质对应于包括氟离子(F-)或共价键合的氟的物质。应理解,氟化物物质可以以氟化物物质形式而被包括或在原位产生。
在本文中使用时,“氯化物”物质对应于包括氯离子(Cl-)的物质,其条件是包括氯化物阴离子的表面活性剂根据这个定义不被视为“氯化物”。
如本文所定义,强碱是具有至少一种大于11的pKa的任何碱,而弱碱是具有至少一种小于11的pKa的任何碱。
本发明的组合物可以以如下文更充分描述的多种特定制剂来实施。
在所有这些组合物(其中根据包括零下限的重量百分比范围讨论了所述组合物的特定组分)中,应理解,这些组分在所述组合物的各种特定实施方式中可能存在或不存在,并且在存在这些组分的情况下,以使用这些组分的组合物的总重量计,它们可以以低至0.001重量百分比的浓度存在。
本发明的实施方式包括用于去除硬掩模和/或光致抗蚀剂蚀刻残余物的化学。在一个实施方式中,去除组合物是去除电介质层上的金属硬掩模和/或光致抗蚀剂蚀刻残余物并且对所述电介质层下面的金属导体层和所述电介质层本身具有高度选择性的湿式蚀刻溶液。在一个更具体实施方式中,所述去除组合物是对铜、钴、钌和低k电介质材料中的至少一种具有高度选择性的去除氮化钛层和/或光致抗蚀剂蚀刻残余物的湿式蚀刻溶液。
在第一方面,描述了用于从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面选择性去除氮化钛和/或光致抗蚀剂蚀刻残余物材料的组合物,所述组合物包括至少一种氧化剂和至少一种蚀刻剂。在一个实施方式中,用于从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面去除氮化钛和/或光致抗蚀剂蚀刻残余物材料的组合物包含以下物质,由以下物质组成或基本上由以下物质组成:至少一种氧化剂、至少一种蚀刻剂、至少一种金属腐蚀抑制剂和至少一种溶剂。在另一个实施方式中,用于从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面去除氮化钛和/或光致抗蚀剂蚀刻残余物材料的组合物包含以下物质,由以下物质组成或基本上由以下物质组成:至少一种氧化剂、至少一种蚀刻剂、至少一种螯合剂和至少一种溶剂。在另一个实施方式中,用于从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面去除氮化钛和/或光致抗蚀剂蚀刻残余物材料的组合物包含以下物质,由以下物质组成或基本上由以下物质组成:至少一种氧化剂、至少一种蚀刻剂、至少一种螯合剂、至少一种金属腐蚀抑制剂和至少一种溶剂。在另一个实施方式中,用于从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面去除氮化钛和/或光致抗蚀剂蚀刻残余物材料的组合物包含以下物质,由以下物质组成或基本上由以下物质组成:至少一种氧化剂、至少一种蚀刻剂、至少一种螯合剂、至少一种金属腐蚀抑制剂、至少一种表面活性剂和至少一种溶剂。在另一个实施方式中,用于从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面去除氮化钛和/或光致抗蚀剂蚀刻残余物材料的组合物包含以下物质,由以下物质组成或基本上由以下物质组成:至少一种氧化剂、至少一种蚀刻剂、至少一种金属腐蚀抑制剂、至少一种表面活性剂和至少一种溶剂。在另一个实施方式中,用于从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面去除氮化钛和/或光致抗蚀剂蚀刻残余物材料的组合物包含以下物质,由以下物质组成或基本上由以下物质组成:至少一种氧化剂、至少一种蚀刻剂、至少一种螯合剂、至少一种表面活性剂和至少一种溶剂。在另一个实施方式中,用于从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面去除氮化钛和/或光致抗蚀剂蚀刻残余物材料的组合物包含以下物质,由以下物质组成或基本上由以下物质组成:至少一种氧化剂、至少一种蚀刻剂、至少一种螯合剂和至少两种溶剂,其中至少一种溶剂是与水混溶的有机溶剂。在第一方面的每种实施方式中,可以添加至少一种含硅化合物和/或至少一种低k钝化剂。这些组合物基本上不含硅酸盐、研磨材料、金属卤化物及其组合。这些组合物具有在约5至约12、优选地约6至约10范围内的pH值。
添加蚀刻剂以增加氮化钛的蚀刻速率。设想的蚀刻剂包括但不限于HF,氟化铵,四氟硼酸,六氟硅酸,含有B-F或Si-F键的其它化合物,四氟硼酸四丁基铵(TBA-BF4),氟化四烷基铵(NR1R2R3R4F),强碱如氢氧化四烷基铵(NR1R2R3R4OH),其中R1、R2、R3、R4可以彼此相同或不同并选自氢、直链或支链的C1-C6烷基基团(例如,甲基、乙基、丙基、丁基、戊基、己基)、C1-C6烷氧基基团(例如,羟基乙基、羟基丙基)、被取代或未被取代的芳基基团(例如,苄基),弱碱及其组合。优选地,氟化物源包括四氟硼酸、六氟硅酸、H2ZrF6、H2TiF6、HPF6、氟化铵、氟化四甲基铵、氢氧化四甲基铵、六氟硅酸铵、六氟钛酸铵,或氟化铵和氟化四甲基铵的组合。可选地,或除了氟化物源之外,所述蚀刻剂可包含强碱如氢氧化四甲基铵(TMAH)、氢氧化四乙基铵(TEAH)、氢氧化四丙基铵(TPAH)、氢氧化四丁基铵(TBAH)、氢氧化苄基三甲基铵(BTMAH)、氢氧化钾、氢氧化铵、氢氧化苄基三乙基铵(BTEAH)、氢氧化四丁基(TBPH)、氢氧化(2-羟基乙基)三甲基铵(氢氧化胆碱)、氢氧化(2-羟基乙基)三乙基铵、氢氧化(2-羟基乙基)三丙基铵、氢氧化(1-羟基丙基)三甲基铵、氢氧化乙基三甲基铵、氢氧化二乙基二甲基铵(DEDMAH)、氢氧化三(2-羟基乙基)甲基铵(THEMAH)、1,1,3,3-四甲基胍(TMG)、氢氧化钾、碳酸胍、精氨酸及其组合。如果使用氢氧化胆碱,本领域技术人员已知商业化产品通常包含少量稳定剂以使氢氧化胆碱降解成不想要的副产物最小化。氢氧化胆碱稳定剂在本领域中是已知的,并包括但不限于甲醛、羟胺、亚硫酸盐和氢化物。所设想的弱碱包括但不限于氢氧化铵、单乙醇胺(MEA)、二乙醇胺(DEA)、三乙醇胺(TEA)、乙二胺、半胱氨酸及其组合。最优选地,蚀刻剂包含氢氧化四烷基铵、氢氧化胆碱、氢氧化钾和/或THEMAH,更优选地包含TMAH、氢氧化胆碱、氢氧化钾、THEMAH及其任何组合。
包括氧化剂来氧化TiNx中的Ti3+。本文设想的氧化剂包括但不限于过氧化氢(H2O2)、FeCl3、FeF3、Fe(NO3)3、Sr(NO3)2、CoF3、MnF3、过硫酸氢钾(2KHSO5·KHSO4·K2SO4)、高碘酸、碘酸、氧化钒(V)、氧化钒(IV,V)、钒酸铵、铵多原子盐(例如,过氧单硫酸铵、亚氯酸铵(NH4ClO2)、氯酸铵(NH4ClO3)、碘酸铵(NH4IO3)、硝酸铵(NH4NO3)、过硼酸铵(NH4BO3)、高氯酸铵(NH4ClO4)、高碘酸铵(NH4IO4)、过硫酸铵((NH4)2S2O8)、次氯酸铵(NH4ClO))、次溴酸铵、钨酸铵((NH4)10H2(W2O7))、钠多原子盐(例如,过硫酸钠(Na2S2O8)、次氯酸钠(NaClO)、过硼酸钠、次溴酸钠(NaBrO))、钾多原子盐(例如,碘酸钾(KIO3)、高锰酸钾(KMnO4)、过硫酸钾、硝酸(HNO3)、过硫酸钾(K2S2O8)、次氯酸钾(KClO))、四甲基铵多原子盐(例如,亚氯酸四甲基铵((N(CH3)4)ClO2)、氯酸四甲基铵((N(CH3)4)ClO3)、碘酸四甲基铵((N(CH3)4)IO3)、过硼酸四甲基铵((N(CH3)4)BO3)、高氯酸四甲基铵((N(CH3)4)ClO4)、高碘酸四甲基铵((N(CH3)4)IO4)、过硫酸四甲基铵((N(CH3)4)S2O8))、四丁基铵多原子盐(例如,过氧单硫酸四丁基铵)、过氧单硫酸、硝酸铁(Fe(NO3)3)、过氧化氢脲((CO(NH2)2)H2O2)、过乙酸(CH3(CO)OOH)、1,4-苯醌、甲苯醌、二甲基-1,4-苯醌、四氯苯醌、阿脲(alloxan)、N-甲基吗啉N-氧化物、三甲基胺N-氧化物及其组合。当所述氧化剂是盐时,它可以是水合的或无水的。可在制造商处、在将组合物引入器件晶片之前,或可选地在器件晶片处(即在原位)将所述氧化剂引入所述组合物。优选地,用于第二方面的组合物的氧化剂包含过氧化氢。优选地,用于第一方面的组合物的氧化剂包含过氧化氢、次氯酸铵、次氯酸钠及其任何组合。
当所述氧化剂包含碘酸盐或高碘酸盐时,碘清除剂优选被添加至去除组合物。虽然不希望受理论束缚,但据认为,随着碘酸盐或高碘酸盐被还原,碘积聚,这增加了铜蚀刻速率。碘清除剂包括但不限于酮,更优选在羰基的α位上具有氢的酮,例如4-甲基-2-戊酮、2,4-二甲基-3-戊酮、环己酮、5-甲基-3-庚酮、3-戊酮、5-羟基-2-戊酮、2,5-己二酮、4-羟基-4-甲基-2-戊酮、丙酮、丁酮、2-甲基-2-丁酮、3,3-二甲基-2-丁酮、4-羟基-2-丁酮、环戊酮、2-戊酮、3-戊酮、1-苯基乙酮、苯乙酮、二苯甲酮、2-己酮、3-己酮、2-庚酮、3-庚酮、4-庚酮、2,6-二甲基-4-庚酮、2-辛酮、3-辛酮、4-辛酮、二环己基酮、2,6-二甲基环己酮、2-乙酰基环己酮、2,4-戊二酮、薄荷酮及其组合。优选地,碘清除剂包括4-甲基-2-戊酮、2,4-二甲基-3-戊酮或环己酮。
添加螯合剂以提高TiNx的蚀刻速率和光致抗蚀剂蚀刻残余物的清理性能,并且在很大程度上抗氧化。所设想的螯合剂包括但不限于β-二酮酸化合物例如乙酰丙酮化物(acetylacetonate)、1,1,1-三氟-2,4-戊二酮和1,1,1,5,5,5-六氟-2,4-戊二酮;胺和氨基酸例如甘氨酸、丝氨酸、脯氨酸、亮氨酸、丙氨酸、天冬酰胺、天冬氨酸、谷氨酰胺、缬氨酸和赖氨酸;选自亚氨基二乙酸(IDA)、丙二酸、草酸、琥珀酸、硼酸、次氮基三乙酸、苹果酸、柠檬酸、乙酸、马来酸、乙二胺四乙酸(EDTA)、EDTA-2NH3(乙二胺四乙酸二铵盐)、(1,2-亚环己基二次氮基)四乙酸(CDTA)、二亚乙基三胺五乙酸(DTPA)、2-膦酸丁烷-1,2,4-三羧酸(PBTCA)、乙二胺二琥珀酸和丙二胺四乙酸的多元酸;膦酸;膦酸衍生物例如羟基亚乙基二膦酸(HEDP)(Dequest2010)、1-羟基乙烷-1,1-二膦酸、次氮基-三(亚甲基膦酸)(NTMP)、氨基三(亚甲基膦酸)(Dequest2000)、二亚乙基三胺五(亚甲基膦酸)(Dequest2060S)、乙二胺四(亚甲基膦酸)(EDTMPA);乙二胺;2,4-戊二酮;苯扎氯铵;1-咪唑;四乙二醇二甲醚;五甲基二亚乙基三胺(PMDETA);1,3,5-三嗪-2,4,6-三硫醇三钠盐溶液;1,3,5-三嗪-2,4,6-三硫醇三铵盐溶液;二乙基二硫代氨基甲酸钠;带有一个烷基基团(R2=己基、辛基、癸基或十二烷基)和一个低聚醚(R1(CH2CH2O)2,其中R1=乙基或丁基)的双取代的二硫代氨基甲酸盐(R1(CH2CH2O)2NR2CS2Na);对氨基苯磺酰胺;单乙醇胺(MEA);2-羟基吡啶1-氧化物;三磷酸五钠;及其组合。可替选地或此外,螯合剂包括盐,所述盐包含铵阳离子或四烷基铵阳离子([NR1R2R3R4]+,其中R1、R2、R3和R4可以彼此相同或不同并选自氢和C1-C6烷基(例如甲基、乙基、丙基、丁基、戊基、己基))和选自下列的阴离子:乙酸根,氯离子,溴离子,碘离子,硫酸根,苯甲酸根,丙酸根,柠檬酸根,甲酸根,草酸根,酒石酸根,琥珀酸根,乳酸根,马来酸根,丙二酸根,延胡索酸根,苹果酸根,抗坏血酸根,扁桃酸根和邻苯二甲酸根。例如,所述盐可以包括溴化铵和/或氯化铵。最优选地,螯合剂包含下面的至少一种:溴化铵、氯化铵、膦酸、CDTA、膦酸衍生物(例如HEDP、DTPA、NTMP、EDTMPA)及其任何组合。
添加金属腐蚀抑制剂以阻断所述氧化剂和羧酸盐(当存在时)的氧化活性。本文设想的金属腐蚀抑制剂包括但不限于5-氨基-1,3,4-噻二唑-2-硫醇(ATDT)、2-氨基-5-乙基-1,3,4-噻二唑、苯并三唑(BTA)、1,2,4-三唑(TAZ)、甲苯基三唑、5-甲基-苯并三唑(mBTA)、5-苯基-苯并三唑、5-硝基-苯并三唑、苯并三唑羧酸、3-氨基-5-巯基-1,2,4-三唑、1-氨基-1,2,4-三唑、羟基苯并三唑、2-(5-氨基-戊基)-苯并三唑、1-氨基-1,2,3-三唑、1-氨基-5-甲基-1,2,3-三唑、3-氨基-1,2,4-三唑(3-ATA)、3-巯基-1,2,4-三唑、3-异丙基-1,2,4-三唑、5-苯基硫醇-苯并三唑、卤代-苯并三唑(卤代=F、Cl、Br或I)、萘并三唑、2-巯基苯并咪唑(MBI)、2-巯基苯并噻唑、4-甲基-2-苯基咪唑、2-巯基噻唑啉、5-氨基-1,2,4-三唑(5-ATA)、十二烷基硫酸钠(SDS)、ATA-SDS、3-氨基-5-巯基-1,2,4-三唑、3,5-二氨基-1,2,4-三唑、戊四唑、5-苯基-1H-四唑、5-苄基-1H-四唑、5-甲基四唑、5-巯基-1-甲基-四唑、1-苯基-1H-四唑-5-硫醇、AblumineO(台湾表面活性剂)、2-苄基吡啶、琥珀酰亚胺、2,4-二氨基-6-甲基-1,3,5-三嗪、噻唑、三嗪、甲基四唑、1,3-二甲基-2-咪唑烷酮、1,5-五亚甲基四唑、1-苯基-5-巯基四唑、二氨基甲基三嗪、咪唑啉硫酮、4-甲基-4H-1,2,4-三唑-3-硫醇、4-氨基-4H-1,2,4-三唑、3-氨基-5-甲硫基-1H-1,2,4-三唑、苯并噻唑、咪唑、苯并咪唑、2-氨基苯并咪唑、1-甲基咪唑、吲唑(indiazole)、腺嘌呤、琥珀酰亚胺、腺苷、咔唑、糖精、尿酸和苯偶姻肟。另外的腐蚀抑制剂包括阳离子季盐,例如苯扎氯铵、氯化苄基二甲基十二烷基铵、溴化十四烷基三甲基铵、溴化十二烷基三甲基铵、氯化十六烷基吡啶Aliquat336(Cognis)、氯化苄基二甲基苯基铵、CrodaquatTES(CrodaInc.)、RewoquatCPEM(Witco)、对甲苯磺酸十六烷基三甲基铵、氢氧化十六烷基三甲基铵、二氯化1-甲基-1'-十四烷基-4,4'-联吡啶溴化烷基三甲基铵、盐酸氨丙啉、氢氧化苄乙氧铵、苄索氯铵、氯化苄基二甲基十六烷基铵、氯化苄基二甲基十四烷基铵、溴化苄基十二烷基二甲基铵、氯化苄基十二烷基二甲基铵、西吡氯铵、胆碱对甲苯磺酸盐、溴化二甲基二-十八烷基铵、溴化十二烷基乙基二甲基铵、氯化十二烷基三甲基铵、氯化癸基三甲基铵(DTAC)、溴化乙基十六烷基二甲基铵、吉拉德试剂(Girard'sreagent)、磷酸二氢十六烷基(2-羟基乙基)二甲基铵、溴化十六烷基吡啶溴化十六烷基三甲基铵、氯化十六烷基三甲基铵、氯化甲基苄乙氧铵、1622、LuviquatTM、N,N',N'-聚氧乙烯(10)-N-牛脂-1,3-二氨基丙烷液体、奥芬溴铵(oxyphenoniumbromide)、溴化四庚基铵、溴化四(癸基)铵、通佐溴铵(thonzoniumbromide)、氯化三-十二烷基铵、溴化三甲基十八烷基铵、四氟硼酸1-甲基-3-正辛基咪唑四氟硼酸1-癸基-3-甲基咪唑氯化1-癸基-3-甲基咪唑溴化三-十二烷基甲基铵、氯化二甲基二硬脂基铵、溴化十六烷基三甲基铵、溴化肉豆蔻基三甲基铵和氯化六甲双铵(hexamethoniumchloride)。其它腐蚀抑制剂包括非离子型表面活性剂如PolyFoxPF-159(OMNOVA溶液)、聚(乙二醇)(“PEG”)、聚(丙二醇)(“PPG”)、环氧乙烷/环氧丙烷嵌段共聚物如PluronicF-127(BASF)、聚氧乙烯(20)失水山梨糖醇单油酸酯(Tween80)、聚氧乙烯(20)失水山梨糖醇单棕榈酸酯(Tween40)、聚氧乙烯(20)失水山梨糖醇单月桂酸酯(Tween20)、聚氧丙烯/聚氧乙烯嵌段共聚物例如PluronicL31、Pluronic31R1、Pluronic25R2和Pluronic25R4,阴离子型表面活性剂如十二烷基苯磺酸、十二烷基苯磺酸钠、十二烷基膦酸(DDPA)、双(2-乙基己基)磷酸酯、苄基膦酸、二苯基次膦酸、1,2-亚乙基二膦酸、苯基膦酸、肉桂酸及其组合。季盐可以充当腐蚀抑制剂(特别是对于铜、钴和钌)和润湿剂。对于本领域技术人员来说显而易见的是,虽然季盐最通常以氯化物或溴化物形式市售,但容易使卤素阴离子与非卤素阴离子如硫酸根、甲磺酸根、硝酸根、氢氧根等进行离子交换。本文中还设想了这些转化的季盐。在一个特别优选的实施方式中,已知5-甲基-1H-苯并三唑、3-氨基-1,2,4-三唑、TAZ、DTAC和Tween80阻断氧化剂对铜的氧化活性。其它优选的腐蚀抑制剂包括阳离子季盐,更优选地为MBI、腺苷、苯并噻唑、DDPA、Tween80及其任何组合。
所述至少一种溶剂可以包含水、至少一种与水混溶的有机溶剂或其组合。例如,所述至少一种溶剂可以包含选自以下的至少一种物质:水、甲醇、乙醇、异丙醇、丁醇、戊醇、己醇、2-乙基-1-己醇、庚醇、辛醇、乙二醇、丙二醇、丁二醇、己二醇、碳酸丁烯酯、碳酸乙烯酯、碳酸丙烯酯、胆碱碳酸氢盐、二丙二醇、二甲亚砜、环丁砜、四氢糠醇(THFA)、1,2-丁二醇、1,4-丁二醇、四甲基脲、二乙二醇单甲醚、三乙二醇单甲醚、二乙二醇单乙醚、三乙二醇单乙醚、乙二醇单丙醚、乙二醇单丁醚、二乙二醇单丁醚、三乙二醇单丁醚、乙二醇单己醚、二乙二醇单己醚、乙二醇苯醚、丙二醇甲醚、二丙二醇甲醚(DPGME)、三丙二醇甲醚(TPGME)、二丙二醇二甲醚、二丙二醇乙醚、丙二醇正丙醚、二丙二醇正丙醚(DPGPE)、三丙二醇正丙醚、丙二醇正丁醚、二丙二醇正丁醚、三丙二醇正丁醚、丙二醇苯醚、2,3-二氢十氟戊烷、乙基全氟丁醚、甲基全氟丁醚、碳酸烷基酯、4-甲基-2-戊醇及其组合。优选地,所述至少一种溶剂包含水,更优选为去离子水。
第一方面的组合物还可以包括至少一种低k钝化剂以降低低k电介质层的化学攻击并保护晶片免于进一步氧化。优选的低k钝化剂包括但不限于硼酸、硼酸盐例如五硼酸铵、四硼酸钠、3-羟基-2-萘甲酸、丙二酸和亚氨基二乙酸。当存在时,以组合物的总重量计,组合物包含约0.01重量%至约2重量%的低k钝化剂。优选地,使用本文中描述的组合物蚀刻/去除以下伏的低k材料的总重量计少于2重量%、更优选地少于1重量%、最优选地少于0.5重量%的下伏的低k材料。
第一方面的组合物还可以包括至少一种含硅化合物以降低蚀刻剂源的活性。在一种实施方式中,所述至少一种含硅化合物包含烷氧基硅烷。所设想的烷氧基硅烷具有通式SiR1R2R3R4,其中R1、R2、R3和R4彼此相同或不同,并选自直链C1-C6烷基基团(例如甲基、乙基、丙基、丁基、戊基、己基)、支链C1-C6烷基基团、C1-C6烷氧基基团(例如甲氧基、乙氧基、丙氧基、丁氧基、戊氧基、己氧基)、苯基基团及其组合。专业技术人员应该认识到,为了被表征为烷氧基硅烷,R1、R2、R3或R4中的至少一个必须是C1-C6烷氧基基团。所设想的烷氧基硅烷包括甲基三甲氧基硅烷、二甲基二甲氧基硅烷、苯基三甲氧基硅烷、四乙氧基硅烷(TEOS)、N-丙基三甲氧基硅烷、N-丙基三乙氧基硅烷、己基三甲氧基硅烷、己基三乙氧基硅烷及其组合。可以代替烷氧基硅烷使用或除了烷氧基硅烷之外还可以使用的其它含硅化合物包括六氟硅酸铵、硅酸钠、硅酸钾、硅酸四甲基铵(TMAS)及其组合。优选地,含硅化合物包含TEOS、TMAS和硅酸钠、硅酸钾。当存在时,含硅化合物的量在以组合物的总重量计约0.001重量%至约2重量%的范围内。
为了确保润湿,尤其是当pH低时,可以向水性组合物添加表面活性剂,优选为抗氧化的氟化阴离子型表面活性剂。在本发明的组合物中设想的阴离子型表面活性剂包括但不限于含氟表面活性剂例如UR和FS-62(DuPontCanadaInc.,Mississauga,Ontario,Canada)和氟代烷基磺酸铵例如NovecTM4300(3M)。当所使用的蚀刻剂包含氟化物时,设想了使用可以用作表面活性剂和蚀刻剂的长链氟化四烷基铵。
在另一个实施方式中,本发明的任何组合物还可包含氮化钛和/或光致抗蚀剂蚀刻材料残余物,其中所述残余物被悬浮和/或溶解在所述水性组合物中。
在第一方面的组合物的实施方式中,组合物包含以下组分,由以下组分组成或基本上由以下组分组成:至少一种氧化剂、至少一种蚀刻剂、至少一种金属腐蚀抑制剂和至少一种溶剂,所述组分以所述组合物的总重量计以下列范围存在:
优选地,氧化剂包含过氧化氢,并且蚀刻剂包含TMAH、KOH、氢氧化胆碱、THEMAH或KOH/氢氧化胆碱的组合。
在第一方面的组合物的另一种实施方式中,组合物包含以下组分、由以下组分组成或基本上由以下组分组成:至少一种氧化剂,至少一种蚀刻剂,至少一种螯合剂和至少一种溶剂,所述组分以组合物的总重量计以下述范围存在:
优选地,氧化剂包含过氧化氢,并且蚀刻剂包含TMAH、KOH、氢氧化胆碱、THEMAH或KOH/氢氧化胆碱的组合。
在第一方面的组合物的另一种实施方式中,组合物包含以下组分、由以下组分组成或基本上由以下组分组成:至少一种氧化剂,至少一种蚀刻剂,至少一种螯合剂,至少一种金属腐蚀抑制剂和至少一种溶剂,所述组分以组合物的总重量计以下述范围存在:
优选地,氧化剂包含过氧化氢,并且蚀刻剂包含TMAH、KOH、氢氧化胆碱、THEMAH或KOH/氢氧化胆碱的组合。
优选地,第一方面的组合物包含以下组分、由以下组分组成或基本上由以下组分组成:水,TMAH,CDTA和至少一种腐蚀抑制剂。在另一种优选实施方式中,第一方面的组合物包含以下组分、由以下组分组成或基本上由以下组分组成:水,TMAH,CDTA,至少一种腐蚀抑制剂和过氧化氢。在又一种优选实施方式中,第一方面的组合物包含以下组分、由以下组分组成或基本上由以下组分组成:水,TMAH,CDTA和DDPA。在另一种优选实施方式中,第一方面的组合物包含以下组分、由以下组分组成或基本上由以下组分组成:水,TMAH,CDTA,DDPA和过氧化氢。在又一种优选实施方式中,第一方面的组合物包含以下组分、由以下组分组成或基本上由以下组分组成:水,TMAH,CDTA和3-氨基-1,2,4-三唑。在另一种优选实施方式中,第一方面的组合物包含以下组分、由以下组分组成或基本上由以下组分组成:水,TMAH,CDTA,3-氨基-1,2,4-三唑和过氧化氢。在又一种优选实施方式中,第一方面的组合物包含以下组分、由以下组分组成或基本上由以下组分组成:水,TMAH,CDTA和1,2,4-三唑。在另一种优选实施方式中,第一方面的组合物包含以下组分、由以下组分组成或基本上由以下组分组成:水,TMAH,CDTA,1,2,4-三唑和过氧化氢。在另一种优选实施方式中,第一方面的组合物包含以下组分、由以下组分组成或基本上由以下组分组成:水,TMAH,CDTA,DDPA和3-氨基-1,2,4-三唑。在另一种优选实施方式中,第一方面的组合物包含以下组分、由以下组分组成或基本上由以下组分组成:水,TMAH,CDTA,DDPA,3-氨基-1,2,4-三唑和过氧化氢。在又一种优选实施方式中,第一方面的组合物包含以下组分、由以下组分组成或基本上由以下组分组成:水,TMAH和HEDP。在另一种优选实施方式中,第一方面的组合物包含以下组分、由以下组分组成或基本上由以下组分组成:水,TMAH,HEDP和过氧化氢。在又一种优选实施方式中,第一方面的组合物包含以下组分、由以下组分组成或基本上由以下组分组成:水,CDTA,过氧化氢,至少一种蚀刻剂和至少一种腐蚀抑制剂,其中所述至少一种蚀刻剂包含选自以下的物质:KOH、THEMAH、氢氧化胆碱、以及KOH与氢氧化胆碱的混合物,并且所述至少一种腐蚀抑制剂包含选自mBTA、3-ATA和TAZ的物质。
应理解,通常的做法是制造待在使用前稀释的浓缩形式的组合物。例如,所述组合物可以被制造成更浓缩的形式并且其后在制造商处、在使用前和/或在制造厂使用期间用至少一种溶剂稀释。稀释比率可在约0.1份稀释剂:1份组合物浓缩物至约100份稀释剂:1份组合物浓缩物范围内。还应理解,本文所述的组合物包括氧化剂,其可能随时间不稳定。因此,浓缩形式可以基本上不含氧化剂并且所述氧化剂可由制造商在使用前和/或在制造厂使用期间引入浓缩物或稀释的组合物。
本文所述的组合物容易通过简单添加各个成分并混合至均质条件来配制。此外,所述组合物可容易地配制成单包装制剂或在使用地点处或之前混合的多部分制剂,优选地为多部分制剂。所述多部分制剂的单独的部分可在工具处或在混合区/区域如在线混合器中或在工具上游的储罐中混合。设想了多部分制剂的各个部分可含有当混合在一起时形成所需组合物的成分/组分的任何组合。各个成分的浓度可在组合物的特定倍数内广泛改变,即,更稀释或更浓缩,并且应理解,组合物可不同地和可选地包含以下物质,由以下物质组成或基本上由以下物质组成:与本文公开内容一致的成分的任何组合。
因此,第二方面涉及一种试剂盒,所述试剂盒在一个或多个容器中包括一种或多种适于形成本文所述的组合物的组分。例如,可以将组合物分开,使得除了至少一种氧化剂之外,所有其它组分被包含在一个容器中,用于在使用之前或期间与氧化剂和/或其它溶剂组合。所述试剂盒的容器必须适合储存和运输所述去除组合物的组分,例如,容器(AdvancedTechnologyMaterials,Inc.,Danbury,Conn.,USA)。含有所述组合物的组分的一个或多个容器优选包括用于使所述一个或多个容器中的组分流体连通以共混和分配的机构。例如,对于容器来说,可将气体压力施加至所述一个或多个容器中的衬里外部以造成所述衬里的内含物的至少一部分被排出并且因此能够实现流体连通以用于共混和分配。可选地,可将气体压力施加至常规可加压容器的顶空或者可使用泵来实现流体连通。另外,所述系统优选包括分配端口以将共混的组合物分配至处理工具。
优选使用基本上化学惰性、不含杂质、柔性和弹性的聚合物膜材料如高密度聚乙烯来制造用于所述一个或多个容器的衬里。处理所需的衬里材料而无需共挤出或阻挡层,并且无需任何可能不利地影响有待放置在衬里中的组分的纯度要求的颜料、UV抑制剂或加工剂。所需衬里材料的列表包括包含未处理(不含添加剂)的聚乙烯、未处理的聚四氟乙烯(PTFE)、聚丙烯、聚氨酯、聚偏二氯乙烯、聚氯乙烯、聚缩醛、聚苯乙烯、聚丙烯腈、聚丁烯等的膜。这些衬里材料的优选厚度在约5密耳(0.005英寸)至约30密耳(0.030英寸)范围内,例如20密耳(0.020英寸)的厚度。
关于用于试剂盒的容器,以下专利和专利申请的公开内容以全文引用的方式并入本文中:名称为“用于在超纯液体中使粒子的产生最小化的装置和方法(APPARATUSANDMETHODFORMINIMIZINGTHEGENERATIONOFPARTICLESINULTRAPURELIQUIDS)”的美国专利No.7,188,644;名称为“可回收和可重复使用泡包袋型流体存储和分配容器系统(RETURNABLEANDREUSABLE,BAG-IN-DRUMFLUIDSTORAGEANDDISPENSINGCONTAINERSYSTEM)”的美国专利No.6,698,619;和2008年5月9日提交的名称为“用于材料的共混和分布的系统和方法(SYSTEMSANDMETHODSFORMATERIALBLENDINGANDDISTRIBUTION)”的PCT/US08/63276。
在第三方面,本发明涉及使用本文中描述的第一方面的组合物从上面具有氮化钛材料的微电子器件的表面蚀刻氮化钛材料的方法。例如,可去除氮化钛材料而不显著破坏/去除所述微电子器件上存在的金属导体和绝缘体材料。因此,在一个优选实施方式中,描述了使用本文中描述的第一方面的组合物从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面相对于金属导体和绝缘体材料选择性和基本上去除氮化钛和/或光致抗蚀剂蚀刻残余物材料的方法。在另一个优选的实施方式中,描述了使用本文中描述的第一方面的组合物从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面相对于金属导体(例如,铜)、钴、钌和绝缘体材料选择性和基本上去除氮化钛和/或光致抗蚀剂蚀刻残余物材料的方法。
在蚀刻应用中,以任何合适方式向上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面施加组合物,例如,通过将组合物喷涂在器件表面上,通过浸渍(在静态或动态体积的组合物中)包括氮化钛和/或光致抗蚀剂蚀刻残余物材料的器件,通过使所述器件与另一种材料例如上面吸收有组合物的垫或纤维状吸收剂施用器元件接触,通过使包括氮化钛和/或光致抗蚀剂蚀刻残余物材料的器件与循环组合物接触,或通过使组合物与氮化钛和/或光致抗蚀剂蚀刻残余物材料进行去除接触的任何其它合适手段、方式或技术。可分批或在单晶片装置中进行施加,用于动态或静态清洁。有利的是,本文所述的组合物凭借其相对于可能存在于微电子器件结构上并暴露于所述组合物的其它材料如金属和绝缘材料(即,低k电介质)而言对氮化钛和/或光致抗蚀剂蚀刻残余物材料的选择性而以高效和高选择性方式实现氮化钛和/或光致抗蚀剂蚀刻残余物材料的至少部分去除。
在第一方面的组合物用于从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件结构去除氮化钛和/或光致抗蚀剂蚀刻残余物材料时,所述组合物通常在单晶片工具中与器件结构在约20℃至约100℃、优选约30℃至约70℃的范围内的温度下接触约0.3分钟至约60分钟、优选约0.5分钟至约30分钟的充分时间。这些接触时间和温度是说明性的,并且可使用可有效从器件结构至少部分去除氮化钛和/或光致抗蚀剂蚀刻残余物材料的任何其它合适时间和温度条件。例如,对于氮化钛蚀刻来说,在约40℃至约60℃范围内的温度下接触时间优选为约0.5至3min。
在一个实施方式中,所述组合物在递送至器件结构期间在线加热。通过在线而非在浴本身中加热,所述组合物寿命增加。
在完成所需蚀刻动作后,所述组合物可容易地从先前已进行施加的微电子器件去除,例如,通过如在本文所述组合物的给定最终用途应用中可能需要和有效的冲洗、洗涤或其它去除步骤。例如,所述器件可用包括去离子水的冲洗溶液冲洗和/或干燥(例如,旋干、N2、蒸汽干燥等)。
第一方面的组合物优选相对于金属导体和绝缘(即,低k电介质)材料选择性蚀刻氮化钛材料。在一个实施方式中,氮化钛的蚀刻速率高(在50℃下min-1以上,优选约min-1以上,并且在60℃下约min-1以上),而金属的蚀刻速率低(约0.01至约min-1,优选约0.1至约min-1),并且低k电介质的蚀刻速率低(约0.01至约min-1,优选约0.01至约min-1)。
本发明的第四方面涉及根据本文所述的方法制造的改进的微电子器件并且涉及含有这些微电子器件的产品。
第五方面涉及制造包含微电子器件的物品的方法,所述方法包括使所述微电子器件与组合物接触足够时间以从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面蚀刻性去除氮化钛和/或光致抗蚀剂蚀刻残余物材料,以及将所述微电子器件并入所述物品中,其中所述组合物包含以下物质,由以下物质组成或基本上由以下物质组成:至少一种氧化剂、至少一种蚀刻剂、至少一种金属腐蚀抑制剂和至少一种溶剂。在又一种实施方式中,所述组合物包含以下物质,由以下物质组成或基本上由以下物质组成:至少一种氧化剂、至少一种蚀刻剂、至少一种螯合剂和至少一种溶剂。所述组合物还可包含氮化钛材料,由氮化钛材料组成或基本上由氮化钛材料组成。
本发明的第六方面涉及一种制造物品,其包含以下物质,由以下物质组成或基本上由以下物质组成:微电子器件衬底、所述衬底上的氮化钛层和本文所述的组合物。
通过下文讨论的说明性实施例更充分地示出本发明的特征和优点。
实施例1
将包含约1至约10重量%TMAH和约0.01至约1重量%螯合剂的浓缩物用约50至约95重量%H2O2(30%)稀释,其中所述螯合剂包括CDTA、EDTA-2NH3(乙二胺四乙酸二铵盐)、EDTMPA、DTPA、HEDP和NTMP(50%)。在50℃下测定PETEOS和TiN的蚀刻速率以及总的钴损失。取决于螯合剂和量,PETEOS蚀刻速率在30分钟内小于约TiN蚀刻速率大于并且5分钟时的Co损失在约至约的范围内。当螯合剂是HEDP或DTPA时,5分钟时的Co损失小于约
将包含约1至约10重量%TMAH和HEDP或DTPA的浓缩物用约50至约95重量%H2O2(30%)稀释,其中在浓缩物中HEDP或DTPA的量为0.05重量%、0.1重量%、0.15重量%、0.2重量%和0.25重量%。在每种情况下,TiN蚀刻速率近似相同(),并且5分钟时的Co损失小于约
实施例2
将包含约1至约10重量%TMAH、约0.01至约0.05重量%CDTA和约0.1至约2.5重量%腐蚀抑制剂的浓缩物用约50至约95重量%H2O2(30%)稀释,其中所述腐蚀抑制剂包括5-mBTA、3-ATA/SDS、3-ATA、琥珀酰亚胺、尿酸、MBI、腺苷、苯并噻唑、5-ATA、Tween80、Tween40、Tween20和DDPA/Tween-80。在50℃下测定PETEOS和TiN的蚀刻速率以及总的钴损失。取决于腐蚀抑制剂和量,PETEOS蚀刻速率在30分钟内小于约TiN蚀刻速率大于并且5分钟时的Co损失在约至约的范围内,20分钟时的Cu损失小于
将包含约1至约10重量%TMAH、约0.01至约0.05重量%DDPA和约0.1至约5重量%的第二种腐蚀抑制剂的浓缩物用约50至约95重量%H2O2(30%)稀释,其中所述第二种腐蚀抑制剂包括Tween-80、Tween40、Tween20、PluronicL31、Pluronic31R1、Pluronic25R2和Pluronic25R4。在每种情况下,TiN蚀刻速率近似相同(),并且5分钟时的Co损失小于约20分钟时的Cu损失小于约
实施例3
制备包含5-10重量%TMAH、0.001-0.2重量%CDTA、0.01-1重量%mBTA,其余为水的浓缩物。通过将10重量%的所述浓缩物与10重量%的有机溶剂和80重量%H2O2(30%)组合来制备半水性制剂,其中所述有机溶剂包括二乙二醇单乙醚、二乙二醇单丁醚、二甲亚砜、环丁砜、三乙二醇二甲醚、四氢糠醇、DPGME、丙二醇、乙二醇、1,2-丁二醇、1,4-丁二醇、己二醇、四甲基脲、胆碱碳酸氢盐和碳酸丙烯酯。在50℃下测定PETEOS、TiN、Cu和SiON的蚀刻速率,其中TiN的处理时间为30秒,PETEOS、Cu和SiON的处理时间为30分钟。除了在使用胆碱碳酸氢盐或碳酸丙烯酯时之外,对于所有制剂来说,PETEOS和SiON蚀刻速率小于并且Cu蚀刻速率小于除了在使用二乙二醇单乙醚时之外,所有制剂具有大于的TiN蚀刻速率。
通过将10重量%的所述浓缩物与40重量%的有机溶剂和50重量%H2O2(30%)组合来制备半水性制剂,其中所述有机溶剂包括二乙二醇单乙醚、二乙二醇单丁醚、二甲亚砜、环丁砜、三乙二醇二甲醚、四氢糠醇、DPGME、丙二醇、乙二醇、1,2-丁二醇、1,4-丁二醇、己二醇、四甲基脲、胆碱碳酸氢盐和碳酸丙烯酯。在50℃下测定PETEOS、TiN、Cu和SiON的蚀刻速率,其中TiN的处理时间为30秒,PETEOS、Cu和SiON的处理时间为30分钟。除了在使用胆碱碳酸氢盐或碳酸丙烯酯时之外,对于所有制剂来说,PETEOS和SiON蚀刻速率小于并且Cu蚀刻速率小于总的来说,除了1,2-丁二醇和己二醇之外,当使用更大量有机溶剂时TiN蚀刻速率不同样高。
实施例4
制备包含约1至约10重量%KOH、约1重量%至约10重量%的稳定化的氢氧化胆碱、约0.01至约1重量%CDTA和约0.1重量%至约10重量%腐蚀抑制剂的浓缩物,其中所述腐蚀抑制剂包括mBTA、TAZ或3-ATA。通过将1份浓缩物与9份过氧化氢(30%)组合来稀释浓缩物。在60℃下测定BlackDiamond低k电介质、Cu、Co和SiN的蚀刻速率。TiN的蚀刻速率在50℃下30秒时测定。在每种情况下,低k电介质的蚀刻速率小于约SiN蚀刻速率为约TiN蚀刻速率大于当腐蚀抑制剂是TAZ或3-ATA时,Co蚀刻速率小于约当腐蚀抑制剂是3-ATA时,Cu蚀刻速率小于
实施例5
制备包含约1重量%至约10重量%的至少一种蚀刻剂、约0.01至约1重量%CDTA和约0.01重量%至约1重量%mBTA的浓缩物,其中所述至少一种蚀刻剂包括TPAH、BTEAH、DEDMAH或THEMAH。通过将1份浓缩物与9份过氧化氢(30%)组合来稀释浓缩物。在60℃下测定BlackDiamond低k电介质、Cu、Co和SiN的蚀刻速率。TiN的蚀刻速率在50℃下30秒时测定。在每种情况下,低k电介质的蚀刻速率小于约(使用包含BTEAH、THEMAH和DEDMAH的溶液时小于),SiN蚀刻速率小于约TiN蚀刻速率大于Cu蚀刻速率小于约(除了包含THEMAH的溶液之外),并且Co蚀刻速率小于约(除了包含THEMAH的溶液之外)。
实施例6
制备包含约1重量%至约10重量%KOH、约0.01至约1重量%CDTA和约0.01重量%至约1重量%TAZ的浓缩物。通过将1份浓缩物与9份过氧化氢(30%)组合来稀释浓缩物。在60℃下测定BlackDiamond低k电介质、Cu和Co的蚀刻速率。TiN的蚀刻速率在50℃下30秒时测定。低k电介质的蚀刻速率小于约TiN蚀刻速率大于约Cu蚀刻速率小于约并且Co蚀刻速率小于约
***
虽然已参考本发明的特定方面、特征和说明性实施方式对本发明进行了描述,但应理解本发明的效用不因此受限制,而是延伸至并涵盖如本发明领域的普通技术人员基于本文的公开内容所想到的众多其它变化、修改和替代实施方式。相应地,如权利要求书中所要求保护的发明旨在被广泛地理解和解释为将所有这些变化、修改和替代实施方式包括在其精神和范围内。

Claims (19)

1.一种用于从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面选择性去除氮化钛和/或光致抗蚀剂蚀刻残余物材料的组合物,所述组合物包含至少一种氧化剂、至少一种蚀刻剂、至少一种金属腐蚀抑制剂、至少一种螯合剂和至少一种溶剂。
2.权利要求1的组合物,其中所述蚀刻剂包括选自以下的物质:H2ZrF6、H2TiF6、HPF6、HF、氟化铵、四氟硼酸、六氟硅酸、四氟硼酸四丁基铵(TBA-BF4)、六氟硅酸铵、六氟钛酸铵、氢氧化四甲基铵(TMAH)、氢氧化四乙基铵(TEAH)、氢氧化四丙基铵(TPAH)、氢氧化四丁基铵(TBAH)、氢氧化苄基三甲基铵(BTMAH)、氢氧化钾、氢氧化铵、氢氧化苄基三乙基铵(BTEAH)、氢氧化四丁基(TBPH)、氢氧化(2-羟基乙基)三甲基铵、氢氧化(2-羟基乙基)三乙基铵、氢氧化(2-羟基乙基)三丙基铵、氢氧化(1-羟基丙基)三甲基铵、氢氧化乙基三甲基铵、氢氧化二乙基二甲基铵(DEDMAH)、氢氧化三(2-羟基乙基)甲基铵(THEMAH)、1,1,3,3-四甲基胍(TMG)、碳酸胍、精氨酸、氢氧化铵、单乙醇胺(MEA)、二乙醇胺(DEA)、三乙醇胺(TEA)、乙二胺、半胱氨酸、其中R1、R2、R3、R4可以彼此相同或不同并选自直链或支链的C1-C6烷基基团的氟化四烷基铵(NR1R2R3R4F)、及其组合。
3.权利要求1或2的组合物,其中所述蚀刻剂包括TMAH、氢氧化胆碱、氢氧化钾、THEMAH及其任何组合。
4.权利要求1-3任一项的组合物,其中所述氧化剂包括选自以下的物质:过氧化氢、FeCl3、FeF3、Fe(NO3)3、Sr(NO3)2、CoF3、MnF3、过硫酸氢钾(2KHSO5·KHSO4·K2SO4)、高碘酸、碘酸、氧化钒(V)、氧化钒(IV,V)、钒酸铵、过氧单硫酸铵、亚氯酸铵(NH4ClO2)、氯酸铵(NH4ClO3)、碘酸铵(NH4IO3)、硝酸铵(NH4NO3)、过硼酸铵(NH4BO3)、高氯酸铵(NH4ClO4)、高碘酸铵(NH4IO3)、过硫酸铵((NH4)2S2O8)、次氯酸铵(NH4ClO)、钨酸铵((NH4)10H2(W2O7))、过硫酸钠(Na2S2O8)、次氯酸钠(NaClO)、过硼酸钠、碘酸钾(KIO3)、高锰酸钾(KMnO4)、过硫酸钾、硝酸(HNO3)、过硫酸钾(K2S2O8)、次氯酸钾(KClO)、亚氯酸四甲基铵((N(CH3)4)ClO2)、氯酸四甲基铵((N(CH3)4)ClO3)、碘酸四甲基铵((N(CH3)4)IO3)、过硼酸四甲基铵((N(CH3)4)BO3)、高氯酸四甲基铵((N(CH3)4)ClO4)、高碘酸四甲基铵((N(CH3)4)IO4)、过硫酸四甲基铵((N(CH3)4)S2O8)、过氧单硫酸四丁基铵、过氧单硫酸、硝酸铁(Fe(NO3)3)、过氧化氢脲((CO(NH2)2)H2O2)、过乙酸(CH3(CO)OOH)、1,4-苯醌、甲苯醌、二甲基-1,4-苯醌、四氯苯醌、阿脲、N-甲基吗啉N-氧化物、三甲基胺N-氧化物及其组合。
5.权利要求1-3任一项的组合物,其中所述氧化剂包括过氧化氢。
6.权利要求1-5任一项的组合物,其中所述至少一种溶剂包括选自以下的物质:水、甲醇、乙醇、异丙醇、丁醇、戊醇、己醇、2-乙基-1-己醇、庚醇、辛醇、乙二醇、丙二醇、丁二醇、己二醇、碳酸丁烯酯、碳酸乙烯酯、碳酸丙烯酯、胆碱碳酸氢盐、二丙二醇、二甲亚砜、环丁砜、四氢糠醇(THFA)、1,2-丁二醇、1,4-丁二醇、四甲基脲、二乙二醇单甲醚、三乙二醇单甲醚、二乙二醇单乙醚、三乙二醇单乙醚、乙二醇单丙醚、乙二醇单丁醚、二乙二醇单丁醚、三乙二醇单丁醚、乙二醇单己醚、二乙二醇单己醚、乙二醇苯醚、丙二醇甲醚、二丙二醇甲醚(DPGME)、三丙二醇甲醚(TPGME)、二丙二醇二甲醚、二丙二醇乙醚、丙二醇正丙醚、二丙二醇正丙醚(DPGPE)、三丙二醇正丙醚、丙二醇正丁醚、二丙二醇正丁醚、三丙二醇正丁醚、丙二醇苯醚、2,3-二氢十氟戊烷、乙基全氟丁醚、甲基全氟丁醚、碳酸烷基酯、4-甲基-2-戊醇及其组合。
7.权利要求1-5任一项的组合物,其中所述至少一种溶剂包括水。
8.前述权利要求任一项的组合物,其中所述至少一种金属腐蚀抑制剂包括选自以下的物质:5-氨基-1,3,4-噻二唑-2-硫醇(ATDT)、2-氨基-5-乙基-1,3,4-噻二唑、苯并三唑(BTA)、1,2,4-三唑(TAZ)、甲苯基三唑、5-甲基-苯并三唑(mBTA)、5-苯基-苯并三唑、5-硝基-苯并三唑、苯并三唑羧酸、3-氨基-5-巯基-1,2,4-三唑、1-氨基-1,2,4-三唑、羟基苯并三唑、2-(5-氨基-戊基)-苯并三唑、1-氨基-1,2,3-三唑、1-氨基-5-甲基-1,2,3-三唑、3-氨基-1,2,4-三唑(3-ATA)、5-氨基-1,2,4-三唑(5-ATA)、3-氨基-5-巯基-1,2,4-三唑、3-氨基-5-甲硫基-1H-1,2,4-三唑、3-氨基-5-巯基-1,2,4-三唑、ATA-SDS、3-巯基-1,2,4-三唑、3-异丙基-1,2,4-三唑、3,5-二氨基-1,2,4-三唑、5-苯基硫醇-苯并三唑、卤代-苯并三唑(卤代=F、Cl、Br或I)、萘并三唑、2-巯基苯并咪唑(MBI)、2-巯基苯并噻唑、4-甲基-2-苯基咪唑、2-巯基噻唑啉、5-氨基四唑、戊四唑、5-苯基-1H-四唑、5-苄基-1H-四唑、5-甲基四唑、5-巯基-1-甲基-四唑、1-苯基-1H-四唑-5-硫醇、AblumineO、2-苄基吡啶、琥珀酰亚胺、2,4-二氨基-6-甲基-1,3,5-三嗪、噻唑、三嗪、甲基四唑、1,3-二甲基-2-咪唑烷酮、1,5-五亚甲基四唑、1-苯基-5-巯基四唑、二氨基甲基三嗪、咪唑啉硫酮、4-甲基-4H-1,2,4-三唑-3-硫醇、4-氨基-4H-1,2,4-三唑、苯并噻唑、咪唑、苯并咪唑、2-氨基苯并咪唑、1-甲基咪唑、吲唑、氯化癸基三甲基铵(DTAC)、腺苷、腺嘌呤、琥珀酰亚胺、咔唑、糖精、尿酸、苯偶姻肟、阳离子季盐聚(乙二醇)、聚(丙二醇)、环氧乙烷/环氧丙烷嵌段共聚物例如聚氧乙烯(20)失水山梨糖醇单油酸酯、聚氧乙烯(20)失水山梨糖醇单棕榈酸酯、聚氧乙烯(20)失水山梨糖醇单月桂酸酯、聚氧丙烯/聚氧乙烯嵌段共聚物、十二烷基苯磺酸、十二烷基苯磺酸钠(SDS)、十二烷基膦酸(DDPA)、双(2-乙基己基)磷酸酯、苄基膦酸、二苯基次膦酸、1,2-亚乙基二膦酸、苯基膦酸、肉桂酸、溴化十六烷基三甲基铵、溴化肉豆蔻基三甲基铵及其组合。
9.前述权利要求任一项的组合物,其中所述金属腐蚀抑制剂包括5-甲基-1H-苯并三唑、3-ATA、1,2,4-三唑和/或DTAC。
10.前述权利要求任一项的组合物,其中所述至少一种螯合剂包含选自以下的物质:乙酰丙酮化物、1,1,1-三氟-2,4-戊二酮、1,1,1,5,5,5-六氟-2,4-戊二酮、甘氨酸、丝氨酸、脯氨酸、亮氨酸、丙氨酸、天冬酰胺、天冬氨酸、谷氨酰胺、缬氨酸和赖氨酸、亚氨基二乙酸(IDA)、丙二酸、草酸、琥珀酸、硼酸、次氮基三乙酸、苹果酸、柠檬酸、乙酸、马来酸、乙二胺四乙酸(EDTA)、乙二胺四乙酸二铵盐、(1,2-亚环己基二次氮基)四乙酸(CDTA)、二亚乙基三胺五乙酸(DTPA)、2-膦酸丁烷-1,2,4-三羧酸(PBTCA)、乙二胺二琥珀酸、丙二胺四乙酸、膦酸、羟基亚乙基二膦酸(HEDP)、1-羟基乙烷-1,1-二膦酸、次氮基-三(亚甲基膦酸)(NTMP)、氨基三(亚甲基膦酸)、二亚乙基三胺五(亚甲基膦酸)、乙二胺四(亚甲基膦酸)(EDTMPA)、乙二胺、2,4-戊二酮、苯扎氯铵、1-咪唑、四乙二醇二甲醚、五甲基二亚乙基三胺(PMDETA)、1,3,5-三嗪-2,4,6-三硫醇三钠盐溶液、1,3,5-三嗪-2,4,6-三硫醇三铵盐溶液、二乙基二硫代氨基甲酸钠、双取代的二硫代氨基甲酸盐、对氨基苯磺酰胺、单乙醇胺(MEA)、2-羟基吡啶1-氧化物、三磷酸五钠及其组合。
11.前述权利要求任一项的组合物,其中所述至少一种螯合剂包括CDTA。
12.前述权利要求任一项的组合物,其中所述至少一种螯合剂包括选自以下的物质:乙酸、盐酸、氢溴酸、氢碘酸、硫酸、苯甲酸、丙酸、柠檬酸、甲酸、草酸、酒石酸、琥珀酸、乳酸、马来酸、丙二酸、延胡索酸、苹果酸、抗坏血酸、扁桃酸和邻苯二甲酸的铵阳离子或四烷基铵阳离子,及其组合。
13.前述权利要求任一项的组合物,其中所述至少一种螯合剂包含溴化铵和/或氯化铵。
14.前述权利要求任一项的组合物,其还包含至少一种其它组分,所述其它组分选自至少一种表面活性剂、至少一种低k钝化剂及其组合。
15.前述权利要求任一项的组合物,其中所述组合物基本上不含硅酸盐、研磨材料、金属卤化物及其组合。
16.前述权利要求任一项的组合物,其中所述组合物的pH在约5至约12的范围内。
17.一种从上面具有氮化钛材料的微电子器件的表面蚀刻氮化钛材料的方法,所述方法包括使所述表面与权利要求1-16任一项的组合物接触,其中所述组合物从所述表面相对于金属和绝缘材料选择性去除所述氮化钛材料。
18.权利要求17的方法,其中所述接触包括在约20℃至约100℃的范围内的温度下在约0.3分钟至约30分钟范围内的时间。
19.权利要求17-18任一项的方法,其中在所需蚀刻动作后从所述表面冲洗所述组合物。
CN201480032492.0A 2013-06-06 2014-06-06 用于选择性蚀刻氮化钛的组合物和方法 Pending CN105683336A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202010258552.8A CN111394100A (zh) 2013-06-06 2014-06-06 用于选择性蚀刻氮化钛的组合物和方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361831928P 2013-06-06 2013-06-06
US61/831,928 2013-06-06
PCT/US2014/041322 WO2014197808A1 (en) 2013-06-06 2014-06-06 Compositions and methods for selectively etching titanium nitride

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202010258552.8A Division CN111394100A (zh) 2013-06-06 2014-06-06 用于选择性蚀刻氮化钛的组合物和方法

Publications (1)

Publication Number Publication Date
CN105683336A true CN105683336A (zh) 2016-06-15

Family

ID=52008619

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202010258552.8A Pending CN111394100A (zh) 2013-06-06 2014-06-06 用于选择性蚀刻氮化钛的组合物和方法
CN201480032492.0A Pending CN105683336A (zh) 2013-06-06 2014-06-06 用于选择性蚀刻氮化钛的组合物和方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202010258552.8A Pending CN111394100A (zh) 2013-06-06 2014-06-06 用于选择性蚀刻氮化钛的组合物和方法

Country Status (8)

Country Link
US (1) US10920141B2 (zh)
EP (1) EP3004287B1 (zh)
JP (2) JP6723152B2 (zh)
KR (1) KR102338550B1 (zh)
CN (2) CN111394100A (zh)
SG (2) SG11201509933QA (zh)
TW (1) TWI651396B (zh)
WO (1) WO2014197808A1 (zh)

Cited By (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107229193A (zh) * 2017-07-25 2017-10-03 上海新阳半导体材料股份有限公司 一种清洗剂、其制备方法和应用
CN107881032A (zh) * 2016-09-30 2018-04-06 细美事有限公司 无水基板清洁组合物、基板处理方法和基板处理装置
CN108122752A (zh) * 2016-11-29 2018-06-05 三星电子株式会社 蚀刻组合物和通过使用其制造半导体器件的方法
CN108231573A (zh) * 2016-12-14 2018-06-29 三星电子株式会社 蚀刻组合物及通过使用其制造半导体器件的方法
CN108929633A (zh) * 2017-05-25 2018-12-04 富士胶片平面解决方案有限公司 用于钴应用的化学机械抛光浆料
CN109161358A (zh) * 2018-07-25 2019-01-08 佛山腾鲤新能源科技有限公司 一种导电胶的制备方法
CN109594088A (zh) * 2018-12-29 2019-04-09 陕西宝成航空仪表有限责任公司 氮化钛或氮化铝钛膜层的退除液及制备方法和退除方法
CN109791377A (zh) * 2016-09-09 2019-05-21 花王株式会社 树脂掩膜剥离用洗涤剂组合物
CN110177903A (zh) * 2017-01-17 2019-08-27 恩特格里斯公司 高阶节点工艺后端处理的蚀刻后残留物去除
CN110240907A (zh) * 2018-03-09 2019-09-17 弗萨姆材料美国有限责任公司 用于在半导体器件制造期间从硅-锗/锗叠层选择性除去硅-锗合金的蚀刻溶液
CN110272742A (zh) * 2018-03-16 2019-09-24 弗萨姆材料美国有限责任公司 用于钨字线凹进的蚀刻溶液
CN110284139A (zh) * 2018-03-19 2019-09-27 三星显示有限公司 蚀刻剂组合物和使用其制造金属图案和阵列基板的方法
CN110484919A (zh) * 2018-05-14 2019-11-22 深圳市裕展精密科技有限公司 退镀液及其退除含钛膜层的方法、及表面形成有含钛膜层的基材的退镀方法
CN110777381A (zh) * 2018-07-26 2020-02-11 弗萨姆材料美国有限责任公司 用于TiN硬掩模去除和蚀刻残留物清洁的组合物
CN111430799A (zh) * 2020-04-22 2020-07-17 上海纳米技术及应用国家工程研究中心有限公司 一种用于镍锰酸锂正极材料的高压电解液
CN111565870A (zh) * 2018-01-26 2020-08-21 日清工程株式会社 铜微粒子
CN111850564A (zh) * 2020-07-16 2020-10-30 桂林理工大学 一种钛化物膜层退镀液及退镀方法
CN111936936A (zh) * 2018-04-04 2020-11-13 巴斯夫欧洲公司 用于去除灰化后残留物和/或用于氧化蚀刻含TiN层料或掩模的含咪唑烷硫酮组合物
CN112041970A (zh) * 2018-04-27 2020-12-04 三菱瓦斯化学株式会社 水性组合物和使用其的清洗方法
CN112080279A (zh) * 2019-06-12 2020-12-15 关东鑫林科技股份有限公司 蚀刻组合物
US10920141B2 (en) 2013-06-06 2021-02-16 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
CN112442372A (zh) * 2019-09-04 2021-03-05 Sk新技术株式会社 蚀刻组合物,使用其蚀刻半导体器件的绝缘膜的方法以及制备半导体器件的方法
CN112540515A (zh) * 2020-12-16 2021-03-23 江苏艾森半导体材料股份有限公司 一种光刻胶去胶液及其制备方法和应用
CN113161234A (zh) * 2021-04-27 2021-07-23 上海新阳半导体材料股份有限公司 一种含氟清洗液组合物的应用
CN113150884A (zh) * 2021-04-27 2021-07-23 上海新阳半导体材料股份有限公司 一种含氟清洗液组合物的制备方法
CN113186043A (zh) * 2021-04-27 2021-07-30 上海新阳半导体材料股份有限公司 一种含氟清洗液组合物及其应用
CN113302730A (zh) * 2018-12-14 2021-08-24 东京毅力科创株式会社 使用照射刻蚀溶液来降低材料粗糙度的加工系统和平台
CN113430066A (zh) * 2020-03-23 2021-09-24 上海新阳半导体材料股份有限公司 用于选择性移除硬遮罩的清洗组合物、其制备方法及应用
CN113574159A (zh) * 2019-03-14 2021-10-29 日产化学株式会社 清洗剂组合物以及清洗方法
TWI748369B (zh) * 2019-03-11 2021-12-01 美商慧盛材料美國責任有限公司 用於鋁氮化物的蝕刻溶液及方法
CN114144508A (zh) * 2019-06-03 2022-03-04 富士胶片电子材料美国有限公司 蚀刻组合物
CN114369462A (zh) * 2021-12-16 2022-04-19 湖北兴福电子材料有限公司 一种选择性蚀刻氮化钛及钨的蚀刻液
CN114989825A (zh) * 2022-06-30 2022-09-02 湖北兴福电子材料有限公司 一种掺钪氮化铝和钨的选择性蚀刻液
CN115011347A (zh) * 2022-06-30 2022-09-06 湖北兴福电子材料有限公司 一种氮化铝和钨的选择性蚀刻液
CN115044376A (zh) * 2022-06-30 2022-09-13 湖北兴福电子材料有限公司 一种掺钪氮化铝蚀刻液及其应用
CN115210339A (zh) * 2020-03-04 2022-10-18 弗萨姆材料美国有限责任公司 用于氮化钛和钼导电金属线的蚀刻溶液
CN116096837A (zh) * 2020-08-13 2023-05-09 恩特格里斯公司 氮化物蚀刻剂组合物和方法

Families Citing this family (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9765288B2 (en) * 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
EP3027709A4 (en) 2013-07-31 2017-03-29 Entegris, Inc. AQUEOUS FORMULATIONS FOR REMOVING METAL HARD MASK AND POST-ETCH RESIDUE WITH Cu/W COMPATIBILITY
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
KR102573354B1 (ko) 2013-12-06 2023-08-30 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 표면 잔류물 제거용 세정 제형
TWI654340B (zh) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
JP6776125B2 (ja) 2013-12-20 2020-10-28 インテグリス・インコーポレーテッド イオン注入レジストの除去のための非酸化性の強酸の使用
KR102290209B1 (ko) 2013-12-31 2021-08-20 엔테그리스, 아이엔씨. 규소 및 게르마늄을 선택적으로 에칭하기 위한 배합물
US20160340620A1 (en) 2014-01-29 2016-11-24 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
US9297081B2 (en) * 2014-02-21 2016-03-29 Ecolab Usa Inc. Use of neutralizing agent in olefin or styrene production
EP3093875A4 (en) * 2014-04-10 2017-03-08 Mitsubishi Gas Chemical Company, Inc. Liquid composition for semiconductor element cleaning and method for cleaning semiconductor element
US11978622B2 (en) * 2014-06-30 2024-05-07 Entegris, Inc. Aqueous and semi-aqueous cleaners for the removal of post-etch residues with tungsten and cobalt compatibility
KR102255577B1 (ko) * 2014-08-25 2021-05-25 엘지디스플레이 주식회사 식각액 조성물
JP6555274B2 (ja) * 2014-11-13 2019-08-07 三菱瓦斯化学株式会社 コバルトのダメージを抑制した半導体素子の洗浄液、およびこれを用いた半導体素子の洗浄方法
TWI639730B (zh) * 2015-02-13 2018-11-01 關東鑫林科技股份有限公司 Etching liquid composition and etching method using the same
US9976111B2 (en) 2015-05-01 2018-05-22 Versum Materials Us, Llc TiN hard mask and etch residual removal
JP6761166B2 (ja) * 2015-07-23 2020-09-23 セントラル硝子株式会社 ウェットエッチング方法及びエッチング液
EP3328969B1 (en) 2015-07-29 2020-04-01 Ecolab USA Inc. Heavy amine neutralizing agents for olefin or styrene production
TWI705132B (zh) * 2015-10-08 2020-09-21 日商三菱瓦斯化學股份有限公司 半導體元件之洗淨用液體組成物、半導體元件之洗淨方法及半導體元件之製造方法
TWI816635B (zh) * 2015-10-15 2023-10-01 日商三菱瓦斯化學股份有限公司 半導體元件之洗淨用液體組成物、半導體元件之洗淨方法及半導體元件之製造方法
WO2017167797A1 (en) * 2016-03-29 2017-10-05 Technic France Solution and method for etching titanium based materials
US10982129B2 (en) * 2016-08-05 2021-04-20 NuGeneration Technologies, LLC Composition and method for making converter-dissolver composition for dissolving metal sulfate scales from surfaces
EP3299323B1 (en) 2016-09-23 2020-04-01 Otis Elevator Company Secondary car operating panel for elevator cars
KR102160019B1 (ko) * 2016-09-29 2020-09-28 후지필름 가부시키가이샤 처리액 및 적층체의 처리 방법
KR102434147B1 (ko) 2016-10-06 2022-08-19 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 반도체 기판상의 잔류물을 제거하기 위한 세정 제형
EP3580321A4 (en) * 2017-02-10 2020-12-02 Fujifilm Electronic Materials USA, Inc. CLEANING COMPOSITIONS
SG11201908616PA (en) * 2017-03-24 2019-10-30 Fujifilm Electronic Materials Usa Inc Cleaning compositions for removing residues on semiconductor substrates
US10920326B2 (en) * 2017-03-31 2021-02-16 Kanto Kagaku Kabushiki Kaisha Etchant composition for etching titanium layer or titanium-containing layer, and etching method
JP6966570B2 (ja) * 2017-04-11 2021-11-17 インテグリス・インコーポレーテッド 化学機械研磨後配合物及び使用方法
JP7235336B2 (ja) * 2017-08-22 2023-03-08 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 洗浄組成物
JP7090625B2 (ja) * 2017-08-31 2022-06-24 富士フイルム株式会社 処理液、キット、基板の洗浄方法
KR101967157B1 (ko) 2017-11-06 2019-04-09 한국원자력연구원 전극-반도체간 쇼트키 접촉 구조를 가진 방사선 센서
US11377624B2 (en) 2017-12-08 2022-07-05 Basf Se Cleaning composition for post-etch or post ash residue removal from a semiconductor substrate and corresponding manufacturing process
JP7382935B2 (ja) 2017-12-18 2023-11-17 インテグリス・インコーポレーテッド 原子層堆積により塗布された耐薬品性多層コーティング
SG11202006733TA (en) * 2018-01-16 2020-08-28 Tokuyama Corp Treatment liquid for semiconductor wafers, which contains hypochlorite ions
SG11202004420QA (en) * 2018-01-25 2020-06-29 Merck Patent Gmbh Photoresist remover compositions
JP7137586B2 (ja) * 2018-02-05 2022-09-14 富士フイルム株式会社 処理液、及び、処理方法
TWI730259B (zh) * 2018-03-23 2021-06-11 日商東芝股份有限公司 處理液及處理方法
IL277275B2 (en) 2018-03-28 2023-11-01 Fujifilm Electronic Mat Usa Inc cleaning products
JP7105084B2 (ja) * 2018-03-30 2022-07-22 ナガセケムテックス株式会社 エッチング液組成物
WO2019208685A1 (ja) * 2018-04-27 2019-10-31 三菱瓦斯化学株式会社 水性組成物及びこれを用いた洗浄方法
WO2019208684A1 (ja) * 2018-04-27 2019-10-31 三菱瓦斯化学株式会社 水性組成物及びこれを用いた洗浄方法
JP7144532B2 (ja) * 2018-05-01 2022-09-29 アプライド マテリアルズ インコーポレイテッド 選択的エッチングプロセスの選択性を高める方法
KR102346832B1 (ko) * 2018-05-23 2022-01-03 삼성에스디아이 주식회사 실리콘 질화막 식각용 조성물 및 이를 이용한 식각 방법
CN112384597A (zh) * 2018-07-06 2021-02-19 恩特格里斯公司 选择性蚀刻材料的改进
CN112424327A (zh) 2018-07-20 2021-02-26 恩特格里斯公司 含腐蚀抑制剂的清洗组合物
US11085011B2 (en) 2018-08-28 2021-08-10 Entegris, Inc. Post CMP cleaning compositions for ceria particles
US10896824B2 (en) * 2018-12-14 2021-01-19 Tokyo Electron Limited Roughness reduction methods for materials using illuminated etch solutions
US11346008B2 (en) * 2018-12-14 2022-05-31 Entegris, Inc. Ruthenium etching composition and method
KR20210111289A (ko) * 2019-01-11 2021-09-10 버슘머트리얼즈 유에스, 엘엘씨 산화하프늄 부식 억제제
SG11202108330UA (en) * 2019-01-31 2021-08-30 Fujifilm Electronic Materials Usa Inc Etching compositions
WO2020166676A1 (ja) * 2019-02-13 2020-08-20 株式会社トクヤマ 次亜塩素酸イオン、及びpH緩衝剤を含む半導体ウェハの処理液
WO2020185762A1 (en) * 2019-03-11 2020-09-17 Versum Materials Us, Llc Etching solution and method for selectively removing silicon nitride during manufacture of a semiconductor device
CN113748192B (zh) 2019-04-12 2023-08-29 埃科莱布美国股份有限公司 抗微生物多用途清洁剂及其制备和使用方法
KR20220011701A (ko) 2019-05-23 2022-01-28 바스프 에스이 로우-k 재료, 구리, 코발트 및/또는 텅스텐의 층의 존재 하에 하드 마스크 및/또는 에치-스탑 층을 선택적으로 에칭하기 위한 조성물 및 프로세스
KR20220016516A (ko) * 2019-07-05 2022-02-09 후지필름 가부시키가이샤 조성물, 키트, 기판의 처리 방법
KR20220058948A (ko) * 2019-09-10 2022-05-10 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 에칭 조성물
JP6874231B1 (ja) * 2019-09-27 2021-05-19 株式会社トクヤマ RuO4ガスの発生抑制剤及びRuO4ガスの発生抑制方法
TWI810469B (zh) 2019-09-27 2023-08-01 日商德山股份有限公司 釕的半導體用處理液及其製造方法
KR20210092472A (ko) 2020-01-16 2021-07-26 동우 화인켐 주식회사 몰리브데늄 식각액 조성물 및 이를 이용한 패턴 형성 방법
KR20210100923A (ko) 2020-02-07 2021-08-18 동우 화인켐 주식회사 금속막 식각액 조성물 및 이를 이용한 패턴 형성 방법
WO2021176913A1 (ja) * 2020-03-04 2021-09-10 富士フイルム株式会社 処理液、処理液収容体
CN113433807A (zh) * 2020-03-23 2021-09-24 上海新阳半导体材料股份有限公司 离子注入光刻胶清洗液、其制备方法及应用
CN113430072B (zh) * 2020-03-23 2024-05-07 上海新阳半导体材料股份有限公司 移除硬遮罩的钴兼容性半水基清洗液、其制备方法及应用
KR102339685B1 (ko) * 2020-03-25 2021-12-16 주식회사 이엔에프테크놀로지 실리콘 질화막 식각 조성물
CN115428129A (zh) * 2020-04-14 2022-12-02 三菱瓦斯化学株式会社 钛和/或钛合金的蚀刻液、使用该蚀刻液的钛和/或钛合金的蚀刻方法、以及使用该蚀刻液的基板的制造方法
WO2022030627A1 (ja) * 2020-08-07 2022-02-10 株式会社トクヤマ 半導体ウエハ用処理液
TWI824299B (zh) * 2020-09-22 2023-12-01 美商恩特葛瑞斯股份有限公司 蝕刻劑組合物
JPWO2022071069A1 (zh) * 2020-09-29 2022-04-07
US20220363990A1 (en) * 2021-05-12 2022-11-17 Entegris, Inc. Selective etchant compositions and methods
CN113549462A (zh) * 2021-06-16 2021-10-26 江阴润玛电子材料股份有限公司 一种微电子用超纯氟化铵蚀刻液及其制备方法
JP2023043419A (ja) * 2021-09-16 2023-03-29 株式会社Screenホールディングス 基板処理方法および基板処理装置
TW202342700A (zh) * 2022-04-20 2023-11-01 日商東京應化工業股份有限公司 蝕刻用組成物、使用其之蝕刻方法及電子零件之製造方法
WO2024004980A1 (ja) * 2022-07-01 2024-01-04 三菱瓦斯化学株式会社 半導体基板洗浄用組成物、半導体基板の洗浄方法、及び半導体基板の製造方法
CN115710536B (zh) * 2022-11-11 2024-03-08 上海新阳半导体材料股份有限公司 一种清洗液的制备方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020106975A1 (en) * 1999-06-24 2002-08-08 Micron Technology, Inc. Fixed-abrasive chemical-mechanical planarization of titanium nitride
CN1735671A (zh) * 2002-12-10 2006-02-15 高级技术材料公司 用于铜膜平面化的钝化化学机械抛光组合物
KR20080072308A (ko) * 2007-02-02 2008-08-06 동우 화인켐 주식회사 실리콘 산화막에 대한 선택적 에칭액 조성물
CN102217042A (zh) * 2008-10-02 2011-10-12 高级技术材料公司 表面活性剂/消泡剂混合物用于增强硅基板的金属负载及表面钝化的应用
WO2012048079A2 (en) * 2010-10-06 2012-04-12 Advanced Technology Materials, Inc. Composition and process for selectively etching metal nitrides
CN103003923A (zh) * 2010-07-16 2013-03-27 高级技术材料公司 用于移除蚀刻后残余物的水性清洁剂

Family Cites Families (156)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE457647B (sv) 1987-06-24 1989-01-16 Eka Nobel Ab Saett vid blekning av material med ditionitloesning
US5209858A (en) 1991-02-06 1993-05-11 E. I. Du Pont De Nemours And Company Stabilization of choline and its derivatives against discoloration
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
WO1997022733A1 (en) 1995-12-19 1997-06-26 Fsi International Electroless deposition of metal films with spray processor
US5702075A (en) 1996-01-31 1997-12-30 David Lehrman Automatically collapsible support for an electrical cord for use with an ironing board
PT810302E (pt) * 1996-05-30 2002-01-30 Nalco Chemical Co Utilizacao de uma mistura de agentes tensioactivos para inibicao da corrosao
US7534752B2 (en) 1996-07-03 2009-05-19 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US6323168B1 (en) 1996-07-03 2001-11-27 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US6224785B1 (en) 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6755989B2 (en) 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US5993685A (en) 1997-04-02 1999-11-30 Advanced Technology Materials Planarization composition for removing metal films
AU7147798A (en) 1997-04-23 1998-11-13 Advanced Chemical Systems International, Inc. Planarization compositions for cmp of interlayer dielectrics
US5976928A (en) 1997-11-20 1999-11-02 Advanced Technology Materials, Inc. Chemical mechanical polishing of FeRAM capacitors
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
CA2332390A1 (en) 1998-05-18 1999-11-25 Advanced Technology Materials, Inc. Stripping compositions for semiconductor substrates
US6875733B1 (en) 1998-10-14 2005-04-05 Advanced Technology Materials, Inc. Ammonium borate containing compositions for stripping residues from semiconductor substrates
US6395194B1 (en) 1998-12-18 2002-05-28 Intersurface Dynamics Inc. Chemical mechanical polishing compositions, and process for the CMP removal of iridium thin using same
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
TW467802B (en) 1999-10-12 2001-12-11 Hunatech Co Ltd Conditioner for polishing pad and method for manufacturing the same
JP2001135601A (ja) 1999-11-09 2001-05-18 Speedfam Co Ltd 半導体デバイス平坦化の研磨方法
US6723691B2 (en) 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6492308B1 (en) 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
JP3515041B2 (ja) 2000-03-13 2004-04-05 沖電気工業株式会社 半導体素子の製造方法
US6409781B1 (en) 2000-05-01 2002-06-25 Advanced Technology Materials, Inc. Polishing slurries for copper and associated materials
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
JP2002231666A (ja) * 2001-01-31 2002-08-16 Fujimi Inc 研磨用組成物およびそれを用いた研磨方法
US6627587B2 (en) 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US7029373B2 (en) 2001-08-14 2006-04-18 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6800218B2 (en) 2001-08-23 2004-10-05 Advanced Technology Materials, Inc. Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same
US6802983B2 (en) 2001-09-17 2004-10-12 Advanced Technology Materials, Inc. Preparation of high performance silica slurry using a centrifuge
US7030168B2 (en) 2001-12-31 2006-04-18 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
US7557073B2 (en) 2001-12-31 2009-07-07 Advanced Technology Materials, Inc. Non-fluoride containing supercritical fluid composition for removal of ion-implant photoresist
US7326673B2 (en) 2001-12-31 2008-02-05 Advanced Technology Materials, Inc. Treatment of semiconductor substrates using long-chain organothiols or long-chain acetates
US7119418B2 (en) 2001-12-31 2006-10-10 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US7188644B2 (en) 2002-05-03 2007-03-13 Advanced Technology Materials, Inc. Apparatus and method for minimizing the generation of particles in ultrapure liquids
US6698619B2 (en) 2002-05-03 2004-03-02 Advanced Technology Materials, Inc. Returnable and reusable, bag-in-drum fluid storage and dispensing container system
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US7011716B2 (en) 2003-04-29 2006-03-14 Advanced Technology Materials, Inc. Compositions and methods for drying patterned wafers during manufacture of integrated circuitry products
US20060019850A1 (en) 2002-10-31 2006-01-26 Korzenski Michael B Removal of particle contamination on a patterned silicon/silicon dioxide using dense fluid/chemical formulations
US6943139B2 (en) 2002-10-31 2005-09-13 Advanced Technology Materials, Inc. Removal of particle contamination on patterned silicon/silicon dioxide using supercritical carbon dioxide/chemical formulations
US7223352B2 (en) 2002-10-31 2007-05-29 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US6989358B2 (en) 2002-10-31 2006-01-24 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for removal of photoresists
US7485611B2 (en) 2002-10-31 2009-02-03 Advanced Technology Materials, Inc. Supercritical fluid-based cleaning compositions and methods
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
US6735978B1 (en) 2003-02-11 2004-05-18 Advanced Technology Materials, Inc. Treatment of supercritical fluid utilized in semiconductor manufacturing applications
US20060249482A1 (en) * 2003-05-12 2006-11-09 Peter Wrschka Chemical mechanical polishing compositions for step-ll copper line and other associated materials and method of using same
US7736405B2 (en) 2003-05-12 2010-06-15 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for copper and associated materials and method of using same
US7119052B2 (en) 2003-06-24 2006-10-10 Advanced Technology Materials, Inc. Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
US20050025973A1 (en) 2003-07-25 2005-02-03 Slutz David E. CVD diamond-coated composite substrate containing a carbide-forming material and ceramic phases and method for making same
US7335239B2 (en) 2003-11-17 2008-02-26 Advanced Technology Materials, Inc. Chemical mechanical planarization pad
US20050118832A1 (en) 2003-12-01 2005-06-02 Korzenski Michael B. Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
WO2005057281A2 (en) 2003-12-02 2005-06-23 Advanced Technology Materials, Inc. Resist, barc and gap fill material stripping chemical and method
US20050145311A1 (en) 2003-12-30 2005-07-07 Walker Elizabeth L. Method for monitoring surface treatment of copper containing devices
CN101833251B (zh) * 2004-02-11 2013-11-13 安万托特性材料股份有限公司 含有卤素含氧酸、其盐及其衍生物的微电子清洗组合物及清洗方法
US7553803B2 (en) 2004-03-01 2009-06-30 Advanced Technology Materials, Inc. Enhancement of silicon-containing particulate material removal using supercritical fluid-based compositions
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US20050227482A1 (en) 2004-03-24 2005-10-13 Korzenski Michael B Composition useful for removal of bottom anti-reflection coatings from patterned ion-implanted photoresist wafers
CA2573213A1 (en) 2004-07-09 2006-01-19 Akzo Nobel N.V. Composition comprising choline hydroxide and process for preparing the same
JP4145273B2 (ja) 2004-07-14 2008-09-03 株式会社ノリタケスーパーアブレーシブ Cmpパッドコンディショナー
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060148666A1 (en) 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7923423B2 (en) 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
US7365045B2 (en) 2005-03-30 2008-04-29 Advanced Tehnology Materials, Inc. Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
WO2006110279A1 (en) * 2005-04-08 2006-10-19 Sachem, Inc. Selective wet etching of metal nitrides
WO2006110645A2 (en) 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
TW200726858A (en) 2005-04-15 2007-07-16 Advanced Tech Materials Apparatus and method for supercritical fluid removal or deposition processes
US20070251551A1 (en) 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
KR20070120609A (ko) 2005-04-15 2007-12-24 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 마이크로전자 소자로부터 이온 주입 포토레지스트층을세정하기 위한 배합물
JP2008543060A (ja) 2005-05-26 2008-11-27 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅不活性化化学機械研磨後洗浄組成物及び使用方法
JP2008546214A (ja) 2005-06-06 2008-12-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 集積された化学機械研磨組成物および単一プラテン処理のためのプロセス
CN102981377B (zh) 2005-06-07 2014-11-12 高级技术材料公司 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
CN101233601A (zh) 2005-06-13 2008-07-30 高级技术材料公司 在金属硅化物形成后用于选择性除去金属或金属合金的组合物及方法
WO2006138505A1 (en) 2005-06-16 2006-12-28 Advanced Technology Materials, Inc. Dense fluid compositions for removal of hardened photoresist, post-etch residue and/or bottom anti-reflective coating layers
CN101356628B (zh) 2005-08-05 2012-01-04 高级技术材料公司 用于对金属膜进行平坦化的高通量化学机械抛光组合物
KR100685738B1 (ko) * 2005-08-08 2007-02-26 삼성전자주식회사 절연 물질 제거용 조성물, 이를 이용한 절연막의 제거 방법및 기판의 재생 방법
WO2007027522A2 (en) 2005-08-29 2007-03-08 Advanced Technology Materials, Inc. Composition and method for removing thick film photoresist
US20090032766A1 (en) 2005-10-05 2009-02-05 Advanced Technology Materials, Inc. Composition and method for selectively etching gate spacer oxide material
KR101444468B1 (ko) 2005-10-05 2014-10-30 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
KR20080059442A (ko) 2005-10-13 2008-06-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 상용성 포토레지스트 및/또는 희생 반사방지 코팅제거 조성물
WO2007120259A2 (en) 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
US7960328B2 (en) 2005-11-09 2011-06-14 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
TW200734448A (en) 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US20070225186A1 (en) 2006-03-27 2007-09-27 Matthew Fisher Alkaline solutions for post CMP cleaning processes
WO2008036823A2 (en) 2006-09-21 2008-03-27 Advanced Technology Materials, Inc. Uric acid additive for cleaning formulations
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
US20080076688A1 (en) 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US20100056410A1 (en) 2006-09-25 2010-03-04 Advanced Technology Materials, Inc. Compositions and methods for the removal of photoresist for a wafer rework application
US20080125342A1 (en) * 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
US8778210B2 (en) 2006-12-21 2014-07-15 Advanced Technology Materials, Inc. Compositions and methods for the selective removal of silicon nitride
TWI611047B (zh) 2006-12-21 2018-01-11 恩特葛瑞斯股份有限公司 用以移除蝕刻後殘餘物之液體清洗劑
JP2008177180A (ja) * 2007-01-16 2008-07-31 Ebara Corp 配線基板研磨用前処理液、研磨方法、配線基板製造方法及び配線基板製造装置
CN101636465A (zh) 2007-01-31 2010-01-27 高级技术材料公司 用于化学机械抛光浆料应用的聚合物-二氧化硅分散剂的稳定化
TWI516573B (zh) 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
TW200916183A (en) 2007-05-09 2009-04-16 Advanced Tech Materials Systems and methods for material blending and distribution
WO2008157345A2 (en) 2007-06-13 2008-12-24 Advanced Technology Materials, Inc. Wafer reclamation compositions and methods
JP2010535422A (ja) 2007-08-02 2010-11-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド マイクロ電子デバイスから残渣を除去するための非フッ化物含有組成物
KR20100056537A (ko) 2007-08-20 2010-05-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 이온-주입된 포토레지스트를 제거하기 위한 조성물 및 방법
JP2009075285A (ja) 2007-09-20 2009-04-09 Fujifilm Corp 半導体デバイスの剥離液、及び、剥離方法
JP2011502946A (ja) 2007-11-14 2011-01-27 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 可溶性ナノ結晶の無溶媒合成
TW200934865A (en) 2007-11-30 2009-08-16 Advanced Tech Materials Formulations for cleaning memory device structures
TWI591158B (zh) 2008-03-07 2017-07-11 恩特葛瑞斯股份有限公司 非選擇性氧化物蝕刻濕清潔組合物及使用方法
US20090253072A1 (en) 2008-04-01 2009-10-08 Petruska Melissa A Nanoparticle reversible contrast enhancement material and method
JP2011520142A (ja) 2008-05-01 2011-07-14 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 高密度注入レジストの除去のための低pH混合物
TW201013338A (en) 2008-08-04 2010-04-01 Advanced Tech Materials Environmentally friendly polymer stripping compositions
KR101752684B1 (ko) * 2008-10-21 2017-07-04 엔테그리스, 아이엔씨. 구리 세척 및 보호 조성물
US9074169B2 (en) 2009-01-28 2015-07-07 Advanced Technology Materials, Inc. Lithographic tool in situ clean formulations
WO2010086745A1 (en) 2009-02-02 2010-08-05 Atmi Taiwan Co., Ltd. Method of etching lanthanum-containing oxide layers
WO2010091045A2 (en) 2009-02-05 2010-08-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of polymers and other organic material from a surface
US8754021B2 (en) 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
US8367555B2 (en) 2009-12-11 2013-02-05 International Business Machines Corporation Removal of masking material
US9045717B2 (en) 2010-01-29 2015-06-02 Advanced Technology Materials, Inc. Cleaning agent for semiconductor provided with metal wiring
JP5858597B2 (ja) 2010-01-29 2016-02-10 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド タングステン配線半導体用洗浄剤
TW201634701A (zh) 2010-04-15 2016-10-01 安堤格里斯公司 廢棄印刷電路板之回收利用方法
JP2012021151A (ja) 2010-06-16 2012-02-02 Sanyo Chem Ind Ltd 銅配線半導体用洗浄剤
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
WO2012024603A2 (en) 2010-08-20 2012-02-23 Advanced Technology Materials, Inc. Sustainable process for reclaiming precious metals and base metals from e-waste
WO2012027667A2 (en) 2010-08-27 2012-03-01 Advanced Technology Materials, Inc. Method for preventing the collapse of high aspect ratio structures during drying
US9416338B2 (en) 2010-10-13 2016-08-16 Advanced Technology Materials, Inc. Composition for and method of suppressing titanium nitride corrosion
KR102064487B1 (ko) 2011-01-13 2020-01-10 엔테그리스, 아이엔씨. 세륨-함유 용액에 의해 발생된 입자의 제거를 위한 배합물
JP2012186470A (ja) 2011-02-18 2012-09-27 Sanyo Chem Ind Ltd 銅配線半導体用洗浄剤
WO2012154498A2 (en) 2011-05-06 2012-11-15 Advanced Technology Materials, Inc. Removal of metal impurities from silicon surfaces for solar cell and semiconductor applications
JP2012251026A (ja) 2011-05-31 2012-12-20 Sanyo Chem Ind Ltd 半導体用洗浄剤
WO2012174518A2 (en) 2011-06-16 2012-12-20 Advanced Technology Materials, Inc. Compositions and methods for selectively etching silicon nitride
EP2724413B1 (en) 2011-06-21 2018-12-05 Warner Babcock Institute for Green Chemistry, LLC Method for the recovery of lithium cobalt oxide from lithium ion batteries
KR101776923B1 (ko) 2011-08-05 2017-09-11 삼성디스플레이 주식회사 식각액 조성물, 이를 이용한 금속 패턴의 형성 방법 및 표시 기판의 제조 방법
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
CN103958640B (zh) 2011-10-21 2016-05-18 安格斯公司 无胺cmp后组合物及其使用方法
US8618036B2 (en) 2011-11-14 2013-12-31 International Business Machines Corporation Aqueous cerium-containing solution having an extended bath lifetime for removing mask material
WO2013077855A1 (en) 2011-11-22 2013-05-30 Taminco N.V. Stabilized choline solutions and methods for preparing the same
SG11201403228RA (en) 2011-12-15 2014-07-30 Advanced Tech Materials Apparatus and method for stripping solder metals during the recycling of waste electrical and electronic equipment
SG10201605172RA (en) 2011-12-28 2016-08-30 Entegris Inc Compositions and methods for selectively etching titanium nitride
US10176979B2 (en) 2012-02-15 2019-01-08 Entegris, Inc. Post-CMP removal using compositions and method of use
WO2013138278A1 (en) 2012-03-12 2013-09-19 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
SG11201405638UA (en) 2012-03-12 2014-10-30 Entegris Inc Methods for the selective removal of ashed spin-on glass
JP2015519723A (ja) 2012-03-18 2015-07-09 インテグリス,インコーポレイテッド バリア層との適合性および洗浄性能が改良されたcmp後配合物
US20150050199A1 (en) 2012-04-06 2015-02-19 Entegris, Inc. Removal of lead from solid materials
MX2014003977A (es) 2012-04-13 2014-05-12 Huntsman Petrochemical Llc Uso de nuevas aminas para estabilizar trialquilalcanolaminas cuaternarias.
US20130295712A1 (en) 2012-05-03 2013-11-07 Advanced Technology Materials, Inc. Methods of texturing surfaces for controlled reflection
TW201406931A (zh) 2012-05-11 2014-02-16 Advanced Tech Materials 用於矽化物製造期間濕蝕刻NiPt之配方
TW201406932A (zh) 2012-05-18 2014-02-16 Advanced Tech Materials 用於自包含氮化鈦之表面脫除光阻劑之組成物及方法
US20150114429A1 (en) 2012-05-18 2015-04-30 Atmi Taiwan Co., Ltd. Aqueous clean solution with low copper etch rate for organic residue removal improvement
JP6063206B2 (ja) 2012-10-22 2017-01-18 富士フイルム株式会社 エッチング液、これを用いたエッチング方法及び半導体素子の製造方法
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
SG10201706443QA (en) 2013-03-04 2017-09-28 Entegris Inc Compositions and methods for selectively etching titanium nitride
EP2778158A1 (en) 2013-03-14 2014-09-17 Advanced Technology Materials, Inc. Sulfolane mixtures as ambient aprotic polar solvents
EP2989231A4 (en) 2013-04-22 2016-12-07 Advanced Tech Materials FORMULATIONS FOR CLEANING AND PROTECTING COPPER
US20160122696A1 (en) 2013-05-17 2016-05-05 Advanced Technology Materials, Inc. Compositions and methods for removing ceria particles from a surface
WO2014197808A1 (en) 2013-06-06 2014-12-11 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
EP3110982A4 (en) 2014-02-25 2017-11-22 Entegris, Inc. Wet based formulations for the selective removal of noble metals

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020106975A1 (en) * 1999-06-24 2002-08-08 Micron Technology, Inc. Fixed-abrasive chemical-mechanical planarization of titanium nitride
CN1735671A (zh) * 2002-12-10 2006-02-15 高级技术材料公司 用于铜膜平面化的钝化化学机械抛光组合物
KR20080072308A (ko) * 2007-02-02 2008-08-06 동우 화인켐 주식회사 실리콘 산화막에 대한 선택적 에칭액 조성물
CN102217042A (zh) * 2008-10-02 2011-10-12 高级技术材料公司 表面活性剂/消泡剂混合物用于增强硅基板的金属负载及表面钝化的应用
CN103003923A (zh) * 2010-07-16 2013-03-27 高级技术材料公司 用于移除蚀刻后残余物的水性清洁剂
WO2012048079A2 (en) * 2010-10-06 2012-04-12 Advanced Technology Materials, Inc. Composition and process for selectively etching metal nitrides

Cited By (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10920141B2 (en) 2013-06-06 2021-02-16 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
CN109791377A (zh) * 2016-09-09 2019-05-21 花王株式会社 树脂掩膜剥离用洗涤剂组合物
CN107881032A (zh) * 2016-09-30 2018-04-06 细美事有限公司 无水基板清洁组合物、基板处理方法和基板处理装置
US10773281B2 (en) 2016-09-30 2020-09-15 Semes Co., Ltd. Anhydrous substrate cleaning composition, substrate treating method, and substrate treating apparatus
CN108122752A (zh) * 2016-11-29 2018-06-05 三星电子株式会社 蚀刻组合物和通过使用其制造半导体器件的方法
CN108122752B (zh) * 2016-11-29 2022-12-27 三星电子株式会社 蚀刻组合物和通过使用其制造半导体器件的方法
CN108231573B (zh) * 2016-12-14 2024-01-16 三星电子株式会社 蚀刻组合物及通过使用其制造半导体器件的方法
CN108231573A (zh) * 2016-12-14 2018-06-29 三星电子株式会社 蚀刻组合物及通过使用其制造半导体器件的方法
CN110177903A (zh) * 2017-01-17 2019-08-27 恩特格里斯公司 高阶节点工艺后端处理的蚀刻后残留物去除
US10676646B2 (en) 2017-05-25 2020-06-09 Fujifilm Electronic Materials U.S.A., Inc. Chemical mechanical polishing slurry for cobalt applications
CN108929633B (zh) * 2017-05-25 2021-07-06 富士胶片电子材料美国有限公司 用于钴应用的化学机械抛光浆料
CN108929633A (zh) * 2017-05-25 2018-12-04 富士胶片平面解决方案有限公司 用于钴应用的化学机械抛光浆料
CN107229193A (zh) * 2017-07-25 2017-10-03 上海新阳半导体材料股份有限公司 一种清洗剂、其制备方法和应用
CN107229193B (zh) * 2017-07-25 2019-04-23 上海新阳半导体材料股份有限公司 一种清洗剂、其制备方法和应用
CN111565870A (zh) * 2018-01-26 2020-08-21 日清工程株式会社 铜微粒子
CN110240907B (zh) * 2018-03-09 2021-09-17 弗萨姆材料美国有限责任公司 用于在半导体器件制造期间从硅-锗/锗叠层选择性除去硅-锗合金的蚀刻溶液
CN110240907A (zh) * 2018-03-09 2019-09-17 弗萨姆材料美国有限责任公司 用于在半导体器件制造期间从硅-锗/锗叠层选择性除去硅-锗合金的蚀刻溶液
US10934484B2 (en) 2018-03-09 2021-03-02 Versum Materials Us, Llc Etching solution for selectively removing silicon-germanium alloy from a silicon-germanium/ germanium stack during manufacture of a semiconductor device
CN110272742A (zh) * 2018-03-16 2019-09-24 弗萨姆材料美国有限责任公司 用于钨字线凹进的蚀刻溶液
CN110284139B (zh) * 2018-03-19 2022-05-27 三星显示有限公司 蚀刻剂组合物和使用其制造金属图案和阵列基板的方法
CN110284139A (zh) * 2018-03-19 2019-09-27 三星显示有限公司 蚀刻剂组合物和使用其制造金属图案和阵列基板的方法
CN111936936A (zh) * 2018-04-04 2020-11-13 巴斯夫欧洲公司 用于去除灰化后残留物和/或用于氧化蚀刻含TiN层料或掩模的含咪唑烷硫酮组合物
CN112041970A (zh) * 2018-04-27 2020-12-04 三菱瓦斯化学株式会社 水性组合物和使用其的清洗方法
CN110484919A (zh) * 2018-05-14 2019-11-22 深圳市裕展精密科技有限公司 退镀液及其退除含钛膜层的方法、及表面形成有含钛膜层的基材的退镀方法
CN109161358A (zh) * 2018-07-25 2019-01-08 佛山腾鲤新能源科技有限公司 一种导电胶的制备方法
CN110777381A (zh) * 2018-07-26 2020-02-11 弗萨姆材料美国有限责任公司 用于TiN硬掩模去除和蚀刻残留物清洁的组合物
CN113302730A (zh) * 2018-12-14 2021-08-24 东京毅力科创株式会社 使用照射刻蚀溶液来降低材料粗糙度的加工系统和平台
CN109594088A (zh) * 2018-12-29 2019-04-09 陕西宝成航空仪表有限责任公司 氮化钛或氮化铝钛膜层的退除液及制备方法和退除方法
TWI748369B (zh) * 2019-03-11 2021-12-01 美商慧盛材料美國責任有限公司 用於鋁氮化物的蝕刻溶液及方法
CN113574159A (zh) * 2019-03-14 2021-10-29 日产化学株式会社 清洗剂组合物以及清洗方法
CN114144508A (zh) * 2019-06-03 2022-03-04 富士胶片电子材料美国有限公司 蚀刻组合物
CN112080279A (zh) * 2019-06-12 2020-12-15 关东鑫林科技股份有限公司 蚀刻组合物
CN112442372A (zh) * 2019-09-04 2021-03-05 Sk新技术株式会社 蚀刻组合物,使用其蚀刻半导体器件的绝缘膜的方法以及制备半导体器件的方法
CN112442372B (zh) * 2019-09-04 2024-03-29 Sk新技术株式会社 蚀刻组合物,使用其蚀刻半导体器件的绝缘膜的方法以及制备半导体器件的方法
CN115210339A (zh) * 2020-03-04 2022-10-18 弗萨姆材料美国有限责任公司 用于氮化钛和钼导电金属线的蚀刻溶液
CN113430066B (zh) * 2020-03-23 2024-04-19 上海新阳半导体材料股份有限公司 用于选择性移除硬遮罩的清洗组合物、其制备方法及应用
CN113430066A (zh) * 2020-03-23 2021-09-24 上海新阳半导体材料股份有限公司 用于选择性移除硬遮罩的清洗组合物、其制备方法及应用
CN111430799A (zh) * 2020-04-22 2020-07-17 上海纳米技术及应用国家工程研究中心有限公司 一种用于镍锰酸锂正极材料的高压电解液
CN111430799B (zh) * 2020-04-22 2023-02-14 上海纳米技术及应用国家工程研究中心有限公司 一种用于镍锰酸锂正极材料的高压电解液
CN111850564A (zh) * 2020-07-16 2020-10-30 桂林理工大学 一种钛化物膜层退镀液及退镀方法
CN116096837A (zh) * 2020-08-13 2023-05-09 恩特格里斯公司 氮化物蚀刻剂组合物和方法
CN112540515A (zh) * 2020-12-16 2021-03-23 江苏艾森半导体材料股份有限公司 一种光刻胶去胶液及其制备方法和应用
CN112540515B (zh) * 2020-12-16 2023-11-21 江苏艾森半导体材料股份有限公司 一种光刻胶去胶液及其制备方法和应用
CN113161234B (zh) * 2021-04-27 2023-02-17 上海新阳半导体材料股份有限公司 一种含氟清洗液组合物的应用
CN113161234A (zh) * 2021-04-27 2021-07-23 上海新阳半导体材料股份有限公司 一种含氟清洗液组合物的应用
CN113150884A (zh) * 2021-04-27 2021-07-23 上海新阳半导体材料股份有限公司 一种含氟清洗液组合物的制备方法
CN113186043A (zh) * 2021-04-27 2021-07-30 上海新阳半导体材料股份有限公司 一种含氟清洗液组合物及其应用
CN114369462A (zh) * 2021-12-16 2022-04-19 湖北兴福电子材料有限公司 一种选择性蚀刻氮化钛及钨的蚀刻液
CN115044376A (zh) * 2022-06-30 2022-09-13 湖北兴福电子材料有限公司 一种掺钪氮化铝蚀刻液及其应用
CN115011347A (zh) * 2022-06-30 2022-09-06 湖北兴福电子材料有限公司 一种氮化铝和钨的选择性蚀刻液
CN114989825A (zh) * 2022-06-30 2022-09-02 湖北兴福电子材料有限公司 一种掺钪氮化铝和钨的选择性蚀刻液
CN115011347B (zh) * 2022-06-30 2023-12-29 湖北兴福电子材料股份有限公司 一种氮化铝和钨的选择性蚀刻液
CN115044376B (zh) * 2022-06-30 2023-12-29 湖北兴福电子材料股份有限公司 一种掺钪氮化铝蚀刻液及其应用

Also Published As

Publication number Publication date
SG11201509933QA (en) 2016-01-28
KR20160014714A (ko) 2016-02-11
CN111394100A (zh) 2020-07-10
WO2014197808A1 (en) 2014-12-11
US10920141B2 (en) 2021-02-16
EP3004287B1 (en) 2021-08-18
TWI651396B (zh) 2019-02-21
JP2016527707A (ja) 2016-09-08
EP3004287A1 (en) 2016-04-13
SG10201708364XA (en) 2017-11-29
EP3004287A4 (en) 2017-06-21
JP6723152B2 (ja) 2020-07-15
KR102338550B1 (ko) 2021-12-14
US20160130500A1 (en) 2016-05-12
JP2019134168A (ja) 2019-08-08
TW201504397A (zh) 2015-02-01

Similar Documents

Publication Publication Date Title
CN105683336A (zh) 用于选择性蚀刻氮化钛的组合物和方法
US10392560B2 (en) Compositions and methods for selectively etching titanium nitride
CN105102584B (zh) 用于选择性蚀刻氮化钛的组合物和方法
KR102338526B1 (ko) Cu/W 호환성을 갖는, 금속 하드 마스크 및 에칭-후 잔여물을 제거하기 위한 수성 제형
US10428271B2 (en) Compositions and methods for selectively etching titanium nitride
JP7507309B2 (ja) 窒化物エッチング剤組成物及び方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C41 Transfer of patent application or patent right or utility model
TA01 Transfer of patent application right

Effective date of registration: 20161008

Address after: Massachusetts, USA

Applicant after: MYKROLIS Corp.

Address before: American Connecticut

Applicant before: Advanced Technology Materials, Inc.

CB02 Change of applicant information

Address after: Massachusetts, USA

Applicant after: Entergris Co.

Address before: Massachusetts, USA

Applicant before: MYKROLIS Corp.

COR Change of bibliographic data
RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20160615