JP7382935B2 - 原子層堆積により塗布された耐薬品性多層コーティング - Google Patents

原子層堆積により塗布された耐薬品性多層コーティング Download PDF

Info

Publication number
JP7382935B2
JP7382935B2 JP2020533690A JP2020533690A JP7382935B2 JP 7382935 B2 JP7382935 B2 JP 7382935B2 JP 2020533690 A JP2020533690 A JP 2020533690A JP 2020533690 A JP2020533690 A JP 2020533690A JP 7382935 B2 JP7382935 B2 JP 7382935B2
Authority
JP
Japan
Prior art keywords
coating
yttria
layer
coatings
alumina
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020533690A
Other languages
English (en)
Other versions
JP2021507112A (ja
Inventor
リン,イ-クアン
チャンドラセカラン ヴェンカトラマン,
カルロ ウォルドフリード,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Entegris Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Entegris Inc filed Critical Entegris Inc
Publication of JP2021507112A publication Critical patent/JP2021507112A/ja
Priority to JP2022129540A priority Critical patent/JP2022180352A/ja
Application granted granted Critical
Publication of JP7382935B2 publication Critical patent/JP7382935B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Description

関連出願への相互参照
本出願は、35 USC 119の下で、2017年12月18日に出願された米国仮特許出願第62/599,865号の利益を主張し、この出願の開示は、全ての目的に対して、その全体を参照することによって本明細書に組み込まれる。
本明細書は、原子層堆積(ALD)法により塗布された多層コーティング、表面に多層コーティングを有する基板、および原子層堆積により多層コーティングを調製する方法に関し、コーティングは、アルミナおよびイットリアを含有する異なる堆積材料の少なくとも2つの層を含む。
半導体およびマイクロ電子デバイス加工は、プラズマのような、非常に反応性が高いプロセス材料を伴う工程を必要とする。これらの反応性が高いプロセス材料を伴うプロセス、例えば、プラズマエッチング工程、プラズマ堆積工程、プラズマ洗浄工程は、製造中の加工品および反応性が高いプロセス材料を含むプロセスチャンバーの内部で実施される。プロセスチャンバーはまた、チャンバー壁、フロー導管(例えば、フローライン、シャワーヘッド等)、留め具、トレー、支持具、および製造中の加工品を支持するか、またはプロセスチャンバーに対して反応性が高いプロセス材料を送達するもしくはそれを含む他の構造などの構成要素(別名「反応装置構成要素」)を含む。プロセスチャンバーの一部として使用するために、反応装置構成要素は、プロセスチャンバー内で使用されるであろう反応性が高いプロセス材料に対して耐性があるべきである。反応装置構成要素は、特に、実施されているプロセスに進入する、または加工されている製造中の加工品に場合によっては夾雑することもあるデブリ、微粒子、または微量金属夾雑物を生じさせるであろう様式で、プロセス材料により劣化または損傷させられるべきでない。
半導体およびマイクロ電子デバイスを製作するプロセスで使用される反応装置構成要素は、ステンレス鋼もしくは陽極処理アルミニウム、またはミネラルもしくはセラミック材料等などの金属または非金属材料である基部または基板から頻繁に作成される。反応装置構成要素基板は、通常、基板材料に対して、反応性が高いプロセス材料に対する耐性が増強された薄膜コーティングでコーティングされている。従来、そのような保護用薄膜コーティングは、典型的には、陽極処理(例えば、陽極処理アルミニウムを生じさせる)、スプレーコーティング、または物理蒸着(PVD)のプロセスによる様々な有益な方法により、基板上へと典型的に配されてきた。
本明細書によると、コーティングは、原子層堆積により基板表面に塗布された多層保護コーティングであり、非晶質アルミナ(Al)の層およびイットリア(Y)の層を含む、少なくとも2層の異なる堆積材料を含む。非ALD法により、例えばPVDにより塗布されたコーティングなどの従来の保護コーティングと比較して、またはALD法により調製されているが、本明細書の例となる多層コーティングの有利な特性をもたらす様式で調製または構成されていない同等のコーティング(例えば、イットリア、アルミナ、またはイットリアおよびアルミナの混合物を含む)と比較して、本発明のコーティングは、その物理的構造、保護コーティングとしての性能、または両方に関して有益であり、場合によっては有利な可能性もある。
したがって、原子層堆積法は、基板上に多層コーティングを塗布するために使用でき、多層コーティングは、以下のうちの1つまたは複数を含む特に有益な物理的性質を有する:有益または有利なレベルの耐薬品性、および有益または有利なレベルの耐破壊性。例えば、イットリア層が選択された厚みまたは投入比で用意されている、イットリアの層およびアルミナの層を含む多層コーティングは、保護コーティングとして使用するために極めて有効な可能性があり、有益であるかまたは改善された物理的性質または本明細書に記載されている性能のうちの1つまたは複数を示すことがある。さらに、記載されているような原子層堆積により塗布されたコーティングはまた、表面積にわたってコーティングが非常に均一であること、ピンホール、クラック、ひび、および粒子境界などの欠陥のレベルが低いことなどの有利なコーティング特性を有する可能性があり、ならびにこれらの有益または所望の特性が、三次元であり、任意選択的に高いアスペクト比を有する表面に塗布されてもよい。保護コーティング(本明細書に記載されているようなプロセスチャンバーにおいて使用される保護コーティングなど)を基板上に形成する特定の他の従来の方法、例えば、陽極処理、スプレーコーティング、および物理蒸着とは対照的に、原子層堆積を使用することにより、半導体およびマイクロ電子デバイスの製作産業において有益なこともある(他の用途間で)装置の構成要素をコーティングすることを達成できる。
薄膜コーティングを生成する様々な他の方法と比較して、本開示は、特定の特別な利点が、ALD技術により調製された多層コーティングにおいて達成できることを開示した。例えば、多層コーティングを好ましい厚みまたは投入比のイットリア層を含むように調製して達成された、記載されている多層コーティングの有利な特性は、以下のうちの1つまたは複数を含むこともある:結晶子サイズが10nm未満であるナノ結晶構造(実質的に非晶質);コーティングのX線回折(XRD)ピークの望ましい半値全幅(FWHM)が2.5度2シータよりも大きいこと;高密度のコーティング(従来の保護コーティングと比較して);低い多孔性(従来の保護コーティングと比較して);ならびに、ピンホール、クラッキング、ひび、または粒子境界などの欠陥のレベルが低いこと。加えて、原子層堆積により形成されたコーティングはまた、以下のものから利益を得ることもある:基板(例えば三次元基板)に対するALDコーティングの優れた調和;小規模における正確な厚み制御;表面上のコーティングの大面積均一性;垂直方向に積層した鮮明な界面;高いアスペクト比のアパーチャ、チャネル、または流路などの非平面構造を含む表面に対する有効かつ均一な塗布;ならびに、複数の材料の薄層のコーティングを調製する能力がある多層加工。
一態様では、本発明は、原子層堆積により調製された耐薬品性多層保護コーティングであって、イットリアおよび非晶質アルミナの交互層を含む、コーティングに関する。
別の態様では、本発明は、原子層堆積により調製され、イットリアおよび非晶質アルミナの交互層を含む、真空安定基板などの基板上にコーティングされた耐薬品性多層保護コーティングを含む、コーティングされた物品であって、例えば、プラズマを処理するために使用される反応チャンバーなどの、半導体またはマイクロ電子デバイスの製作システムの反応装置構成要素として使用するための、物品に関する。
別の態様では、本発明は、原子層堆積により調製され、イットリアおよび非晶質アルミナの交互層を含む、基板上にコーティングされた耐薬品性多層保護コーティングを含む、コーティングされた物品を使用する方法に関する。コーティングされた物品は、プラズマを処理するために使用される反応チャンバーなどの、半導体またはマイクロ電子デバイスの製作システムの反応装置構成要素として使用できる。
本明細書の多層コーティングのX線回折データを示す図である。
以下に:原子層堆積により基板表面に塗布された、薄い多層膜の形態の保護コーティング;薄膜コーティングを原子層堆積により塗布する方法;表面(複数可)に薄膜コーティングが塗布された基板を含むコーティングされた物品;ならびにプロセスチャンバー(別名、「反応装置」または「反応チャンバー」)の構成要素などの加工装置中でコーティングされた物品を使用する方法を記載する。
原子層堆積により塗布された、本明細書に記載されているような保護コーティングは、(例えば)イットリアの層およびアルミナの層を含む2種の異なる堆積材料の層を含むように作成された多層コーティングであってもよい。コーティングは、公知であるかまたは将来開発されるプロセスのためのプロセスチャンバーを使用する間にプロセスチャンバー中に存在するであろうプロセス材料、とりわけ酸およびプラズマ(それだけではないが)に対する耐性があり、例となる特定のプロセスが本明細書に記載されている。本明細書に記載された多層コーティングにより達成される耐薬品性のレベルは、従来の保護コーティングにより達成される耐性のレベルと比較して、大いに有益であるか、または相対的に改善されていることもある。耐薬品性のタイプ、および様々な化学材料に対する耐性のレベルは、コーティングの密度;コーティングの結晶度および結晶構造、コーティングの多孔性;多層コーティングの欠陥の存在の減少;ならびに、多層コーティングの様々な層の特性(例えば厚み)、とりわけイットリア層の厚みなどの、多層コーティングの材料のタイプ、および多層コーティングの物理的性質の結果であってもよい。
例として、多層コーティングの有益な耐薬品性は、コーティングのアルミナの層およびイットリアの層、とりわけ記載されているような好ましい厚みまたは投入比のイットリア層の併用効果により達成できる。アルミナは、塩酸への曝露により実証できるような、塩素および臭素ベースのプラズマ曝露に対する、有効または非常に有効な抵抗を提供する。リン酸を含む他の酸に対する抵抗も期待できる。本明細書の多層コーティングのアルミナ層が、これらのハロゲンに対する有益もしくは非常に有効なレベルの抵抗を提供する一方で、アルミナは、フッ素ベースのプラズマ組成物に対してとりわけ高い耐性を持たないこともある。他方では、イットリア層は、フッ素ベースのプラズマに対する、有益またはとりわけ有効な抵抗を提供するように保護コーティング中に含まれてもよい。したがって、アルミナの層およびイットリアの層を組み合わせて含む本明細書の多層コーティングは、様々な酸性材料に対する耐薬品性を提供し(アルミナ層の存在に基づいて)、ならびにフッ素ベースのプラズマなどのハロゲンベースのプラズマを含む、すべてのハロゲンベースのプラズマに対する耐薬品性を提供する(イットリア層の存在に基づいて)のに有益な可能性がある。
コーティングされた物品は、固体状の連続的な形態、またはパターニングされた形態で基板の表面に塗布された多層コーティングを有する基板を含むこともある。コーティングされた物品の例は、半導体材料、マイクロ電子デバイス等を調製するために使用されるプロセスチャンバーの様々な反応装置構成要素のうちのいずれかなどのアイテムを含む。酸およびプラズマなどのプロセス材料に対する耐性を示すことで、多層コーティングは、これらおよび他のプロセス材料の存在下でプロセスチャンバーの構成要素を保護するために使用できる。好ましい保護コーティングは、クラック、ひび、ピンホール、および粒子境界などの欠陥の量が少なく、プロセス材料に対する耐性を示す堆積材料の層から作成され;その結果、そのコーティングは、プロセス材料にさらされた場合、従来の保護コーティングと比較して劣化および微粒子化の量が減少する。
本明細書で使用される場合、「多層コーティング」のような「多層」という用語は、表面を、堆積材料の複数の異なる交互「層」を形成するであろう一連のガス状前駆体物質に曝露することによる一連の原子層堆積工程により、表面に塗布されるコーティングを指す。「層」は、表面にわたって完全または連続的な組成でなくてもよい。堆積が不完全な別個の層を生成する場合、多層コーティングは、複合コーティングであると考えられてもよい。異なるタイプの堆積材料(例えば、それぞれイットリアまたはアルミナ)の上およびその間に交互に配されているコーティングのそれぞれの量の堆積材料(例えば、アルミナまたはイットリア)は、完全な堆積が生じるか、または不完全な堆積が生じるかどうかに関わらず、「層」であると考えられる。「二分子層」膜は、2種の異なる堆積材料(例えば、アルミナおよびイットリアの交互層)のみから作成される。「二重層」は、2つの異なる層のみから作成される。
原子層堆積により、記載されているような多層膜の各「層」は、酸化剤(O、O、HO、NO、NO、NO、CO、CO等を含む)と組み合わせて、アルミニウム含有前駆体(AlCl、Al(CH等を含む)などのガス状前駆体に由来する堆積材料のうちの、1層または複数の単一層から形成される。各ガス状前駆体は、基板表面上へと、または予め堆積させられたALD層上へと堆積させられて、例えば「反応部位」に、前駆体の堆積させられた部分の「単一層」を表面に生成するであろう部分を含有する。本明細書によると、一連の原子層堆積工程は、異なる前駆体物質を用いて実施されて、少なくとも2層の異なるタイプの堆積材料の層(例えば、交互層)を形成する。異なる前駆体(例えば、AlClおよびHO)は、連続するALD工程において、表面に対して個々に曝露されて、単一タイプの堆積材料(例えば、Al)の層を生成する。
記載されているようなコーティングは、特定量の堆積材料が交互に繰り返す順序におけるように基板上に配される多段階プロセスに起因して、多「層」を含むと考えられ、かつそれを含むように言及される。本明細書は、ALDによる複数の堆積工程により生成されたコーティングを指すために「多層」という用語を使用するが、コーティングの異なる堆積材料の離散「層」は、公知の技術を使用することにより識別することが課題である場合がある。加えて、上で論じられているように、堆積工程は、不完全な層(例えば、堆積材料の島)を形成することがあり、複数の工程が、多層コーティングを不完全な多「層」の複合物として形成すると考えられるであろう。いくつかの多層コーティングでは、離散層が形成されることもあり、トンネル電子顕微鏡を使用して検出可能なこともある。
本明細書で使用される場合、「単一層」という用語は、堆積材料が基板もしくは従来のALD層上の反応部位を飽和させるように、基板の表面上へともしくは従来のALD層に対して堆積(例えば、添加もしくは反応)させられた前駆体の、ある量の堆積部分を指し;単一層は、表面の限定的な数の反応部位と結びついて約2、3、または5個以下の原子の厚みを有する単一層を生成することにより表面を覆う、少数の原子のみの厚み、すなわち原子または分子の単一層の厚みを有する。
さらに、本明細書で使用される場合、多層コーティングの堆積材料の「層」を説明する際の「層」という用語は、前駆体の堆積部分の1層または複数の単一層を表面に堆積させることにより得られる、連続またはパルス量の単一タイプの堆積材料、すなわち前駆体物質が表面に曝露され、表面の反応部位と反応して、多層保護コーティングの機能的層、例えばアルミナの「層」またはイットリアの「層」である所望の堆積材料を提供した結果であるものを指し;堆積材料(例えば、イットリアまたはアルミナ)の「層」は、2種以上の異なるガス状前駆体物質に由来する堆積材料の1層または複数の単一層から形成できる。
原子層堆積により塗布された好ましい多層コーティングは、相対的に高いアスペクト比、例えば、最大20:1、50:1、100:1、200:1、500:1超の、またはそれらを超えるアスペクト比を有する構造などのチャネル、溝、または開口を含む三次元形状を含む、様々な形状または形態の表面に対する良好な順応性を有する、
好ましい多層コーティングはまた、例えば物理蒸着による他の方法によりとりわけ塗布されたコーティングと比較した場合、コーティングの表面にわたって高いレベルのコーティング厚均一性を示すように原子層堆積を使用して塗布できる。好ましくは、コーティングは、組成的に、コーティングの厚みを通って垂直方向に、ならびにコーティングの全長/幅にわたって均一である。
好ましいコーティングはまた、クラック、ひび、ピンホール、または粒子境界などのコーティングの欠陥のレベルが低く、これらの欠陥のうちのいずれか1つまたは複数は、プロセスチャンバーにおける多層コーティングの使用期間の間に、より大きい欠陥になる可能性がある。ピンホール、クラック、ひび、および粒子境界などの欠陥は、光学顕微鏡もしくは走査型電子顕微鏡による拡大を任意選択的に使用することによる目視検査、または他の適切な調査方法により識別できる。本明細書の特定の好ましい多層コーティングは、記載されているようなプロセスチャンバーの構成要素の保護コーティングなどの同等の目的に有益な保護コーティングとして、他の方法、例えば物理蒸着により塗布された従来の保護コーティングと比較して、ピンホールが実質的に少ないこともある(例えば、ピンホールの量が50、70、80、または90パーセント減少)。好ましい保護コーティングの例は、ピンホールが実質的に無く、粒子境界が実質的に無いこともあり、このことは、例えば、それぞれコーティングが平均して1平方センチメートル当たり1つ未満のピンホールを有すること、およびコーティングが平均して1平方センチメートル当たり1つ未満の粒子境界を有することを意味する。
本明細書の好ましい多層コーティングは、とりわけプロセスチャンバー中で使用されるプロセス材料に対する長期間の曝露の間に、それに限定されるものではないが、酸およびプラズマを含むプロセスチャンバー中で使用されるプロセス材料に対する有利なレベルの耐性を示す。プロセス材料に対する高いレベルの耐性は、異なる層(例えば、イットリア、アルミナ)を調製するために使用される堆積材料のタイプ(化学的性質);長距離秩序が低いまたは無い(非晶質)膜;ピンホール、クラック、ひび、および粒子境界などの欠陥のレベルが低いことを意味する高品質の膜;ならびに多孔性が低くおよび密度が高いコーティングを含む因子に少なくとも部分的に起因することもある。
「耐性のある」コーティングは、プロセスチャンバーの使用、とりわけ週または月の期間にわたる長期使用の間に、プロセスチャンバー中で酸またはガスプラズマなどのプロセス材料に曝露された際に、従来使用されてきた他の保護コーティングと比較して、例えば、物理蒸着(PVD)により塗布されたイットリアまたはアルミナのコーティングなどの、半導体またはマイクロ電子デバイスの基板を加工するためにプロセスチャンバー中で使用される従来のコーティングと比較して、一貫する量または低い量を好ましくは含む、商業的に有益で低い量の劣化を受けるコーティングである。本明細書の好ましいコーティングは、プロセスチャンバー中の保護コーティングとして、有利には長く有益な耐用期間、最も好ましくは、そのような従来の保護コーティングよりも大幅に超える有益な耐用期間を有することもある。保護コーティングが劣化している、または劣化していないかは、クラック、ひび、もしくは他の欠陥の領域が調査される光学もしくは走査型電子顕微鏡などの視覚的手段を含む、保護コーティングの技術分野で一般的に使用される様々な技術のうちのいずれかを使用すること、または接着性が高いほど劣化が少ないというその基板に対する膜の接着性の強度を評価することにより決定されてもよい。
さらに好ましくは、本明細書の多層膜は、物理蒸着(PVD)により塗布されたイットリアまたはアルミナの保護コーティングなど同等に使用する(例えば、プロセスチャンバーの構成要素)ための従来の保護コーティングに対して相対的に高い密度および低い多孔性を有することができる。例えば、記載されているようなイットリアおよびアルミナ層を含むALDコーティングの密度は、同等の組成であるが、PVDにより塗布されたコーティングよりも少なくとも10または20パーセント高くてもよい。記載されているような、イットリアおよびアルミナ層を含むALDコーティングの多孔性は、同等の組成であるがPVDにより塗布されたコーティング未満であってもよい。ALDにより塗布されたアルミナ層は、1立方センチメートル当たり3.0~4.0gの範囲の密度を有してもよく、多孔性は0.5パーセント未満であってもよい。
原子層堆積により基板表面上に堆積させられた特定の現在好ましい多層コーティングは、以下の2種の異なる堆積材料のみの交互層を含む二分子層コーティングであってもよい:アルミナ(Al)などの実質的に非晶質の酸化物である第1の堆積材料;および、イットリア(Y)の層である第2の堆積材料。特に好ましいコーティングは、これらの2層の堆積材料の層を含むことができ、および他のあらゆる材料から作成された層を実質的に含まず、例えば、他の材料の層は、コーティングの層の総数に基づいて、1、0.5、または0.1パーセント未満である。例えば、好ましい多層コーティングは、合計2~10,000層の、イットリアおよびアルミナの交互層を含むことができる。
記載されているような多層コーティングは、材料の薄層(例えば、薄膜)を表面上にコーティングとして堆積させるための技術である原子層堆積(ALD)により塗布される。この技術は、一連の気相堆積工程として実施される。各堆積工程は、ガス状反応物、またはそれらの一部もしくは誘導体を、表面に存在する材料上へと堆積させるまたはそれと反応させる様式で表面に提供される、典型的には前駆体と呼ばれる1つのガス状化学反応物を伴う。前駆体の少なくとも一部(すなわち、堆積部分)は、表面の材料と反応し、表面に存在するその数は限定的である。ある量の前駆体が、ガス状前駆体を、すべての反応部位と反応させるために表面に供給され、この時点で、堆積材料が単一層を形成していると言われる。
1種または複数のガス状前駆体は、前駆体の堆積部分として、反応部位において前駆体を表面と反応させる、そうでなければその上へと堆積させるために、表面に、別個におよび連続して提供される。堆積のプロセスは、表面の反応部位と反応できる前駆体の量に関して、および単一層の厚みに関しても自己限定的であり、このことが、堆積している原子または分子のサイズおよび反応部位の数を含む、前駆体に塗布される表面の性質を含む因子の機能である。別個の堆積工程で異なる前駆体に対して繰り返される継続的な曝露を通して、異なる堆積材料の多層から作成される薄膜コーティング(複数の堆積工程および複数の堆積された単一層により任意選択的に形成されている各層)は、表面へと成長でき、各層は、1種または複数の特別な前駆体を選択的に堆積させることにより形成される堆積材料である。比較のために、表面上へと材料の薄膜またはコーティングを堆積させるための他の公知の技術は、化学蒸着(CVD)および物理蒸着(PVD)を含み、それらは、材料を連続的で定常的な様式の薄膜コーティングとして表面上へと堆積させ、その間、堆積材料が、堆積プロセスの範囲内で継続的に厚みが増大する膜を形成するプロセスである。
原子層堆積技術により、気相前駆体は、前駆体が堆積している基板の表面の反応部位で反応する。反応は、前駆体の気相原子または分子が、表面上の有限数の反応部位のみとしか反応できないため、表面に反応部位が存在することにより限定される。一旦それらの部位のすべてが消費されると、「単一層」が形成され、単一層の厚みの成長を含む単一層の堆積および成長が終わる。表面上に堆積された堆積材料の量(前駆体の堆積部分)は、単一層と呼ばれ、これは、最大2、3~数オングストロームの厚みの特有の厚みを有し、ALDにより塗布されていることに基づいて、単一層の領域にわたって極めて均一な厚みを有する(連続層が形成されると仮定している)。
原子層堆積により調製され、本明細書の基板に塗布された多層保護コーティングにより達成できる利点は、基板に対するコーティングの優れた調和;コーティングの厚みの正確な制御;コーティングの領域にわたるコーティングの厚みの高い均一性;2種以上の異なる堆積材料を、コーティングの異なる層として塗布する能力;コーティングの垂直方向全体にわたる組成の均一性;ピンホール、クラック、ひび、および粒子境界などの欠陥のレベルが極めて低いコーティングの調製;高い密度および低い多孔性を有するコーティングを調製する能力;ならびに、高いアスペクト比を有するような構造を含む、非平面の、高度に形成された、角度のある構造、または開口、チャネル、溝などを含む構造等を含む基板上にこれらの物理的性質を有するコーティングを配する能力を含む。
原子層堆積の第1の例となる工程では、第1のガス状前駆体物質(例えば、AlCl)は、反応チャンバー(別名、「反応装置」)中の基板の表面に提供される。第1のガス状前駆体の原子または分子は、すべての部位が消費されるまで表面上の部位で反応し、その時点で、前駆体の堆積部分の単一層が表面上で形成され、単一層の成長が終了する。単一層の厚みは、それに応じて制御され、前駆体の原子または分子が利用可能な反応部位の数、ならびに前駆体の原子または分子の堆積部分のサイズにより決定される。後続の工程では、第2の前駆体(例えば、水などの酸化剤)が、反応チャンバーへと導入されて、予め堆積された単一層と反応して、予め堆積された単一層の表面に新しい単一層を形成してもよい。1つまたは複数のこれらのタイプの堆積工程の結果として、堆積材料(例えば、Al)が、表面上で形成される。堆積材料を生成する表面における一連の反応を引き起こす、一連の単一層を堆積させるための複数の順序のこれらの工程は、堆積材料の層の厚みを増大させることができる。
要望どおり、2層の単一層の堆積間、または2種の異なる堆積材料の層を形成するための異なるタイプの前駆体を堆積させる工程間で、反応チャンバーのガス雰囲気は、例えば不活性ガスを使用して、残存する前駆体原子または分子を除去するために洗浄(または「パージ」)されてもよい。
原子層堆積により調製された多層保護コーティングの成長(すなわち、厚みの増加)は、単一層ごとの順序、および層ごとの順序で進行する。堆積材料(例えば、アルミナまたはイットリア)の各層は、前駆体物質間の化学反応を任意選択的に含む、1層または複数の単一層を、層の堆積材料を形成する様式で表面に配することにより形成される。各単原子または単分子層(単一層)を生成するために、前駆体の添加は、基板表面の反応部位の飽和を達成するために、基板表面に均一に送達される必要がある。飽和は、すべての利用可能な表面結合部位が、前駆体と表面結合部位との間の反応から生じる新しい化学種で満たされる場合に生じる。生じた表面は、使用されている前駆体のための新しい結合部位を作り出さないが、代わりに、次の前駆体のための結合部位が形成される。飽和後、表面は、異なる前駆体に曝露されてもよく(堆積材料の所望の層を形成し続けるために)、または新しい結合部位を再び作り出すように処理されてもよい。要望通り、プロセスチャンバーは、残留する前駆体を除去するために洗浄することができる。第1のタイプの堆積材料(例えば、アルミナ)を形成するのに有益な第1の一連または一続きの前駆体物質を、第2のタイプの堆積材料(例えば、イットリア)を形成するのに有益な第2の一連または一続きの前駆体物質(第1のセットまたは一連のものとは異なる)と共に基板へ提供することを交互に繰り返すことにより、サイクル間に任意選択な洗浄工程を伴う、交互に繰り返すサイクルで、2種の異なる堆積材料の交互層を含む薄い多層コーティングを生成でき、各層は、所望のまたは制御された厚みである。
原子層堆積に使用するための前駆体は、所望の材料(堆積材料)またはその反応物の、表面上への有益で効率的な沈着を提供して、堆積材料の層を形成するように選択できる。一般的に、前駆体の原子または分子は、前駆体物質が塗布される基板の表面上へと化学吸着するか、予め堆積させられた単一層の堆積材料と反応するものであってもよい。前駆体は、有効に蒸気として反応チャンバーおよび基板表面に導入できるガス状形態へと揮発できる固体、液体、または気体の形態であってもよい。前駆体の蒸気圧は、ガス状前駆体が表面を飽和するための材料の十分な流れを提供する限り、過度に高い必要はない。例えば、前駆体は、それを、前駆体が分解しない温度において約1torrの蒸気圧のガス状形態で提供できる場合に有益なこともある。有益な前駆体は、自己停止表面反応を達成するために、それ自体と反応せず、表面上または気相中で分解しないほど十分に安定しているべきである。
好ましくは、記載されているような多層保護コーティングは、第1の堆積材料および第2の堆積材料を含む、それらから本質的になる、またはそれらからなる材料の原子層堆積により形成された、多層(例えば、二分子層コーティングまたは複合コーティング)薄膜であってもよい。好ましい第1の堆積材料は、実質的に非晶質の形態のアルミナ、すなわちAlyOx(1.5/3~2.5/3の範囲のy/xを有する)である。好ましい第2の堆積材料は、YyOx(1.5/3~2.5/3の範囲のy/xを有する)を意味するイットリアである。2種の異なる堆積材料の層、例えばイットリアおよび実質的に非晶質アルミナの層から「本質的になる」多層コーティングは、2種の異なる堆積材料のみの層、およびコーティングの層の総数に基づいて、1、0.5、または0.1パーセントを超えない別の物質のあらゆる層を含むコーティングを指し;そのようなコーティングは、本明細書において「二分子層」コーティングとも呼ばれる。
多層コーティングの層としてのアルミナは、酸などの特定のプロセス化学物質に対する高いレベルの耐性を提供でき、例えば、コーティングのXRDピークのFWHMが4度2シータよりも広い実質的に非晶質の形態、すなわち非結晶性形態で、原子層堆積により堆積できる。
非晶質アルミナの層の例となる厚みは、少なくとも1オングストロームであってもよく、要望通り、1オングストロームよりも厚く、例えば1~50オングストロームの範囲の厚みを有するように塗布されることもある。アルミナ層は、厚みの範囲で実質的に非晶質であってもよい。しかしながら、アルミナ層の厚みは、例えば、酸に対する多層保護コーティングの耐性を増大させるために、アルミナが特に耐性のある特定のプロセス材料に対する所望のレベルの耐性を有する多層コーティングを提供することなど、他の因子に基づいて選択されてもよい。100nmの厚みを調製できる。
多層保護コーティングの第2の堆積材料は、イットリアであってもよい。イットリアは、好ましくは、イットリア層および保護コーティング全体として、酸およびプラズマなどのプロセス材料に対する相対的に高いレベルの耐性、ならびに相対的に高い耐破壊性ならびに粒子境界および他の欠陥の存在の減少を提供するように基板上へと堆積できる。
好ましい多層コーティングは、イットリア層にアルミナ層の形態をとらせ、維持させるであろう(イットリア層の)厚みで、アルミナ上へと堆積するイットリアの層を含むことができる。非晶質アルミナ層は、非結晶性形態のイットリアの形成を促進するであろう、イットリア層が塗布されてもよい非晶質基部を提供する。イットリア層を非晶質アルミナ層に塗布することにより、イットリア層は、有効な厚みで塗布された場合、非結晶性になる傾向があり、これにより、耐薬品性、耐破壊性、ならびに粒子境界および他の欠陥の非存在を含む、記載されているような所望の物理的性質を示す、実質的に非結晶性の多層コーティングを生成する。したがって、多層コーティングの層の堆積材料として非晶質アルミナを使用することは、非結晶性の形態でイットリアを堆積させ、全多層コーティングの結晶度を低いレベルにすることを可能とする能力を向上させる手法として好ましいこともある。このような理由で、基板の表面に塗布される、多層コーティングの第1の層は、好ましくは、高度に非晶質である傾向が自然にある堆積材料、例えばアルミナであってもよい。
図1を参照すると、このグラフは、本明細書に記載されているような例となるアルミナ-イットリア二分子層ALDコーティングの試験からのデータを示し、例となるコーティングは、10:1~1:10の範囲のイットリア:アルミナの、異なる投入比(原子)を有する。調製された試料に関する詳細を、表1に示す。
Figure 0007382935000001
したがって、例えば、1:10の目標投入Y/Al比を有するコーティングは、イットリア生成前駆体を使用する1回のALD堆積サイクルの後、アルミナを生成する前駆体を使用する10回のALD堆積サイクルから調整された。Y/Al比は、at%のY/(at%のAl+at%のY)として定義され、したがって、酸素は、コーティング中のイットリアとアルミナとの間で等しく分配されると仮定する。測定されたY/Al比は、エネルギー分散型X線分光法(EDAX)により決定された。類似の測定は、蛍光X線(XRF)およびX線回折(XRD)を使用して実施された。1:1のY/Al試料の結果を、表2に示す。測定値間の差は、技術の限界/特徴を反映しており、当業者により予期されるであろう。
Figure 0007382935000002
したがって、1:1の目標投入Y/Al比を有する多層コーティングは、約0.35~約0.6(約1:2~約2:1)の間の範囲の測定されたY/Al比を有すると考えられるであろう。
試験を、関連する技術分野において公知であるような薄膜表面から回折されたX線を単に検出するために斜入射アタッチメントを使用するX線回折(XRD)により実施した。グラフは、2シータに対する秒当たりのカウント数を示す。試験結果は、図1のグラフで示されているように、イットリア層の厚みを増大させることにより、ピークがより鋭くなることを実証する。より鋭いピークは、より大きい結晶子サイズを有するより高いレベルの長距離秩序を示す。図1を参照すると、10:1(イットリア:アルミナ)は、それが33Åの結晶子サイズを有することを示す2.501度2θのFWHMを有する。8:1は、それが22Åの結晶子サイズを有することを示す3.793度2θのFWHMを有する。1:1、1:3、1:10のFWHMは、二分子層が非晶質であることを示す4度2θよりも広い。
驚くべきことに、1:1の投入Y/Al比(および、2:1~1:2の測定されたY/Al比)を有するイットリアおよびアルミナの多層ALDコーティングが、より高いY/Al比を有するコーティングと比較して、大幅に低減した結晶度を有することが分かってきた。非晶質多層コーティングは、様々な塗布において好ましいであろう。それに応じて、驚くべきことに、イットリア層を、例えば、コーティングのXRDピークのFWHMが2度2シータよりも広くなるように、イットリアの層が実質的に非結晶性(例えば、X線回折により測定されるような10、5、または1パーセント未満の結晶性)、もしくは極めて低いレベルの結晶度(すなわち、非晶質)であることを可能とする厚みを有するように、非晶質アルミナ層上へと堆積できることが分かってきた。相対的により薄いイットリア層は、相対的により厚いイットリア層と比較して、結晶構造を形成する傾向があり---すなわち、イットリア層の厚みが増大すると、イットリアが結晶を形成する尤度が増大する。したがって、別個のイットリア層、およびイットリア層を含む多層コーティング全体の低レベルの結晶度を維持するのに十分なほど薄いイットリア層が好まれる。記載されているような好ましい多層コーティングのイットリア層の例となる厚みは、イットリアを実質的に非晶質にさせる厚みであってもよく、特定の範囲は、例えば、6~12オングストロームの範囲、典型的には約8~10オングストロームの範囲である。
本発明の例となる保護コーティングによると、多層コーティングは、実質的に非結晶性であるか、または結晶性が極めて低い。好ましい多層コーティングは、10nm未満の結晶サイズを有することもあり、すなわち、コーティングのXRDピークのFWHMは、2度2シータよりも広い。
(非結晶性の)イットリアおよび非晶質アルミナを交互に繰り返す層から形成されたコーティングなどの、記載されているような多層コーティングの総厚は、プロセス材料に対する良好な耐性、コーティングの領域にわたるコーティングの厚みの高い均一性、少ない欠陥、高い密度、低い多孔性、低い結晶度、高い組成均一性等を含む、本明細書に記載されているような所望の特性を提供するあらゆる厚みであってもよい。プロセスチャンバーの構成要素上の保護コーティングとしての多層コーティングを使用するのに有益な厚みの例は、少なくとも10ナノメートル(すなわち、少なくとも0.01ミクロン)、例えば、少なくとも100ナノメートル(0.1ミクロン)で、2ミクロンと同等の厚み、好ましくは1.0ミクロン以下であってもよい。
多層コーティング中のイットリア(Y)およびアルミナ(Al)の相対量は、以下のうちの1つまたは複数などの、本明細書に記載されているような保護コーティングの所望の特徴を提供するように選択できる:プロセス化学物質に対する望ましい耐性;多層コーティングの所望の低いレベルの結晶度(および粒子境界);望ましく高い密度および低い多孔性;低いレベルの欠陥;および、記載されているような、多層コーティングの他の有益で好ましい特徴。実質的に非晶質のアルミナ、および実質的に非晶質もしくは非結晶性のイットリアを含む、それらからなる、またはそれらから本質的になる多層コーティング中のイットリアおよびアルミナの相対量の例は、約1:50~50:1の範囲であってもよく、Al:Yの好ましい相対量は、例えば1:15~12:1、例えば1:15~10:1の範囲である。
イットリア(Y)およびアルミナ(Al)の相対量のこれらの例と一貫して、イットリア(Y)層およびアルミナ(Al)層の相対的な厚みは、本明細書に記載されているような、例えば以下のうちの1つまたは複数の所望の特性および特性の所望のバランスを提供するように選択できる:プロセス化学物質に対する望ましい耐性、多層コーティングの所望の低いレベルの結晶度(および粒子境界);望ましく高い密度および低い多孔性、および記載されているような多層コーティングの他の有益で好ましい特徴。多くの場合、コーティングの各タイプの堆積材料のすべての層は、おおよそ同じ厚みとなるように選択され;すべてのアルミナ層がおよそ同じ厚みとなり、すべてのイットリア層がおよそ同じ厚みとなるが、イットリア層の厚みは、アルミナ層の厚みと異なってもよい。各堆積材料層の厚みは、イットリアの所望の(低い)結晶度、および特定のプロセス構成要素に対する高い耐性を提供するように選択できる。記載されているような多層コーティングは、例えば1:50~50:1のあらゆる相対的な厚みも有する、イットリア(Y)およびアルミナ(Al)層の層を含むこともある。この数値は、多層コーティングの別個の層を説明するか、または多層コーティングのすべての層を完全に組み合わせた厚みを説明するために使用されてもよい。ある特定の実施形態では、これらの2層の層(Al:Y)(別個または組み合わせられた)の相対的な厚みは、1:15~12:1、例えば1:15~10:1の範囲にあってもよい。
また、イットリア(Y)およびアルミナ(Al)のこれらの相対量、および多層コーティング中のイットリア(Y)およびアルミナ(Al)の層の相対的な厚みと一貫して、多層コーティングは、好ましくは記載されているような所望の特徴および特徴の組み合わせを含む、記載されているような多層コーティングを提供するのに有効である、相対的な原子量のイットリウムおよびアルミニウムを有することができる。例となるコーティング中では、イットリウム(イットリア層のイットリアの一部として)の、アルミニウム(アルミナ層のアルミナの一部として)(Y(原子):Al(原子))に対する相対的な原子量は、1:50~50:1、例えば1;10~10:1であってもよい。
例えば、非晶質イットリアおよび非晶質アルミナの堆積材料を交互に繰り返す層の、記載されているような有益または好ましい多層コーティングの調製に有効な原子層堆積の方法は、要望通り多層コーティングを提供するのに有効であろう様々な有益な前駆体およびプロセス条件のいずれか使用し、ならびに公知の市販されているALD装置、プロセス条件、および技術を使用することにより実施できる。例となるALDプロセスは、表面上に前駆体物質の単一層を形成するために、反応チャンバー中でガス状前駆体を基板表面上へと堆積させる別個の工程を含む、一連の工程により実施できる。第2の前駆体の第2の単一層は、例えば、堆積材料の層を形成するために、第1の単一層上へと堆積(例えば、それと反応)させてもよい。堆積材料を形成するようにこれらの2種の前駆体を塗布するサイクルは、堆積材料の層の厚みを増大させるように繰り返すことができる。
例として、アルミナの層を形成するために、AlClなどの第1の前駆体は、表面と反応するように表面に導入され、表面に結び付いたアルミニウム原子(結び付いた塩素原子を有する)を提供することができ;第2の前駆体(例えば、水、オゾン、酸素)は、塩素原子を酸素原子と置き換えるように表面に導入され、アルミナ(Al)を形成できる。アルミニウム含有前駆体の代替的な例は、トリメチルアルミニウム(TMA)である。これらの2つの堆積工程の複数のサイクルは、厚みが増大したアルミナの層を生成するように交互に繰り返す順序で実施できる。好ましくは、アルミナおよびイットリアの多層膜の初めの層として、アルミナ層は、イットリア層の前に最初に堆積でき、結果として、後に塗布されるイットリア層が結晶構造を含むように形成されることを防止するように、アルミナの非晶質の性質が作用する。加工温度は、アルミナが有効に堆積することを可能とするように選択できる。
イットリアの層を形成する工程の例として、イットリウム含有前駆体(例えば、Y(thd)、thd=2,2,6,6-テトラメチル-3,5-ヘプタンジオナート)は、表面(好ましくは、非晶質アルミナなどの予め塗布されたALD堆積非晶質材料)と反応するように表面に導入され、イットリウム原子(結び付いたthd基を有する)を表面に提供できる。第2の前駆体(例えば、水、オゾン、酸素)は、thd基を酸素原子と置き換えるように表面に導入されて、イットリア(Y)を形成できる。イットリウム含有前駆体の代替的な例は、トリス(シクロペンタジエニル)イットリウム(III(YCp)である。これらの2つの堆積工程の複数のサイクルは、厚みが増大したイットリアの層を生成するように交互に繰り返す順序で実施できる。加工温度は、イットリアが、実質的に非晶質の状態で有効に堆積することを可能にするように選択できる。
これらの別個の堆積工程、またはアルミナもしくはイットリアなどの単一の堆積材料の層を形成する一続きの工程は、残存するガス状前駆体をパージするために反応チャンバーを洗浄する工程などの、他の中間工程と組み合わせて実施できる。
記載されているような多層コーティングは、材料の層または単一層を原子層堆積により有効に塗布できるあらゆる表面または基板上に形成でき、有益なこともある。特定の実施形態では、基板は、真空安定基板材料から作成されてもよく、かつプロセスチャンバーの内部構成要素を含む、非常に反応性が高く、腐食性であり、そうでなければ積極的に劣化するか、または他の材料と反応する可能性がある1種または複数の異なるプロセス材料を使用することにより、基板、材料、またはデバイスを加工するために使用されるプロセスチャンバーの構成要素の形態であってもよい。この文脈において、記載されているような多層保護コーティングは、本明細書において「真空適合性基板」と呼ばれ、プラズマなどのプロセス材料を用いて処理することにより、マイクロ電子デバイス、半導体装置およびデバイス等を加工するために使用されるプロセスチャンバーの構成要素として機能するように形成される基板に、有利に塗布できる。
プロセスチャンバーは、例えば、マイクロ電子または半導体デバイスを製造する工程の間に、マイクロ電子デバイス基板または半導体デバイス基板の材料をエッチングするために使用される、液体、気体、またはプラズマの形態であってもよい、非常に腐食性であるかまたは反応性が高いプロセス材料を含むのに有益である。反応性が高いプロセス材料の特定の例は、とりわけ、臭素プラズマ、塩素プラズマ、およびフッ素プラズマを含む。プロセスチャンバーは、基板を、プロセスチャンバー中に存在するであろうプロセス材料により過度に劣化させることなく、プロセスチャンバーへと、その外に、およびその中で、運ぶ、保持する、固定する、支持する、または移動させるのに有益な構成要素および表面を含まなければならない。プロセスチャンバーはまた、プロセスチャンバーの内部との間で、反応性が高いプロセス材料(例えば、プラズマ)を流し、送達し、かつ除去するのに有効な構造のシステムを含まなければならない。異なるタイプのプロセスチャンバー構成要素の例は、フローヘッド(シャワーヘッド)、シールド、トレー、支持具、ノズル、バルブ、導管、基板を扱うまたは保持するためのステージ、ウェハハンドリング取付具、チャンバーライナー、セラミックウェハ担体、ウェハホルダー、サセプタ、スピンドル、チャック、リング、バッフル、および様々なタイプの留め具(スクリュー、ナット、ボルト、クランプ、リベット等)を含む。
本発明の好ましいコーティングは、プロセスチャンバー構成要素としてプロセスチャンバーにおいて有益なコーティングされた物品を調製するのに、特に有益な可能性がある。これは、あらゆる形状を有するこのタイプの物品を一般的に含むが、有利には、高いアスペクト比を有すると考えられるような特徴を含む、開口、アパーチャ、チャネル、トンネル、ネジ付きスクリュー、ネジ付きナット、多孔質膜、フィルター、三次元網目構造、穴、チャネル等を含む物理的な形状または形態を有する物品も含む。記載されているような非晶質コーティングの原子層堆積は、同等のコーティングを堆積させる従来の方法とは異なり、そのような構造上に、少なくとも20:1、50:1、100:1、200:1、あるいは500:1のアスペクト比を持つ構造を有する物品を含む、均一で品質の高いコーティングを提供するのに有効な可能性がある。
チャンバー構成要素は、好ましくは真空適合性基板であってもよい、基板と呼ばれる材料から作成できる。一般的に、例は、保護コーティングでコーティングされ、プロセスチャンバー中で使用できるセラミック材料、金属、および金属合金を含んでもよい。真空適合性基板として有益なこともあるセラミック材料の例は、アルミナ、炭化ケイ素、および窒化アルミニウムを含む。金属および金属合金の例は、ステンレス鋼およびアルミニウムを含む。真空適合性基板はまた、石英、サファイア、シリカ、溶融シリカ、溶融石英、ケイ素、陽極処理アルミニウム、酸化ジルコニウム、ならびに、例えば、ポリエーテルエーテルケトン(PEEK)およびポリイミド類のような、半導体産業で使用される特定のプラスチックなどのプラスチックであってもよい。
多くの場合、本明細書が、プロセスチャンバーおよびプロセスチャンバー構成要素(例えば、チャンバー構成要素をエッチングする)を、記載されているような保護コーティングのための有益な基板として参照する一方で、記載されているコーティングは、これらのアイテムに限定されない。非常に反応性が高い化学材料に対して高いレベルの耐性を有する保護コーティングから利益を得るであろう、様々な他のセラミック、無機物、金属、および金属合金の物品および基板はまた、本明細書に記載されているようにコーティングされもよい。
それに応じて、本発明は、記載されている多層コーティング;記載されているような多層コーティングでコーティングされた基板(例えば、プロセスチャンバー構成要素)を含む、コーティングされた物品およびデバイス;記載されているような保護用多層コーティングを有する1つまたは複数の構成要素を含む、反応チャンバーまたは他のプロセス装置(それに限定されるものではないが、半導体加工装置を含む)などの、装置およびデバイス;ならびに、反応チャンバーまたはプロセス装置を使用して、例えば、プラズマを用いて基板を処理することにより半導体デバイスまたはマイクロ電子デバイスなどの基板を加工するために、記載されているような保護用多層コーティングでコーティングされている1つまたは複数の構成要素を含む、反応チャンバーまたは他のプロセス装置を使用するプロセスおよび方法に関する。そのような加工の例は、NF、Cl、CHF、CH、SF6、およびHBrから誘導されるものなどのプラズマを使用するプラズマエッチング(例えば、ドライプラズマエッチング)を含む。

Claims (8)

  1. 原子層堆積により調製された耐薬品性多層保護コーティングであって、
    結晶化度が10%未満のイットリアおよび非晶質アルミナの交互層を含み、
    2:1から1:2の範囲の、エネルギー分散型X線分光法(EDAX)、蛍光X線(XRF)及びX線回折(XRD)により決定されるY/Al原子比を有する、コーティング。
  2. イットリアの層が、非晶質イットリアの層である、請求項1に記載のコーティング。
  3. 0.01から1.0ミクロンの厚さを有する、請求項1に記載のコーティング。
  4. イットリアおよび非晶質アルミナの複合コーティングである、請求項1に記載のコーティング。
  5. 原子層堆積により調製されたコーティングを表面上に有する基板を含むコーティングされた物品であって、コーティングが、結晶化度が10%未満のイットリアおよび非晶質アルミナの交互層を含み、2:1から1:2の範囲の、エネルギー分散型X線分光法(EDAX)、蛍光X線(XRF)及びX線回折(XRD)により決定されるY/Al原子比を有する、コーティングされた物品。
  6. 基板が、ネジ付きスクリュー、ネジ付きナット、多孔質膜、フィルター、三次元網目構造、穴、およびチャネルから選択される三次元の特徴を含む、請求項5に記載のコーティングされた物品。
  7. 反応チャンバーを含む加工装置であって、反応チャンバーが、原子層堆積により調製された保護コーティングを有する構成要素を含み、コーティングが、結晶化度が10%未満のイットリアおよび非晶質アルミナの交互層を含み、2:1から1:2の範囲の、エネルギー分散型X線分光法(EDAX)、蛍光X線(XRF)及びX線回折(XRD)により決定されるY/Al原子比を有し、
    加工装置が、マイクロ電子加工装置または半導体加工装置である、加工装置。
  8. 反応チャンバーが、エッチングチャンバーまたは堆積チャンバーである、請求項に記載の加工装置。
JP2020533690A 2017-12-18 2018-12-18 原子層堆積により塗布された耐薬品性多層コーティング Active JP7382935B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022129540A JP2022180352A (ja) 2017-12-18 2022-08-16 原子層堆積により塗布された耐薬品性多層コーティング

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762599865P 2017-12-18 2017-12-18
US62/599,865 2017-12-18
PCT/US2018/066216 WO2019126155A1 (en) 2017-12-18 2018-12-18 Chemical resistant multi-layer coatings applied by atomic layer deposition

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022129540A Division JP2022180352A (ja) 2017-12-18 2022-08-16 原子層堆積により塗布された耐薬品性多層コーティング

Publications (2)

Publication Number Publication Date
JP2021507112A JP2021507112A (ja) 2021-02-22
JP7382935B2 true JP7382935B2 (ja) 2023-11-17

Family

ID=66814261

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020533690A Active JP7382935B2 (ja) 2017-12-18 2018-12-18 原子層堆積により塗布された耐薬品性多層コーティング
JP2022129540A Pending JP2022180352A (ja) 2017-12-18 2022-08-16 原子層堆積により塗布された耐薬品性多層コーティング

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2022129540A Pending JP2022180352A (ja) 2017-12-18 2022-08-16 原子層堆積により塗布された耐薬品性多層コーティング

Country Status (7)

Country Link
US (2) US11390943B2 (ja)
EP (1) EP3728692A4 (ja)
JP (2) JP7382935B2 (ja)
KR (2) KR20230023820A (ja)
CN (1) CN111566255A (ja)
TW (3) TWI777911B (ja)
WO (1) WO2019126155A1 (ja)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11639547B2 (en) * 2018-05-03 2023-05-02 Applied Materials, Inc. Halogen resistant coatings and methods of making and using thereof
JP7366234B2 (ja) * 2019-08-09 2023-10-20 アプライド マテリアルズ インコーポレイテッド 処理チャンバ部品のための保護用多層コーティング
EP4073831A4 (en) * 2019-12-09 2024-01-10 Entegris, Inc. DIFFUSION BARRIERS MADE OF MULTIPLE BARRIER MATERIALS, AND ASSOCIATED ARTICLES AND METHODS
WO2022108888A1 (en) * 2020-11-18 2022-05-27 Entegris, Inc. Articles coated with crack-resistant fluoro-annealed films and methods of making
FI130562B (en) * 2021-05-21 2023-11-21 Picosun Oy Plasma resistant coating, related manufacturing process and uses
CN116417322A (zh) * 2021-12-31 2023-07-11 中微半导体设备(上海)股份有限公司 一种复合涂层结构及其制备方法
KR20230170465A (ko) 2022-06-10 2023-12-19 태영에스티 주식회사 내식성 코팅제품 및 그 코팅제품의 제작방법
KR20230170463A (ko) 2022-06-10 2023-12-19 태영에스티 주식회사 내식성 코팅제품 및 그 코팅제품의 제작방법
CN117265480B (zh) * 2023-10-31 2024-05-10 华南理工大学 一种低粗糙度氧化钇涂层的制备方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016131024A1 (en) 2015-02-13 2016-08-18 Entegris, Inc. Coatings for enhancement of properties and performance of substrate articles and apparatus
JP2017199907A (ja) 2016-04-27 2017-11-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体処理チャンバコンポーネント用の保護コーティングの原子層堆積

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4552851A (en) * 1984-05-02 1985-11-12 Gte Products Corporation Formation of yttrium aluminate as sintering aid for silicon nitride bodies
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
US7671001B2 (en) 2003-10-29 2010-03-02 Mallinckrodt Baker, Inc. Alkaline, post plasma etch/ash residue removers and photoresist stripping compositions containing metal-halide corrosion inhibitors
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US7935387B2 (en) * 2004-10-20 2011-05-03 Ues, Inc. Methods for fabricating YAG barrier coatings
CN101010448B (zh) * 2005-06-23 2010-09-29 东京毅力科创株式会社 半导体处理装置用的构成部件及其制造方法
TW200840880A (en) 2007-04-13 2008-10-16 Hsin-Chih Lin Method of forming protection layer on contour of workpiece
US20090133716A1 (en) 2007-10-29 2009-05-28 Wai Mun Lee Methods of post chemical mechanical polishing and wafer cleaning using amidoxime compositions
US20090120457A1 (en) 2007-11-09 2009-05-14 Surface Chemistry Discoveries, Inc. Compositions and method for removing coatings and preparation of surfaces for use in metal finishing, and manufacturing of electronic and microelectronic devices
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
FI20095947A0 (fi) 2009-09-14 2009-09-14 Beneq Oy Monikerrospinnoite, menetelmä monikerrospinnoitteen valmistamiseksi, ja sen käyttötapoja
TWI548738B (zh) 2010-07-16 2016-09-11 安堤格里斯公司 用於移除蝕刻後殘餘物之水性清潔劑
CN105683336A (zh) 2013-06-06 2016-06-15 高级技术材料公司 用于选择性蚀刻氮化钛的组合物和方法
US10767259B2 (en) 2013-07-19 2020-09-08 Agilent Technologies, Inc. Components with an atomic layer deposition coating and methods of producing the same
US20150104952A1 (en) 2013-10-11 2015-04-16 Ekc Technology, Inc. Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper
KR102369706B1 (ko) * 2014-02-07 2022-03-04 엔테그리스, 아이엔씨. 정전 척 및 이의 제조 방법
KR101626045B1 (ko) 2014-07-29 2016-06-01 경희대학교 산학협력단 눈물 방울을 이용한 바이러스 감염진단 방법 및 기기
US20160379806A1 (en) * 2015-06-25 2016-12-29 Lam Research Corporation Use of plasma-resistant atomic layer deposition coatings to extend the lifetime of polymer components in etch chambers
JP6638057B2 (ja) * 2015-07-10 2020-01-29 インテグリス・インコーポレーテッド ガラス成形モールドのためのコーティング及びそれを含むモールド

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016131024A1 (en) 2015-02-13 2016-08-18 Entegris, Inc. Coatings for enhancement of properties and performance of substrate articles and apparatus
JP2017199907A (ja) 2016-04-27 2017-11-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体処理チャンバコンポーネント用の保護コーティングの原子層堆積

Also Published As

Publication number Publication date
EP3728692A4 (en) 2021-09-15
TWI773465B (zh) 2022-08-01
WO2019126155A1 (en) 2019-06-27
US20190185997A1 (en) 2019-06-20
JP2022180352A (ja) 2022-12-06
US11713504B2 (en) 2023-08-01
TW201930634A (zh) 2019-08-01
KR20230023820A (ko) 2023-02-17
TW202233879A (zh) 2022-09-01
CN111566255A (zh) 2020-08-21
KR20200089765A (ko) 2020-07-27
US20220316056A1 (en) 2022-10-06
US11390943B2 (en) 2022-07-19
JP2021507112A (ja) 2021-02-22
TWI777911B (zh) 2022-09-11
TW202210652A (zh) 2022-03-16
TWI748145B (zh) 2021-12-01
EP3728692A1 (en) 2020-10-28

Similar Documents

Publication Publication Date Title
JP7382935B2 (ja) 原子層堆積により塗布された耐薬品性多層コーティング
JP6956212B2 (ja) 半導体処理チャンバコンポーネント用の保護コーティングの原子層堆積
JP6987646B2 (ja) 原子層堆積による多層耐プラズマ性コーティング
KR102195757B1 (ko) 에르븀계 플라즈마 내성 세라믹 코팅의 비-가시선 증착
JP2023011660A (ja) 基材物品および装置の特性および性能を増強するためのコーティング
TWI687508B (zh) 抗濕塗層
CN110998792A (zh) 用于高温加热器的原子层沉积涂层
JP2022505703A (ja) 耐プラズマ多層コーティングおよびそれを調製する方法
US20220098735A1 (en) Mixed substantially homogenous coatings deposited by ald
CN113913783A (zh) 含有氟化氧化钇及金属氧化物的涂层以及制备及使用所述涂层的方法
CN115485411A (zh) 氟化钇膜和制备和使用氟化钇膜的方法
US20230044068A1 (en) Plasma Resistant YxHfyOz Homogeneous Films and Methods of Film Production
WO2023039425A1 (en) Methods of forming a plasma resistant coating of y-o-f and substrates having such coating
US20210175325A1 (en) Diffusion barriers made from multiple barrier materials, and related articles and methods
JP2024522081A (ja) 耐プラズマ性被膜、関連する製造方法及び使用

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200825

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200825

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210824

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210921

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211217

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20220419

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20220816

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20221101

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20230322

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20230411

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230817

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231107

R150 Certificate of patent or registration of utility model

Ref document number: 7382935

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150