KR20230023820A - 원자 층 증착에 의해 도포되는 내화학약품성 다층 코팅 - Google Patents

원자 층 증착에 의해 도포되는 내화학약품성 다층 코팅 Download PDF

Info

Publication number
KR20230023820A
KR20230023820A KR1020237003748A KR20237003748A KR20230023820A KR 20230023820 A KR20230023820 A KR 20230023820A KR 1020237003748 A KR1020237003748 A KR 1020237003748A KR 20237003748 A KR20237003748 A KR 20237003748A KR 20230023820 A KR20230023820 A KR 20230023820A
Authority
KR
South Korea
Prior art keywords
coating
yttria
layer
alumina
coatings
Prior art date
Application number
KR1020237003748A
Other languages
English (en)
Inventor
아이-콴 린
찬드라세카란 벤카트라만
카를로 월드프라이드
Original Assignee
엔테그리스, 아이엔씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엔테그리스, 아이엔씨. filed Critical 엔테그리스, 아이엔씨.
Publication of KR20230023820A publication Critical patent/KR20230023820A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

다층 코팅, 다층 코팅으로 코팅된 기판(즉, 물품), 및 원자 층 증착에 의해 다층 코팅을 제조하는 방법이 기재되어 있고, 여기서 코팅은 층 알루미나 및 이트리아를 포함한다.

Description

원자 층 증착에 의해 도포되는 내화학약품성 다층 코팅{CHEMICAL RESISTANT MULTI-LAYER COATINGS APPLIED BY ATOMIC LAYER DEPOSITION}
관련 출원에 대한 상호 참조
본 출원은 2017년 12월 18일자로 출원된 미국 가출원 일련 번호 62/599,865의 35 USC 119 하의 이익을 주장하며, 그 개시내용은 모든 목적을 위해 그 전문이 본 명세서에 참조로 포함된다.
발명의 분야
본 설명은 원자 층 증착(ALD) 방법에 의해 도포되는 다층 코팅, 표면 상에 다층 코팅을 갖는 기판, 및 원자 층 증착에 의해 다층 코팅을 제조하는 방법에 관한 것이며, 여기서 코팅은 알루미나 및 이트리아를 포함하는 상이한 증착된 물질의 적어도 2개 층을 포함한다.
반도체 및 마이크로전자 장치 공정은 플라즈마와 같이 반응성이 높은 공정 물질을 포함하는 단계를 필요로 한다. 이러한 반응성 공정 물질을 포함하는 공정, 예를 들어 플라즈마 에칭 단계, 플라즈마 증착 단계, 및 플라즈마 세정 단계는 워크피스 및 반응성 공정 물질을 포함하는 공정 챔버의 내부에서 수행된다. 공정 챔버는 또한 챔버 벽, 유동 도관(예를 들어, 유동 라인, 샤워 헤드 등), 패스너, 트레이, 지지체, 및 워크피스를 지지하기 위해서 사용되거나 또는 공정 챔버에 대해서 반응성 공정 물질을 전달 또는 포함하기 위해서 사용되는 다른 구조와 같은 부품(일명, "반응기 부품")를 포함한다. 공정 챔버의 일부로서 사용하기 위해, 반응기 부품은 공정 챔버 내에서 사용될 반응성 공정 물질에 대해 내성을 가져야 한다. 반응기 부품은 공정 물질에 의해, 특히 수행중인 공정에 진입할 수 있거나 공정 중인 워크피스를 잠재적으로 오염시킬 수 있는 파편, 미립자, 또는 미량 금속 오염물을 생성하는 방식으로, 열화되거나 손상되지 않아야 한다.
반도체 및 마이크로전자 장치를 제조하기 위한 공정에서 사용되는 반응기 부품은 종종 스테인리스 스틸 또는 양극처리된 알루미늄 또는 광물 또는 세라믹 물질 등과 같은 금속 또는 비금속 물질인 베이스 또는 기판으로 제조된다. 반응기 부품 기판은 기판 물질에 비해서 반응성 공정 물질들에 대한 증가된 내성을 갖는 박막 코팅으로 일반적으로 코팅된다. 과거에, 이러한 보호 박막 코팅은 통상적으로 다양한 유용한 방법에 의해, 통상적으로 양극처리(예를 들어 양극처리된 알루미늄을 생성하기 위해), 분무 코팅, 또는 물리 기상 증착(PVD) 공정에 의해 기판 상에 배치된다.
요약
본 설명에 따르면, 코팅은 원자 층 증착에 의해 기판 표면에 도포되는 다층 보호 코팅이고, 비정질 알루미나(AlyOx) 층, 및 이트리아(YyOx) 층을 포함하는 적어도 2개의 상이한 증착된 물질 층을 포함한다. 본 발명의 코팅은 그의 물리적 구조, 보호 코팅으로서의 성능, 또는 둘 다에 있어서 이전의 보호 코팅, 예컨대 비-ALD 방법, 예를 들어, PVD에 의해 도포된 코팅에 비해, 또는 ALD 방법에 의해 제조될 수 있지만 본 발명의 예시적인 다층 코팅의 유리한 특성을 초래하는 방식으로 제조되거나 구조화되지 않은 유사한 코팅(예를 들어, 이트리아, 알루미나, 또는 이트리아 및 알루미나의 혼합물 함유)과 비교해서 유용하고 잠재적으로 유리할 수 있다.
따라서, 원자 층 증착 방법은 기판 상에 다층 코팅을 도포하는데 사용될 수 있고, 다층 코팅은 유용하거나 유리한 수준의 내화학약품성, 및 유용하거나 유리한 수준의 내파괴성 중 하나 이상을 포함하는 특히 유용한 물리적 특성을 갖는다. 예를 들어, 이트리아 층 및 알루미나 층을 포함하고, 이트리아 층이 선택된 두께 또는 입력 비율로 제공되는 다층 코팅은 보호 코팅으로서 사용하기에 매우 효과적일 수 있고, 본 명세서에 기재된 유용하거나 개선된 물리적 특성 또는 성능 중 하나 이상을 나타낼 수 있다. 또한, 원자 층 증착에 의해 도포된, 기재된 바와 같은 코팅은 또한, 표면적에 걸친 매우 균일한 코팅과 같은 유리한 코팅 특성과, 핀홀, 크랙, 균열(fissure), 및 입계와 같은 결함의 감소된 수준을 가질 수 있고, 3차원 및 임의로 높은 종횡비를 갖는 표면 상에서 이들 유용한 또는 바람직한 특성으로 도포될 수 있다. 기판 상에 보호 코팅(예컨대, 본 명세서에 기재된 바와 같은 공정 챔버에서 사용되는 보호 코팅)을 형성하기 위한 특정 다른 이전의 방법과는 달리, 예를 들어, 양극처리, 분무 코팅, 및 물리 기상 증착은 반도체 및 마이크로전자 장치 제조 산업에서(다른 응용들 중에서) 유용할 수 있는 장비의 부품을 코팅하기 위한 원자 층 증착의 사용에 의해 달성될 수 있다.
박막 코팅의 다양한 다른 제조 방법과 비교하여, 본 개시내용은 ALD 기술에 의해 제조된 다층 코팅에서 어떤 특정한 이점을 달성할 수 있음을 개시하였다. 예를 들어, 바람직한 두께 또는 입력 비율의 이트리아 층을 포함하도록 다층 코팅을 제조함으로써 달성된 기재된 다층 코팅의 유리한 특성은 다음 중 하나 이상을 포함할 수 있다; 10 nm 미만의 결정자 크기(실질적으로 비정질)를 갖는 나노-결정질 구조; 코팅의 X-선 회절(XRD) 피크의 반-최대에서의 바람직한 전체 폭(FWHM)은 2.5 도 2-세타 초과; (이전 보호 코팅에 비교하여) 코팅의 높은 밀도; (이전 보호 코팅에 비교하여) 낮은 다공도; 및 핀홀, 크랙, 균열, 또는 입계와 같은 결함의 감소된 수준. 또한, 원자 층 증착에 의해 형성된 코팅은 다음과 같은 이점을 얻을 수 있다: 기판(예를 들어, 3차원 기판)에 대한 ALD 코팅의 우수한 적합성; 소규모에서의 정확한 두께 제어; 표면에 걸친 코팅의 큰 면적 균일성; 스택에서 수직으로 날카로운 계면; 높은 종횡비의 통로, 채널, 또는 애퍼처와 같은 비-평면 구조를 포함하는 표면에 효과적이고 균일한 도포; 및 다중 물질의 얇은 층의 코팅을 제조하는 능력을 갖는 다층 공정.
한 측면에서, 본 발명은 원자 층 증착에 의해 제조되는 내화학약품성 다층 보호 코팅에 관한 것으로, 코팅은 이트리아 및 비정질 알루미나의 교호 층을 포함한다. 또 다른 측면에서, 본 발명은 원자 층 증착에 의해 제조되고, 진공 안정 기판과 같은 기판에 코팅되는 이트리아 및 비정질 알루미나의 교호 층을 포함하는 내화학약품성 다층 보호 코팅을 포함하는 코팅된 물품에 관한 것으로, 예를 들어, 플라즈마를 처리하기 위해 사용되는 반응 챔버와 같은 반도체 또는 마이크로전자 장치 제조 시스템의 반응기 부품으로서 사용하기 위한 것이다.
또 다른 측면에서, 본 발명은 원자 층 증착에 의해 제조되고 기판 상에 코팅되는 이트리아 및 비정질 알루미나의 교호 층을 포함하는 내화학약품성 다층 보호 코팅을 포함하는 코팅된 물품을 사용하는 방법에 관한 것이다. 코팅된 물품은 플라즈마를 처리하기 위해 사용되는 반응 챔버와 같은 반도체 또는 마이크로전자 장치 제조 시스템의 반응기 부품으로서 사용될 수 있다.
도 1은 본 설명의 다층 코팅의 x-선 회절 데이터를 나타내는 그래프이다.
이하는 원자 층 증착에 의해 기판 표면에 도포된 얇은 다층 막 형태의 보호 코팅; 원자 층 증착에 의해 박막 코팅을 도포하는 방법; 표면 또는 표면들에 도포된 박막 코팅을 갖는 기판을 포함하는 코팅된 물품; 및 공정 챔버(일명, "반응기" 또는 "반응 챔버")의 부품과 같은 공정 장비에서 코팅된 물품을 사용하는 방법을 기재한다.
원자 층 증착에 의해 도포된 본 명세서에 기재된 바와 같은 보호 코팅은 (예를 들어) 이트리아 층 및 알루미나 층을 포함하는 2개의 상이한 증착된 물질 층을 포함하도록 제조된 다층 코팅일 수 있다. 코팅은 공정 물질에 대해서 특히, 공지된 또는 미래에 개발된 공정을 위한 공정 챔버의 사용 중에 공정 챔버 내에 존재할 산 및 플라즈마(그러나, 이에 제한되지는 않음)에 대해서 내성을 갖고, 특정 예시적인 공정이 본 명세서에서 설명된다. 현재 기재된 다층 코팅에 의해 달성되는 내화학약품성의 수준은 이전의 보호 코팅에 의해 달성되는 내성의 수준에 비해 매우 유용하거나 비교적 개선될 수 있다. 내화학약품성의 유형 및 상이한 화학 물질에 대한 내성의 수준은 다층 코팅의 물질의 유형 및 다층 코팅의 물리적 특성, 예컨대 코팅의 밀도; 코팅의 결정도 및 결정 구조, 코팅의 다공도; 다층 코팅의 결함의 감소된 존재; 및 다층 코팅의 상이한 층의 특성(예를 들어 두께), 특히 이트리아 층의 두께의 결과일 수 있다.
예로서, 다층 코팅의 유용한 내화학약품성은 코팅의 알루미나 및 이트리아 층의 조합된 효과, 특히 기재된 바와 같은 바람직한 두께 또는 입력 비율의 이트리아 층에 의해 달성될 수 있다. 알루미나는 염소 및 브로민계 플라즈마 노출에 효과적이거나 매우 효과적인 내성을 제공하며, 예컨대 염산에의 노출에 의해 입증될 수 있다. 인산을 포함한 다른 산에 대한 내성이 또한 예상될 수 있다. 본 기재의 다층 코팅의 알루미나 층은 이러한 할로겐에 유용하거나 매우 효과적인 수준의 내성을 제공하지만, 알루미나는 플루오린계 플라즈마 조성물에 대해 특히 높은 내성을 갖지 않을 수 있다. 한편, 이트리아 층은 플루오린계 플라즈마에 대해 유용한 또는 특히 효과적인 내성을 제공하기 위해 보호 코팅에 포함될 수 있다. 따라서, 알루미나 층 및 이트리아 층을 조합하여 포함하는 본 기재의 다층 코팅은 (알루미나 층의 존재에 기초하여) 다양한 산 물질에 대해, 뿐만 아니라, (이트리아 층의 존재에 기초하여) 플루오린계 플라즈마와 같은 할로겐계 플라즈마를 포함하는 모든 할로겐계 플라즈마에 대해 내화학약품성을 제공하는 데 유용할 수 있다.
코팅된 물품은 고체 연속 형태 또는 패턴화된 형태로 기판의 표면에 도포된 다층 코팅을 갖는 기판을 포함할 수 있다. 코팅된 물품의 예는 반도체 물질, 마이크로전자 장치 등을 제조하기 위해 사용되는 공정 챔버의 다양한 반응기 부품 중 임의의 것과 같은 품목을 포함한다. 산 및 플라즈마와 같은 공정 물질에 대해 내성을 갖는 경우, 다층 코팅은 이들 및 다른 공정 물질의 존재 하에 공정 챔버의 부품을 보호하는데 사용될 수 있다. 바람직한 보호 코팅은 적은 양의 크랙, 균열, 핀홀, 및 입계와 같은 결함을 갖고, 공정 물질에 대해 내성을 갖는 증착된 물질 층으로 만들어지고; 그 결과, 코팅은 이전의 보호 코팅에 비해 공정 물질에 노출될 때 감소된 양의 열화 및 입자화를 겪는다.
본 명세서에서 사용된 바와 같이 "다층 코팅"에서와 같은 용어 "다층"은 증착된 물질의 다중의 상이한 교호 "층"을 형성할 기상 전구체 물질의 순서에 표면을 노출시킴으로써, 일련의 원자 층 증착 단계에 의해 표면에 도포되는 코팅을 지칭한다. "층"은 표면을 걸쳐 완전하거나 연속적인 조성물이 아닐 수 있다. 증착이 불완전한 개별 층을 생성하는 경우, 다층 코팅은 복합 코팅인 것으로 간주될 수 있다. 상이한 유형의 증착된 물질(예를 들어, 이트리아 또는 알루미나 각각) 상에 및 사이에 교호하여 배치된 코팅의 증착된 물질(예를 들어, 알루미나 또는 이트리아)의 각각의 양은 완전한 또는 불완전한 증착이 발생하는지 여부에 관계없이 "층"인 것으로 간주된다. "이중 층" 막은 단지 2개의 상이한 증착된 물질, 예를 들어, 알루미나 및 이트리아의 교호 층으로 만들어진다. "듀얼 층"은 단지 2개의 상이한 층으로 만들어진다.
원자 층 증착에 의해, 설명된 바와 같은 다층 막의 각각의 "층"은 산화제(O2, O3, H2O, NO, NO2, N2O, CO, CO2 등을 포함하는)와 조합된, 알루미늄-함유 전구체(AlCl3, Al(CH3)3 등을 포함하는)와 같은 기상 전구체로부터 유도된 증착된 물질의 하나 이상의 단층으로부터 형성된다. 각각의 기상 전구체는 기판 표면 상에 또는 이전에 증착된 ALD 층 상에 "반응 부위"에서 증착되어 표면에 전구체의 증착된 부분의 "단층"을 생성할 부분을 포함한다. 본 설명에 따르면, 일련의 원자 층 증착 단계가 상이한 전구체 물질로 수행되어 증착된 물질의 적어도 2개의 상이한 유형의 층(예를 들어, 교호 층)을 형성한다. 상이한 전구체(예를 들어, AlCl3 및 H2O)는 연속적인 ALD 단계에서 표면에 개별적으로 노출되어, 단일 유형 또는 층의 증착된 물질(예를 들어, AlyOx)을 생성할 수 있다.
기재된 바와 같은 코팅은, 특정된 양의 증착된 물질이 교호하는 순서와 같이 기판 상에 배치되는 다단계 공정으로 인해 다중 "층"을 포함하는 것으로 지칭되고, 이를 포함하는 것으로 간주된다. 본 설명은, 코팅의 상이한 증착된 물질의 개별 "층"이 공지된 기술을 사용하여 식별하기에 어려울 수 있을지라도, ALD에 의한 다중 증착 단계에 의해 생성된 코팅을 지칭하기 위해 "다층"이라는 용어를 사용한다. 또한, 상기 논의된 바와 같이, 증착 단계는 불완전한 층(즉, 증착된 물질의 아일랜드)을 형성할 수 있고, 다중 단계는 불완전한 다중 "층"의 복합체로서 다층 코팅을 형성하는 것으로 간주될 것이다. 몇몇 다층 코팅에서, 개별 층이 형성될 수 있고 터널링 전자 현미경을 사용하여 검출될 수 있다.
본 명세서에서 사용되는 바와 같이, 용어 "단층"은 기판의 표면 상에 또는 이전의 ALD 층 상에 증착되어(예를 들어, 첨가되거나 반응되어) 증착된 물질이 기판 또는 이전의 ALD 층 상의 반응 부위를 포화시키도록 하는 전구체의 다량의 증착된 부분을 지칭하고; 단층은 단지 소수의 원자의 두께, 즉, 표면에서 제한된 수의 반응 부위와 회합하여 약 2, 3 또는 5 원자 이하의 두께를 갖는 단층을 생성함으로써 표면을 덮는 단일 원자 층 또는 분자 층의 두께를 갖는다.
또한, 본 명세서에서 사용되는 바와 같이, 다층 코팅의 증착된 물질의 "층"을 설명하는데 있어서의 용어 "층"은 표면에 전구체의 증착된 부분의 하나 또는 다중의 단층을 증착시키는 것에 의해 유도되는 연속적인 또는 펄스된 양의 단일 유형의 증착된 물질을 지칭하고, 즉, 이는 전구체 물질이 표면에 노출되고 표면의 반응 부위와 반응하여 다층 보호 코팅의 기능성 층인 바람직한 증착된 물질을 제공하는 것의 결과이며, 예를 들어, 알루미나 "층" 또는 이트리아 "층"; 증착된 물질 "층"(예를 들어, 이트리아 또는 알루미나)은 2개 이상의 상이한 기상 전구체 물질로부터 유도된 증착된 물질의 하나 또는 다중 단층으로 형성될 수 있다.
원자 층 증착에 의해 도포되는 바람직한 다층 코팅은 예를 들어, 20:1, 50:1, 100:1, 200:1, 500:1까지 또는 그 초과의 종횡비 또는 이를 초과하는 상대적으로 높은 종횡비를 갖는 구조와 같은 개구, 홈, 또는 채널을 포함하는 3차원 형상을 포함하는 다양한 형상 또는 형태의 표면에 우수한 순응성을 갖는다.
바람직한 다층 코팅은 또한, 특히, 물리 기상 증착과 같은 다른 방법에 의해 도포되는 코팅과 비교할 때, 코팅의 표면에 걸쳐 높은 수준의 코팅 두께 균일성을 나타내도록 원자 층 증착을 사용하여 도포될 수 있다. 바람직하게는 코팅은 코팅의 두께를 통해 수직으로 뿐만 아니라 코팅의 길이/폭에 걸쳐 조성적으로 균일하다.
바람직한 코팅은 또한 낮은 수준의 크랙, 균열, 핀홀 또는 입계와 같은 코팅 결함을 포함하고, 이들 중 임의의 하나 이상은 공정 챔버에서 다층 코팅의 사용 기간에 걸쳐 더 큰 결함이 될 수 있다. 핀홀, 크랙, 균열 및 입계와 같은 결함은 임의로 광학 현미경 또는 주사 전자 현미경에 의한 배율을 사용하여, 또는 다른 적합한 검사 방법에 의해 시각적 검사에 의해 확인될 수 있다. 본 설명의 특정 바람직한 다층 코팅은, 기재된 바와 같은 공정 챔버의 부품의 보호 코팅과 같은, 유사한 목적을 위해서 유용한 보호 코팅으로서, 물리 기상 증착에 의해서와 같은 다른 방법에 의해서 도포된 이전의 보호 코팅에 비해서 실질적으로 더 적은 핀홀(예를 들어, 핀홀의 양의 50, 70, 80, 또는 90 % 감소)을 포함할 수 있다. 바람직한 보호 코팅의 예는 실질적으로 핀홀을 포함하지 않을 수 있고, 실질적으로 입계를 포함하지 않을 수 있으며, 이는 예를 들어 평균적으로 코팅이 제곱 센티미터당 1개 미만의 핀홀을 갖는 것과, 평균적으로는 코팅이 제곱 센티미터당 1개 미만의 입계를 갖는 것을 각각 의미한다.
본 설명의 바람직한 다층 코팅은 특히 공정 챔버에서 사용되는 공정 물질에 대한 장시간 노출에 걸쳐, 공정 챔버에서 사용되는 산 및 플라즈마를 포함하지만 이에 제한되지 않는 공정 물질에 대해 유리한 수준의 내성을 나타낸다. 공정 물질에 대한 높은 수준의 내성은 적어도 부분적으로, 상이한 층(예를 들어, 이트리아, 알루미나)을 제조하는데 사용되는 증착된 물질의 유형(화학적 성질); 막의 낮은 내지 길지 않은 범위 질서(비정질); 낮은 수준의 핀홀, 크랙, 균열, 및 입계와 같은 결함을 의미하는 막의 높은 품질; 및 코팅의 낮은 다공도 및 높은 밀도를 포함하는 인자에 기인할 수 있다.
"내성" 코팅은 공정 챔버에서, 특히 수 주 또는 수 개월의 장기간에 걸쳐 사용되는 공정 챔버의 사용 동안, 공정 챔버에서, 산 또는 기체 플라즈마와 같은 공정 물질에 노출시, 바람직하게는, 예를 들어, 물리 기상 증착(PVD)에 의해 도포된 이트리아 또는 알루미나 코팅과 같은, 반도체 또는 마이크로전자 장치 기판을 처리하기 위한 공정 챔버에서 사용된 이전의 코팅에 비해, 이전에 사용된 다른 보호 코팅과 일치하거나 또는 그에 비해 감소된 양을 포함하는, 상업적으로 유용한 적은 양의 열화를 겪는 코팅이다. 본 설명의 바람직한 코팅은 유리하게는 공정 챔버에서 보호 코팅으로서 긴 유용한 수명, 가장 바람직하게는 이러한 이전 보호 코팅보다 상당히 더 큰 유용한 수명을 가질 수 있다. 보호 코팅의 열화 또는 열화의 결여는 크랙, 균열, 또는 다른 결함의 면적이 검사되는 주사 전자 또는 광학 전자 현미경과 같은 시각적 수단을 포함하는 보호 코팅 분야에서 통상적으로 사용되는 임의의 다양한 기술을 사용하여 또는 더 큰 접착이 더 적은 열화에 상응하는 그 기판에 대한 막의 접착력의 평가에 의해 밝혀질 수 있다.
또한 바람직하게는, 본 설명의 다층 막은 물리 기상 증착(PVD)에 의해 도포된 이트리아 또는 알루미나의 보호 코팅과 같은 유사한 용도(예를 들어, 공정 챔버의 부품)에 대한 이전의 보호 코팅에 비해 상대적으로 높은 밀도 및 낮은 다공도를 가질 수 있다. 예를 들어, 기재된 바와 같은 이트리아 및 알루미나 층을 포함하는 ALD 코팅의 밀도는 유사한 조성물이지만 PVD에 의해 도포되는 코팅보다 적어도 10 또는 20 % 더 클 수 있다. 기재된 바와 같은 이트리아 및 알루미나 층을 포함하는 ALD 코팅의 다공도는 유사한 조성물이지만 PVD에 의해 도포되는 코팅보다 작을 수 있다. ALD에 의해 도포되는 알루미나 층은 3.0 내지 4.0 g/㎤의 범위의 밀도를 가질 수 있고, 다공도는 0.5 % 미만일 수 있다.
원자 층 증착에 의해 기판 표면 상에 증착된 특정 현재 바람직한 다층 코팅은 알루미나(AlyOx)와 같은 실질적으로 비정질 산화물인 제1 증착된 물질; 및 이트리아(YyOx) 층인 제2 증착된 물질의 단지 2개의 상이한 증착된 물질의 교호 층을 포함하는 이중 층 코팅일 수 있다. 특히 바람직한 코팅은 이들 2개의 증착된 물질 층을 포함할 수 있고, 코팅 층의 총 수를 기준으로, 임의의 다른 물질로 제조된 층을 실질적으로 포함하지 않을 수 있고, 이는 예를 들어 1, 0.5, 또는 0.1 % 미만의 다른 물질 층이다. 예를 들어, 바람직한 다층 코팅은 총 2 내지 10,000개의 이트리아 및 알루미나 교호 층을 함유할 수 있다.
기재된 바와 같은 다층 코팅은 코팅으로서 표면 상에 얇은 층(예를 들어, 박막)의 물질을 증착시키는 기술인 원자 층 증착(ALD)에 의해 도포된다. 이 기술은 일련의 기상 증착 단계로서 수행된다. 각각의 증착 단계는 기상 반응물 또는 그의 부분 또는 유도체가 표면에 존재하는 물질 상에 증착되거나 그와 반응하게 하는 방식으로 표면에 제시되는, 통상적으로 전구체로 지칭되는 하나의 기상 화학 반응물을 포함한다. 전구체의 적어도 부분(즉, 증착된 부분)은 표면에 존재하는 수가 제한되는 반응 부위에서 표면의 물질과 반응한다. 다량의 전구체가 표면에 공급되어 기상 전구체가 모든 반응 부위와 반응할 수 있게 되고, 이 시점에서 증착된 물질은 단층을 형성했다고 불리운다.
전구체의 증착된 부분으로서 반응 부위에서 전구체가 표면과 반응하거나 그와 달리 표면에 증착되도록 하기 위해, 하나 이상의 기상 전구체가 표면에 개별적으로 및 순차적으로 제시된다. 증착의 공정은 표면에서 반응 부위와 반응할 수 있는 전구체의 양 및 또한 단층의 두께에 대해 자기-제한적이며, 이는 증착되는 원자 또는 분자의 크기 및 반응 부위의 수를 포함하는 전구체가 도포되는 표면의 성질을 포함하는 요인의 함수이다. 개별 증착 단계에서 상이한 전구체에 대한 표면의 반복적이고 연속적인 노출을 통해, 상이한 증착된 물질(각 층은 임의로 다중 증착 단계 및 다중 증착된 단층에 의해 형성됨)의 다중 층으로 만들어진 박막 코팅이 표면 상에 성장될 수 있고, 각 층은 하나 이상의 특정 전구체를 선택적으로 증착시킴으로써 형성되는 증착된 물질이다. 비교를 위해, 표면 상에 물질의 코팅 또는 박막을 증착하기 위한 다른 공지된 기술은 화학 기상 증착(CVD) 및 물리 기상 증착(PVD)을 포함하고, 이는 연속적인 정상 상태 방식으로 박막 코팅으로서 표면 상에 물질을 증착시키는 공정이고, 그 동안 증착된 물질은 증착 공정의 범위 중에 연속적으로 두께가 증가하는 막을 형성한다.
원자 층 증착 기술에 의해, 기상 전구체는 전구체가 증착되는 기판의 표면의 반응 부위에서 반응한다. 반응은 표면에서 반응 부위의 존재에 의해 제한되는데, 이는 전구체의 기상 원자 또는 분자가 표면 상의 한정된 수의 반응성 부위와만 반응할 수 있기 때문이다. 모든 이들 부위가 소모되면, "단층"이 형성되고 단층의 두께의 성장을 포함하여 단층의 성장 및 증착이 종료된다. 표면 상에 증착된, 증착된 물질의 양(전구체의 증착된 부분)은 단층으로 지칭되고, 이는 조금 내지 몇몇 옹스트롬 두께까지의 특징적 두께를 갖고, ALD에 의해 도포되는 것에 기초하며, 단층의 면적에 걸쳐 매우 균일한 두께를 갖는다(연속 층이 형성되는 것으로 가정함).
본 명세서의 기판에 도포된 원자 층 증착에 의해 제조된 다층 보호 코팅으로 달성될 수 있는 이점은 기판에 대한 코팅의 우수한 적합성; 코팅의 두께의 정확한 제어; 코팅의 면적에 걸친 코팅의 두께의 높은 균일성; 코팅의 상이한 층으로서 2개 이상의 상이한 증착된 물질을 도포하는 능력; 코팅에 걸쳐 수직으로의 조성 균일성; 매우 낮은 수준의 핀홀, 크랙, 균열, 및 입계와 같은 결함을 갖는 코팅의 제조; 높은 밀도 및 낮은 다공도를 갖는 코팅을 제조하는 능력; 및 높은 종횡비를 갖는 그러한 구조를 포함하는 개구, 채널, 트렌치 등을 포함하는 비평면의, 고도로-성형된, 각진 구조 또는 구조들을 포함하는 기판 상에 이러한 물리적 특성을 갖는 코팅을 배치하는 능력을 포함한다.
원자 층 증착의 제1 예시적인 단계에서, 제1 기상 전구체 물질(예를 들어, AlCl3)이 반응 챔버(일명, "반응기") 내의 기판의 표면에 제공된다. 제1 기상 전구체의 원자 또는 분자는 모든 부위가 소비될 때까지 표면 상의 부위에서 반응하고, 이 시점에서 전구체의 증착된 부분의 단층이 표면 상에 형성되고 단층의 성장이 종료된다. 따라서, 단층의 두께는 제어되고 전구체 원자 또는 분자들에 대해 사용 가능한 반응 부위의 수 뿐만 아니라, 전구체 원자 또는 분자들의 증착된 부분의 크기에 의해 결정된다. 후속 단계에서, 제2 전구체(예를 들어, 물과 같은 산화제)를 반응 챔버에 도입하여 이전에 증착된 단층과 반응시켜 이전에 증착된 단층의 표면에 새로운 단층을 형성할 수 있다. 이러한 유형의 증착 단계 중 하나 이상의 결과로서, 증착된 물질(예를 들어, AlyOx)이 표면 상에 형성된다. 일련의 단층을 증착하여 표면에서 증착된 물질을 생성하는 일련의 반응을 유발하는 이들 단계의 다중 순서는 증착된 물질 층의 두께를 증가시킬 수 있다.
바람직한 바와 같이, 2개의 단층의 증착 사이 또는 상이한 유형의 전구체의 증착 단계 사이에 2개의 상이한 증착된 물질 층을 형성하기 위해, 반응 챔버 내의 기체 환경을 플러싱(또는 "퍼징")하여 남아있는 전구체 원자 또는 분자를 예를 들어, 불활성 기체를 사용하여 제거할 수 있다.
원자 층 증착에 의해 제조된 다층 보호 코팅의 성장(즉, 두께 증가)은 단층-바이-단층(monolayer-by-monolayer) 순서로, 그리고 층-바이-층(layer-by-layer) 순서로 진행된다. 증착된 물질의 각각의 층(예를 들어, 알루미나 또는 이트리아)은 임의로 전구체 물질들 사이의 화학적 반응을 포함하여, 층의 증착된 물질을 형성하는 방식으로 하나 이상의 단층을 표면에 배치하여 형성된다. 각각의 단원자 또는 단분자 층(단층)을 제조하기 위해, 전구체의 투입을 기판 표면에 균일하게 전달하여 기판 표면 반응 부위의 포화를 달성할 필요가 있다. 포화는 모든 사용 가능한 표면 결합 부위가 전구체와 표면 결합 부위 사이의 반응으로부터 유래하는 새로운 화학종으로 충전될 때 발생한다. 생성된 표면은 사용되는 전구체에 대한 새로운 결합 부위를 생성하지 않고, 대신, 다음 전구체를 위한 결합 부위가 형성된다. 포화 후에, 표면은(증착된 물질의 바람직한 층을 계속 형성하기 위해) 상이한 전구체에 노출될 수 있거나, 또는 새로운 결합 부위를 재생성하도록 처리될 수 있다. 바람직한 바와 같이, 공정 챔버는 잔류 전구체를 제거하기 위해 플러싱될 수 있다. 사이클 사이에서 임의의 플러싱 단계를 갖는 교호 사이클에서, 제1 유형의 증착된 물질(예를 들어, 알루미나)을 형성하는데 유용한 전구체 물질의 제1 시리즈 또는 순서와 제2 유형의 증착된 물질(예를 들어, 이트리아)을 형성하는데 유용한 전구체 물질(제1 세트 또는 시리즈와 상이한)의 제2 시리즈 또는 순서를 교호하여 기판에 제시함으로써, 2개의 상이한 증착된 물질의 교호 층을 포함하는 얇은 다층 코팅을 제조할 수 있고, 각각의 층은 바람직한 또는 제어된 두께를 갖는다.
원자 층 증착에 사용하기 위한 전구체는 증착된 물질 층을 형성하기 위해 표면 상에 바람직한 물질(증착된 물질), 또는 그의 반응물의 유용하고 효율적인 증착을 제공하도록 선택될 수 있다. 일반적으로, 전구체 원자 또는 분자는 전구체 물질이 도포되는 기판의 표면 상에 화학흡착될 것이거나, 이전에 증착된 단층의 증착된 물질과 반응할 것이다. 전구체는 반응 챔버 및 기판 표면에 증기로서 효과적으로 도입될 수 있는 기상 형태로 휘발될 수 있는 고체, 액체, 또는 기체의 형태일 수 있다. 전구체의 증기압은 기상 전구체가 표면 포화를 위한 충분한 물질 플럭스를 제공하는 한, 과도하게 높을 필요는 없다. 예를 들어, 전구체는 전구체가 분해되지 않는 온도에서 약 1 torr의 증기압에서 기상 형태로 제공될 수 있다면 유용할 수 있다. 유용한 전구체는 그 자체로 반응하지 않아야 하고, 자기-종결 표면 반응을 달성하기 위해 표면 상에서 또는 기상에서 분해되지 않도록 충분히 안정해야 한다.
바람직하게는, 기재된 바와 같은 다층 보호 코팅은 제1 증착된 물질 및 제2 증착된 물질을 포함하거나, 필수적으로 이루어지거나, 또는 그로 이루어진 물질의 원자 층 증착에 의해 형성되는 다층(예를 들어, 이중 층 코팅 또는 복합 코팅) 박막일 수 있다. 바람직한 제1 증착된 물질은 실질적으로 비정질 형태의 알루미나, 즉, AlyOx(1.5/3 내지 2.5/3 범위의 y/x를 갖는)이다. 바람직한 제2 증착된 물질은 이트리아이고, YyOx(1.5/3 내지 2.5/3 범위의 y/x를 갖는)를 의미한다. 예를 들어, 이트리아 및 실질적으로 비정질 알루미나 층의, 2개의 상이한 증착된 물질 층으로 "필수적으로 이루어진" 다층 코팅은 코팅 층의 총 층수를 기준으로 하여 1, 0.5, 또는 0.1 % 이하의 임의의 다른 물질 층과 2개의 상이한 증착된 물질 층 만을 포함하는 코팅을 지칭하고; 이러한 코팅은 본 명세서에서" 이중 층" 코팅으로 또한 지칭된다.
다층 코팅 층으로서의 알루미나는 산과 같은 특정 공정 화학 물질에 대한 높은 수준의 내성을 제공할 수 있고, 실질적으로 비정질 형태로 원자 층 증착에 의해 증착될 수 있고, 예를 들어, 코팅의 XRD 피크의 FWHM은 4 도 2-세타보다 넓은, 즉, 비-결정질 형태이다.
비정질 알루미나 층의 예시적인 두께는 적어도 1 옹스트롬일 수 있고, 예를 들어, 바람직한 바에 따라 1 내지 50 옹스트롬 범위의 두께를 갖도록 1 옹스트롬보다 두껍게 도포될 수 있다. 알루미나 층은 두께 범위에 걸쳐 실질적으로 비정질일 수 있다. 그러나, 알루미나 층의 두께는 다른 인자에 기초하여 선택될 수 있고, 예컨대 알루미나가 특히 내성일 수 있는 특정 공정 물질에 대한 바람직한 수준의 내성을 갖는 다층 코팅을 제공하여, 예를 들어 산에 대한 다층 보호 코팅의 저항을 증가시킬 수 있다. 100 nm의 두께가 제조될 수 있다.
다층 보호 코팅의 제2 증착된 물질은 이트리아일 수 있다. 이트리아는 바람직하게는 이트리아 층, 및 전체로서 보호 코팅이 산 및 플라즈마와 같은 공정 물질에 대해 비교적 높은 수준의 내성뿐만 아니라, 비교적 높은 내파괴성 및 입계 및 다른 결함의 감소된 존재를 제공하도록 기판 상에 증착될 수 있다.
바람직한 다층 코팅은 이트리아 층이 알루미나 층의 형태를 채택 및 유지하게 하는 (이트리아 층의) 두께로 알루미나 상에 증착되는 이트리아 층을 포함할 수 있다. 비정질인 알루미나 층은 비-결정질 형태로 이트리아의 형성을 촉진하는 이트리아 층이 도포될 수 있는 비정질 베이스를 제공한다. 이트리아 층을 비정질 알루미나 층에 도포함으로써, 이트리아 층은 효과적인 두께로 도포되는 경우 비-결정질이 되는 경향이 있으며, 이에 따라 내화학약품성, 내파괴성, 및 입계 및 다른 결함의 부재를 포함하는, 기재된 바와 같은 바람직한 물리적 특성을 나타내는 실질적으로 비-결정질 다층 코팅을 생성할 것이다. 따라서, 다층 코팅 층의 증착된 물질로서 비정질 알루미나를 사용하는 것은 비-결정질 형태로 이트리아를 증착하는 능력을 개선하여 전체 다층 코팅의 낮은 수준의 결정도를 허용하는 방법으로서 바람직할 수 있다. 이러한 이유로, 기판의 표면에 도포되는 다층 코팅의 제1 층은 바람직하게는 자연적으로 고도로 비정질인 경향이 있는 증착된 물질, 예를 들어, 알루미나일 수 있다.
도 1을 참조하면, 이 그래프는 본 명세서에 기재된 바와 같은 시험예 알루미나-이트리아 이중 층 ALD 코팅의 데이터를 나타내며, 여기서 예시적인 코팅은 10:1 내지 1:10 범위의 이트리아:알루미나의 상이한 입력 비율(원자)을 갖는다. 제조된 샘플에 관한 상세사항을 표 1에 나타낸다.
조성(at%)
입력 Y/Al 비율 복합 코팅 사이클 Y Al O 측정된 Y/Al 비율
0.91
(10:1)
10Y-사이클+ 1 Al-사이클 23.8 2.5 73.7 0.90
0.89(8:1) 8Y-사이클+ 1 Al-사이클 20.7 7.7 71.6 0.73
0.5(1:1) 1Y-사이클+ 1 Al-사이클 11.2 22.0 66.8 0.34
0.25(1:3) 1Y-사이클+ 3 Al-사이클 6.4 30.9 62.7 0.17
0.09(1:10) 100(1Y-사이클+ 10 Al-사이클) 1.9 39.0 59.1 0.05
따라서, 예를 들어, 이트리아 생성 전구체를 사용하는 1 ALD 증착 사이클, 이어서 알루미나 생성 전구체를 사용하는 10 ALD 증착 사이클로부터 1:10의 목표 입력 Y/Al 비율을 갖는 코팅을 제조하였다. Y/Al 비율은 at%Y/(at%Y + at%Al)로 정의되고, 따라서 산소는 코팅에서 이트리아와 알루미나 사이에 균등하게 분포되어 있다고 가정한다. 측정된 Y/Al 비율을 에너지 분산형 X-선 분광법(EDAX)에 의해 측정하였다. X-선 형광(XRF) 및 X-선 회절(XRD)을 사용하여 유사한 측정을 수행하였다. 1:1 Y/Al 샘플에 대한 결과를 표 2에 나타낸다. 측정된 값들 사이의 차이들은 기술의 제한/특징을 반영하고, 당업자들에 의해 예상될 것이다.
측정 기술 측정된 Y/Al 비율
EDAX 0.35
XRF 0.45
XPS 0.6
따라서, 1:1의 목표 입력 Y/Al 비율을 갖는 다층 코팅은 약 0.35 내지 약 0.6(약 1:2 내지 약 2:1) 범위의 측정된 Y/Al 비율을 갖는 것으로 간주될 것이다.
시험은 관련 기술분야에 공지된 바와 같이, 오직 막 표면으로부터 회절된 X-선을 검출하기 위해 그레이징 입사 부착(grazing incidence attachment)을 사용하여 X-선 회절(XRD)에 의해 수행되었다. 그래프는 2 세타에 대한 초당 카운트를 보여준다. 도 1의 그래프에 도시된 바와 같이, 시험 결과는 이트리아 층의 두께를 증가시킴으로써 피크가 더 날카롭게 되는 것을 보여준다. 더 날카로운 피크는 더 큰 결정자 크기를 갖는 더 높은 수준의 긴 범위 질서를 나타낸다. 도 1을 참조하면, 10:1(이트리아: 알루미나)은 2.501 deg 2θ의 FWHM을 가지며, 이는 33 Å 결정자 크기를 갖는다는 것을 나타낸다. 8:1은 3.793 deg 2θ의 FWHM을 가지며, 이는 22 Å 결정자 크기를 갖는다는 것을 나타낸다. 1:1, 1:3 1:10의 FWHM은 이중 층이 비정질임을 나타내는 4 deg 2θ보다 넓다.
놀랍게도, 1:1(및 2:1 내지 1:2의 측정된 Y/Al 비율)의 입력 Y/Al 비율을 갖는 이트리아 및 알루미나의 다층 ALD 코팅은 더 높은 Y/Al 비율을 갖는 코팅에 비해 상당히 감소된 결정도를 갖는다는 것이 발견되었다. 다양한 응용에서 비정질 다층 코팅이 바람직할 것이다. 따라서, 놀랍게도, 이트리아 층이 비정질 알루미나 층 상에 증착될 수 있고, 이것은 이트리아 층이 실질적으로 비-결정질(예를 들어, x-선 회절에 의해 측정되는 바와 같은 10, 5 또는 1 % 미만의 결정질) 또는 초저 수준 결정도(즉, 비정질)이 되도록 하는 두께를 갖는 것으로 밝혀졌으며, 이는 예를 들어 코팅의 XRD 피크의 FWHM이 2 도 2-세타보다 넓도록 하는 것이다. 비교적 더 얇은 이트리아 층은 비교적 두꺼운 이트리아 층에 비해 결정질 구조를 형성하는 경향이 덜 발생할 것이고, 즉 이트리아 층의 두께의 증가는 이트리아가 결정을 형성할 가능성을 증가시킨다. 따라서, 개별 이트리아 층의 낮은 수준의 결정도를 유지하기에 충분히 얇은 이트리아 층, 및 이트리아 층을 함유하는 전체 다층 코팅이 바람직하다. 기재된 바와 같은 바람직한 다층 코팅의 이트리아 층의 예시적인 두께는 이트리아가 실질적으로 비정질이 되게 하는 두께일 수 있고, 특정 범위는 6 내지 12 옹스트롬의 범위, 통상적으로 약 8 내지 10 옹스트롬 범위이다.
본 발명의 예시적인 보호 코팅에 따르면, 다층 코팅은 실질적으로 비-결정질 또는 초소형 결정질이다. 바람직한 다층 코팅은 10 nm 미만의 결정질 크기를 가질 수 있고, 즉 코팅의 XRD 피크의 FWHM은 2 도 2세타보다 넓다.
(비-결정질) 이트리아 및 비정질 알루미나의 교호 층으로 형성되는 코팅과 같은, 기재된 바와 같은 다층 코팅의 총 두께는 공정 물질에 대한 우수한 내성, 코팅의 면적에 걸친 코팅의 두께의 높은 균일성, 낮은 결함, 높은 밀도, 낮은 다공도, 낮은 결정도, 높은 조성 균일성 등을 포함하는, 본 명세서에 기재된 바와 같은 바람직한 특성을 제공하는 임의의 두께일 수 있다. 공정 챔버의 부품 상의 보호 코팅으로서 다층 코팅의 사용을 위한 유용한 두께의 예는 적어도 10 나노미터(즉, 적어도 0.01 마이크로미터), 예를 들어 적어도 100 나노미터(0.1 마이크로미터)일 수 있고, 2 마이크로미터의 두께, 바람직하게는 1.0 마이크로미터 이하일 수 있다.
다층 코팅의 이트리아(YyOx) 및 알루미나(AlyOx)의 상대적인 양은, 공정 화학 물질에 대한 바람직한 내성; 다층 코팅의 바람직한 낮은 수준의 결정도(및 입계); 바람직하게는 높은 밀도 및 낮은 다공도; 낮은 수준의 결함; 및 기재된 바와 같은 다층 코팅의 다른 유용하고 바람직한 특징 중 하나 이상과 같은, 본 명세서에 기재된 바와 같은 보호 코팅의 바람직한 특징을 제공하도록 선택될 수 있다. 실질적으로 비정질 알루미나 및 실질적으로 비정질 또는 비결정질 이트리아를 포함하거나, 필수적으로 이루어지거나, 또는 그로 이루어진 다층 코팅에서의 이트리아 및 알루미나의 상대적인 양의 예는 약 1:50 내지 50:1 범위일 수 있고, 바람직한 상대적인 양의 AlyOx: YyOx는 예를 들어, 1:15 내지 12:1, 예를 들어, 1:15 내지 10:1 범위일 수 있다.
이트리아(YyOx) 및 알루미나(AlyOx)의 상대적인 양의 이러한 예와 일치하여, 이트리아(YyOx) 층 및 알루미나(AlyOx) 층의 상대적인 두께는 공정 화학 물질에 대한 바람직한 내성, 다층 코팅의 바람직한 낮은 수준의 결정도(및 입계); 바람직하게는 높은 밀도 및 낮은 다공도, 및 기재된 바와 같은 다층 코팅의 다른 유용하고 바람직한 특징 중 하나 이상과 같은, 본 명세서에 기재된 바와 같은 바람직한 특성 및 바람직한 특성의 균형을 제공하도록 선택될 수 있다. 종종, 코팅의 각각의 유형의 증착된 물질의 모든 층은 대략 동일한 두께가 되도록 선택될 것이고, 모든 알루미나 층은 대략 동일한 두께일 것이고, 모든 이트리아 층은 대략 동일한 두께일 것이지만, 이트리아 층의 두께는 알루미나 층의 두께와 상이할 수 있다. 각각의 증착된 물질 층의 두께는 이트리아의 바람직한(낮은) 결정도 및 특정 공정 성분에 대한 높은 내성을 제공하도록 선택될 수 있다. 기재된 바와 같은 다층 코팅은 임의의 상대 두께, 예를 들어, 1:50 내지 50:1의 이트리아(YyOx) 및 알루미나(AlyOx) 층을 포함할 수 있다. 이 도면은 다층 코팅의 개별 층을 설명하거나 다층 코팅의 모든 층들의 총 조합 두께를 설명하는데 사용될 수 있다. 특정한 특정 실시양태에서, 이들 2개의 층(AlyOx: YyOx)(개별 또는 조합)의 상대적 두께는 1:15 내지 12:1, 예를 들어, 1:15 내지 10:1의 범위일 수 있다.
또한, 다층 코팅에서 이트리아(YyOx) 및 알루미나(AlyOx)의 이러한 상대적인 양 및 이트리아(YyOx) 및 알루미나(AlyOx) 층의 상대적 두께와 일치하여, 다층 코팅은 기재된 바와 같은 다층 코팅을 제공하는데 효과적인 이트륨 및 알루미늄의 상대적인 원자량을 가질 수 있고, 바람직하게는 기재된 바와 같은 바람직한 특징 및 특징의 조합을 포함한다. 예시적인 코팅에서, 알루미늄(알루미나 층의 알루미나의 일부로서)에 대한 이트륨(이트리아 층의 이트리아의 일부로서)의 상대적인 원자량 (Y(원자):Al(원자))은 1:50 내지 50:1, 예를 들어, 1:10 내지 10:1일 수 있다.
기재된 바와 같은 유용한 또는 바람직한 다층 코팅을 제조하기에 효과적인 원자 층 증착 방법, 예를 들어, 비정질 이트리아 및 비정질 알루미나의 증착된 물질의 교호 층의 제조는, 바람직한 바에 따라 다층 코팅을 제공하는데 효과적인 임의의 다양한 유용한 전구체 및 공정 조건을 사용하여, 및 공지되고 상업적으로 입수 가능한 ALD 장비, 공정 조건, 및 기술을 사용하여 수행될 수 있다. 예시적인 ALD 공정은, 반응 챔버 내에 기상 전구체를 기판 표면 상에 증착시켜 표면 상에 전구체 물질의 단층을 형성하는 개별 단계를 포함하는 일련의 단계에 의해 수행될 수 있다. 제2 전구체의 제2 단층은 증착된 물질 층을 형성하기 위해 제1 단층 상에 증착될 수 있다(예를 들어, 반응할 수 있다). 증착된 물질을 형성하기 위해 이러한 2개의 전구체를 도포하는 사이클은 증착된 물질 층의 두께를 증가시키도록 반복될 수 있다.
예로서, 알루미나 층을 형성하기 위해, AlCl3과 같은 제1 전구체가 표면에 도입되어, 표면과 반응하고 표면에 부착된 알루미늄 원자(부착된 염소 원자를 갖는)를 제공할 수 있고; 제2 전구체(예를 들어, 물, 오존, 산소)가 표면에 도입되어 염소 원자를 산소 원자로 대체하여 알루미나(AlyOx)를 형성할 수 있다. 알루미늄-함유 전구체의 대안적인 예는 트리메틸알루미늄(TMA)이다. 이러한 2개의 증착 단계의 다중 사이클은 두께가 증가하는 알루미나 층을 생성하기 위해 교호하는 순서로 수행될 수 있다. 바람직하게는, 알루미나 및 이트리아의 다층 막의 초기 층으로서, 이트리아 층 이전에 알루미나 층이 먼저 증착될 수 있어서, 알루미나의 비정질 성질이 후속에 도포되는 이트리아 층이 결정질 구조를 포함하도록 형성되는 것을 방지하도록 작용한다. 공정 온도는 알루미나가 효과적으로 증착될 수 있도록 선택될 수 있다.
이트리아 층을 형성하기 위한 예시적인 단계로서, 이트륨-함유 전구체(예를 들어, Y(thd)3, 여기서, thd=2,2,6,6-테트라메틸-3,5-헵탄디오나토)는 표면에 도입되어 표면(바람직하게는 비정질 알루미나와 같은 이전에 도포된 ALD 증착된 비정질 물질)과 반응하여 표면에 이트륨 원자(부착된 thd 기를 갖는)를 제공할 수 있다. 제2 전구체(예를 들어, 물, 오존, 산소)를 표면에 도입하여 thd 기를 산소 원자로 대체하여 이트리아(YyOx)를 형성할 수 있다. 이트륨-함유 전구체의 대안적인 예는 트리스(시클로펜타디에닐)이트륨(III)(YCp3)이다. 두께가 증가하는 이트리아 층을 생성하기 위해서, 이러한 2개의 증착 단계의 다중 사이클이 교호하는 순서로 실시될 수 있다. 공정 온도는 이트리아가 실질적으로 비정질 상태로 효과적으로 증착될 수 있도록 선택될 수 있다.
이들 개별 증착 단계, 또는 알루미나 또는 이트리아와 같은 단일 증착된 물질 층을 형성하는 단계의 순서는 남아있는 기상 전구체를 퍼징하기 위해 반응 챔버를 플러싱하는 단계와 같은 다른 중간 단계와 조합하여 수행될 수 있다.
기재된 바와 같은 다층 코팅은 물질 층 또는 단층이 원자 층 증착에 의해 효과적으로 도포될 수 있는 임의의 표면 또는 기판 상에 형성될 수 있고 유용할 수 있다. 특정 실시양태에서, 기판은 진공 안정 기판 물질로 제조될 수 있고, 고도로 반응성이 높거나, 부식성이거나, 또는 그렇지 않으면 공격적으로 공정 챔버의 내부 부품을 포함하는 다른 물질을 열화시키거나 이와 반응할 수 있는 하나 이상의 상이한 공정 물질을 사용하여 기판, 물질, 또는 장치를 처리하는데 사용되는 공정 챔버의 부품 형태일 수 있다. 이러한 맥락에서, 기재된 바와 같은 다층 보호 코팅은 본 명세서에서 "진공 호환성 기판"으로 지칭되는 기판에 유리하게 도포될 수 있고, 플라즈마와 같은 공정 물질로 처리하는 것에 의해 마이크로전자 장치, 반도체 장비 및 장치 등을 처리하는 데 사용되는 공정 챔버의 부품으로서 기능하도록 형성된다.
공정 챔버는 예를 들어 마이크로전자 또는 반도체 장치의 제조 단계 동안 마이크로전자 장치 기판 또는 반도체 장치 기판의 물질을 에칭하기 위해 사용되는 액체, 기체, 또는 플라즈마의 형태일 수 있는 고도로 부식성 또는 반응성 공정 물질들을 포함하는 데 유용하다. 반응성 공정 물질의 특정 예는 특히 브로민 플라즈마, 염소 플라즈마, 및 플루오린 플라즈마를 포함한다. 공정 챔버는 공정 챔버 내에 존재할 공정 물질에 의해 과도하게 열화되지 않고, 기판을 공정 챔버 내로, 외부로, 및 내에서 운반, 홀드, 고정, 지지 또는 이동하는데 유용한 부품 및 표면을 포함해야 한다. 공정 챔버는 또한, 공정 챔버의 내부로 및 내부로부터 반응성 공정 물질(예를 들어, 플라즈마)를 유동, 전달, 및 제거하기에 효과적인 구조의 시스템을 포함해야 한다. 이러한 상이한 유형의 공정 챔버 부품의 예는 유동 헤드(샤워 헤드), 쉴드, 트레이, 지지체, 노즐, 밸브, 도관, 기판을 핸들링하거나 홀딩하기 위한 스테이지, 웨이퍼 핸들링 고정구, 챔버 라이너, 세라믹 웨이퍼 캐리어, 웨이퍼 홀더, 서셉터, 스핀들, 척, 링, 배플, 및 다양한 유형의 패스너(나사, 너트, 볼트, 클램프, 리벳 등)를 포함한다.
본 발명의 바람직한 코팅은 공정 챔버 부품으로서 공정 챔버에 유용한 코팅 물품을 제조하는데 특히 유용할 수 있다. 이는 일반적으로 임의의 형상을 갖는 이러한 유형의 물품을 포함하지만, 유리하게는, 높은 종횡비를 갖는 것으로 간주되는 그러한 특징부를 포함하여 개구, 애퍼처, 채널, 터널, 나사산이 형성된 나사, 나사산이 형성된 너트, 다공성 막, 필터, 3차원 네트워크, 홀, 채널 등을 포함하는 물리적 형상 또는 형태를 갖는 물품을 포함한다. 기재된 바와 같은 비정질 코팅의 원자 층 증착은, 유사한 코팅을 증착시키는 이전의 방법과 달리, 적어도 20:1, 50:1, 100:1, 200:1, 또는 심지어 500:1의 종횡비를 갖는 구조를 갖는 물품을 포함한, 이러한 구조 상에 균일하고 높은 품질의 코팅을 제공하는 데 효과적일 수 있다.
챔버 부품은 바람직하게는 진공-호환성 기판일 수 있는, 기판으로 지칭되는 물질로부터 제조될 수 있다. 일반적으로, 예는 보호 코팅으로 코팅되고 공정 챔버에 사용될 수 있는 금속 합금, 금속, 및 세라믹 물질을 포함할 수 있다. 진공-호환성 기판으로서 유용할 수 있는 세라믹 물질의 예는 알루미나, 탄화 규소, 및 질화 알루미늄을 포함한다. 금속 및 금속 합금의 예는 스테인리스 스틸 및 알루미늄을 포함한다. 진공-호환성 기판은 또한 석영, 사파이어, 실리카, 용융 실리카, 용융 석영, 규소, 양극처리된 알루미늄, 산화 지르코늄, 뿐만 아니라 반도체 산업에서 사용되는 특정 플라스틱과 같은 플라스틱, 예를 들어, 폴리에테르 에테르 케톤(PEEK) 및 폴리이미드일 수 있다.
본 설명은 기재된 바와 같은 보호 코팅을 위한 유용한 기판으로서 종종 공정 챔버 및 공정 챔버 부품(예를 들어, 에칭 챔버 부품)를 지칭하지만, 기재된 코팅은 이들 품목에 한정되는 것은 아니다. 반응성이 높은 화학 물질에 대한 높은 수준의 내성을 갖는 보호 코팅으로부터 이익을 얻을 수 있는 다양한 다른 세라믹, 광물, 금속, 및 금속 합금 물품 및 기판이 또한 본 명세서에 기재된 바와 같이 코팅될 수 있다.
따라서, 본 발명은 기재된 다층 코팅; 기재된 바와 같은 다층 코팅으로 코팅된 기판(예를 들어, 공정 챔버 부품)을 포함하는 코팅된 물품 및 장치; 기재된 바와 같은 보호 다층 코팅을 갖는 하나 이상의 부품을 포함하는 반응 챔버 또는 다른 공정 장비(반도체 공정 장비를 포함하지만 이에 제한되지 않는)와 같은 장비 및 장치; 및 반응 챔버 또는 공정 장비를 사용하여 예를 들어, 플라즈마로 기판을 처리함으로써, 반도체 장치 또는 마이크로전자 장치와 같은 기판을 처리하기 위한 기재된 바와 같은 보호 다층 코팅으로 코팅된 하나 이상의 부품을 포함하는 반응 챔버 또는 다른 공정 장비를 사용하는 공정 및 방법에 관한 것이다. 이러한 공정의 예는 NF3, Cl2, CHF3, CH2F2, SF6 및 HBr로부터 유도된 것과 같은 플라즈마를 사용하는 플라즈마 에칭(예를 들어, 건식 플라즈마 에칭)을 포함한다

Claims (9)

  1. 원자 층 증착에 의해 제조되고, 10% 미만의 결정도를 갖는 이트리아 및 비정질 알루미나의 교호 층을 포함하며, 2:1 내지 1:2 범위의 측정된 Y/Al at% 비율을 갖고, 0.01 내지 1.0 마이크로미터의 두께를 가지는, 내화학약품성 다층 보호 코팅.
  2. 제1항에 있어서, 상기 이트리아 층은 비정질 이트리아 층인 코팅.
  3. 제1항에 있어서, 상기 코팅이 이트리아 및 비정질 알루미나의 복합 코팅인 코팅.
  4. 표면 상에 원자 층 증착에 의해 제조된 코팅을 갖는 기판을 포함하고, 코팅은 10% 미만의 결정도를 갖는 이트리아 및 비정질 알루미나의 교호 층을 포함하며, 상기 코팅은 2:1 내지 1:2 범위의 측정된 Y/Al at% 비율을 갖고, 0.01 내지 1.0 마이크로미터의 두께를 가지는, 코팅된 물품.
  5. 제4항에 있어서, 상기 기판이 나사산이 형성된 나사, 나사산이 형성된 너트, 다공성 막, 필터, 3차원 네트워크, 홀 및 채널로부터 선택된 3차원 특징부를 포함하는 코팅된 물품.
  6. 제4항에 있어서, 상기 기판이 적어도 20:1의 종횡비를 갖는 3차원 구조를 포함하는 코팅된 물품.
  7. 반응 챔버를 포함하고, 반응 챔버는 원자 층 증착에 의해 제조된 보호 코팅을 갖는 부품을 포함하며, 코팅은 10% 미만의 결정도를 갖는 이트리아 및 비정질 알루미나의 교호 층을 포함하고, 마이크로전자 공정 장비 또는 반도체 공정 장비이며, 상기 코팅은 2:1 내지 1:2 범위의 측정된 Y/Al at% 비율을 갖고, 0.01 내지 1.0 마이크로미터의 두께를 가지는, 공정 장비.
  8. 제7항에 있어서, 상기 반응 챔버는 에칭 챔버 또는 증착 챔버인 공정 장비.
  9. 제7항에 있어서, 상기 부품은 적어도 20:1의 종횡비를 갖는 3차원 구조를 포함하는 공정 장비.

KR1020237003748A 2017-12-18 2018-12-18 원자 층 증착에 의해 도포되는 내화학약품성 다층 코팅 KR20230023820A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762599865P 2017-12-18 2017-12-18
US62/599,865 2017-12-18
KR1020207020457A KR20200089765A (ko) 2017-12-18 2018-12-18 원자 층 증착에 의해 도포되는 내화학약품성 다층 코팅
PCT/US2018/066216 WO2019126155A1 (en) 2017-12-18 2018-12-18 Chemical resistant multi-layer coatings applied by atomic layer deposition

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020207020457A Division KR20200089765A (ko) 2017-12-18 2018-12-18 원자 층 증착에 의해 도포되는 내화학약품성 다층 코팅

Publications (1)

Publication Number Publication Date
KR20230023820A true KR20230023820A (ko) 2023-02-17

Family

ID=66814261

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020207020457A KR20200089765A (ko) 2017-12-18 2018-12-18 원자 층 증착에 의해 도포되는 내화학약품성 다층 코팅
KR1020237003748A KR20230023820A (ko) 2017-12-18 2018-12-18 원자 층 증착에 의해 도포되는 내화학약품성 다층 코팅

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020207020457A KR20200089765A (ko) 2017-12-18 2018-12-18 원자 층 증착에 의해 도포되는 내화학약품성 다층 코팅

Country Status (7)

Country Link
US (2) US11390943B2 (ko)
EP (1) EP3728692A4 (ko)
JP (2) JP7382935B2 (ko)
KR (2) KR20200089765A (ko)
CN (1) CN111566255A (ko)
TW (3) TWI777911B (ko)
WO (1) WO2019126155A1 (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11639547B2 (en) * 2018-05-03 2023-05-02 Applied Materials, Inc. Halogen resistant coatings and methods of making and using thereof
US20220277936A1 (en) * 2019-08-09 2022-09-01 Applied Materials, Inc. Protective multilayer coating for processing chamber components
EP4073831A4 (en) * 2019-12-09 2024-01-10 Entegris, Inc. DIFFUSION BARRIERS MADE OF MULTIPLE BARRIER MATERIALS, AND ASSOCIATED ARTICLES AND METHODS
US20220154325A1 (en) * 2020-11-18 2022-05-19 Entegris, Inc. Articles coated with crack-resistant fluoro-annealed films and methods of making
FI130562B (en) * 2021-05-21 2023-11-21 Picosun Oy Plasma resistant coating, related manufacturing process and uses
CN116417322A (zh) * 2021-12-31 2023-07-11 中微半导体设备(上海)股份有限公司 一种复合涂层结构及其制备方法
KR20230170463A (ko) 2022-06-10 2023-12-19 태영에스티 주식회사 내식성 코팅제품 및 그 코팅제품의 제작방법
KR20230170465A (ko) 2022-06-10 2023-12-19 태영에스티 주식회사 내식성 코팅제품 및 그 코팅제품의 제작방법
CN117265480B (zh) * 2023-10-31 2024-05-10 华南理工大学 一种低粗糙度氧化钇涂层的制备方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4552851A (en) * 1984-05-02 1985-11-12 Gte Products Corporation Formation of yttrium aluminate as sintering aid for silicon nitride bodies
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
JP4620680B2 (ja) 2003-10-29 2011-01-26 マリンクロッド・ベイカー・インコーポレイテッド ハロゲン化金属の腐食阻害剤を含有するアルカリ性のプラズマエッチング/灰化後の残渣の除去剤およびフォトレジスト剥離組成物
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US7935387B2 (en) * 2004-10-20 2011-05-03 Ues, Inc. Methods for fabricating YAG barrier coatings
CN101010448B (zh) * 2005-06-23 2010-09-29 东京毅力科创株式会社 半导体处理装置用的构成部件及其制造方法
TW200840880A (en) 2007-04-13 2008-10-16 Hsin-Chih Lin Method of forming protection layer on contour of workpiece
WO2009058275A1 (en) 2007-10-29 2009-05-07 Ekc Technology, Inc. Methods of post chemical mechanical polishing and wafer cleaning using amidoxime compositions
US20090120457A1 (en) 2007-11-09 2009-05-14 Surface Chemistry Discoveries, Inc. Compositions and method for removing coatings and preparation of surfaces for use in metal finishing, and manufacturing of electronic and microelectronic devices
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
FI20095947A0 (fi) * 2009-09-14 2009-09-14 Beneq Oy Monikerrospinnoite, menetelmä monikerrospinnoitteen valmistamiseksi, ja sen käyttötapoja
TWI548738B (zh) 2010-07-16 2016-09-11 安堤格里斯公司 用於移除蝕刻後殘餘物之水性清潔劑
CN111394100A (zh) 2013-06-06 2020-07-10 恩特格里斯公司 用于选择性蚀刻氮化钛的组合物和方法
US10767259B2 (en) * 2013-07-19 2020-09-08 Agilent Technologies, Inc. Components with an atomic layer deposition coating and methods of producing the same
US20150104952A1 (en) 2013-10-11 2015-04-16 Ekc Technology, Inc. Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper
WO2015120265A1 (en) * 2014-02-07 2015-08-13 Entegris, Inc. Electrostatic chuck and method of making same
KR101626045B1 (ko) 2014-07-29 2016-06-01 경희대학교 산학협력단 눈물 방울을 이용한 바이러스 감염진단 방법 및 기기
CN109023303A (zh) * 2015-02-13 2018-12-18 恩特格里斯公司 衬底部分上的复合原子层沉积ald涂层及在衬底部分上形成经图案化ald涂层的方法
US20160379806A1 (en) 2015-06-25 2016-12-29 Lam Research Corporation Use of plasma-resistant atomic layer deposition coatings to extend the lifetime of polymer components in etch chambers
CN107848868B (zh) * 2015-07-10 2021-06-04 恩特格里斯公司 用于玻璃塑形模具的涂层及包括所述涂层的模具
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components

Also Published As

Publication number Publication date
WO2019126155A1 (en) 2019-06-27
US20220316056A1 (en) 2022-10-06
CN111566255A (zh) 2020-08-21
TWI777911B (zh) 2022-09-11
EP3728692A1 (en) 2020-10-28
TW201930634A (zh) 2019-08-01
EP3728692A4 (en) 2021-09-15
JP2022180352A (ja) 2022-12-06
TWI773465B (zh) 2022-08-01
US11390943B2 (en) 2022-07-19
KR20200089765A (ko) 2020-07-27
TWI748145B (zh) 2021-12-01
US20190185997A1 (en) 2019-06-20
JP7382935B2 (ja) 2023-11-17
JP2021507112A (ja) 2021-02-22
TW202233879A (zh) 2022-09-01
TW202210652A (zh) 2022-03-16
US11713504B2 (en) 2023-08-01

Similar Documents

Publication Publication Date Title
US11713504B2 (en) Chemical resistant multi-layer coatings applied by atomic layer deposition
JP6956212B2 (ja) 半導体処理チャンバコンポーネント用の保護コーティングの原子層堆積
JP5028755B2 (ja) 半導体処理装置の表面処理方法
TW201927996A (zh) 抗濕塗層
US20220010426A1 (en) Coatings that contain fluorinated yttrium oxide and a metal oxide, and methods of preparing and using the coatings
US12031212B2 (en) Yttrium fluoride films and methods of preparing and using yttrium fluoride films
US20210175325A1 (en) Diffusion barriers made from multiple barrier materials, and related articles and methods
TWI781585B (zh) 氟化釔膜及製備和使用氟化釔膜之方法
JP2024522081A (ja) 耐プラズマ性被膜、関連する製造方法及び使用
WO2023039425A1 (en) Methods of forming a plasma resistant coating of y-o-f and substrates having such coating

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E601 Decision to refuse application
AMND Amendment
X601 Decision of rejection after re-examination
J201 Request for trial against refusal decision