KR101752684B1 - 구리 세척 및 보호 조성물 - Google Patents

구리 세척 및 보호 조성물 Download PDF

Info

Publication number
KR101752684B1
KR101752684B1 KR1020117011398A KR20117011398A KR101752684B1 KR 101752684 B1 KR101752684 B1 KR 101752684B1 KR 1020117011398 A KR1020117011398 A KR 1020117011398A KR 20117011398 A KR20117011398 A KR 20117011398A KR 101752684 B1 KR101752684 B1 KR 101752684B1
Authority
KR
South Korea
Prior art keywords
acid
adenosine
group
corrosion inhibitor
composition
Prior art date
Application number
KR1020117011398A
Other languages
English (en)
Other versions
KR20110086092A (ko
Inventor
제프리 에이 반스
브라이언 베낙
칼 이 보그스
린 펑
준 리우
멜리사 에이 페트루스카
시야오동 얀
펑 장
Original Assignee
엔테그리스, 아이엔씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엔테그리스, 아이엔씨. filed Critical 엔테그리스, 아이엔씨.
Publication of KR20110086092A publication Critical patent/KR20110086092A/ko
Application granted granted Critical
Publication of KR101752684B1 publication Critical patent/KR101752684B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/66Non-ionic compounds
    • C11D1/72Ethers of polyoxyalkylene glycols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/042Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2096Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/24Organic compounds containing halogen
    • C11D3/245Organic compounds containing halogen containing fluorine
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/28Heterocyclic compounds containing nitrogen in the ring
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/33Amino carboxylic acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/329Carbohydrate or derivatives thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/20Other heavy metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Organic Chemistry (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Emergency Medicine (AREA)
  • Molecular Biology (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Preventing Corrosion Or Incrustation Of Metals (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)

Abstract

본 발명은, 상부에 CMP(화학적 기계적 연마)-후 잔사 및 오염물을 갖는 마이크로전자장치로부터 상기 잔사 및 오염물을 세척하기 위한 세척 조성물 및 세척 방법에 관한 것이다. 상기 세척 조성물은 신규한 부식 억제제를 포함한다. 상기 조성물은 저-k 유전 물질 또는 구리 상호접속 물질의 희생 없이, 상기 마이크로전자장치의 표면으로부터 CMP-후 잔사 및 오염물을 고도로 효과적으로 세척한다.

Description

구리 세척 및 보호 조성물{COPPER CLEANING AND PROTECTION FORMULATIONS}
본 발명은 일반적으로, 상부에 잔사 및/또는 오염물을 갖는 마이크로전자장치로부터 상기 잔사 및/또는 오염물을 세척하기 위한, 부식 억제제를 포함하는 조성물에 관한 것이다.
마이크로전자장치는 집적 회로를 형성하는데 사용된다. 마이크로전자장치 웨이퍼는, 절연성, 전도성 또는 반전도성을 갖는 상이한 물질들의 침착을 위한 영역들이 패턴화되어 있는 기판(예컨대, 규소)을 포함한다.
정확한 패턴화를 수득하기 위해서는, 상기 기판 상에 층을 형성하는데 사용되는 과잉의 물질이 제거되어야 한다. 또한, 작동성이면서 신뢰할만한 회로를 제작하기 위해서는, 후속적인 가공 전에, 편평하거나 평면의 마이크로전자장치 웨이퍼 표면을 제조하는 것이 중요하다. 따라서, 마이크로전자장치 웨이퍼의 특정 표면을 제거 및/또는 연마하는 것이 필요하다.
화학적 기계적 연마 또는 평탄화("CMP")는, 마이크로전자장치 웨이퍼의 표면으로부터 물질을 제거하고, 이 표면을, 물리적 공정(예컨대, 연마)과 화학적 공정(예컨대, 산화 또는 킬레이트화)을 결합하여 연마(더욱 구체적으로, 평탄화)하는 공정이다. 이의 가장 기본적인 형태에서, CMP는, 마이크로전자장치의 표면을 완충하는 연마 패드에 슬러리(예컨대, 연마제 및 활성 화합물의 용액)를 적용하여 제거, 평탄화 및 연마 공정을 달성하는 것을 포함한다. 순전히 물리적 작용으로만 이루어지거나 순전히 화학적 작용으로만 이루어진 제거 또는 연마 공정은 바람직하지 않으며, 신속하고 균일한 제거를 달성하기 위해서는 이들 두 작용의 상승적 조합이 바람직하다. 또한, 집적 회로의 제작에서는, CMP 슬러리가, 금속 및 다른 물질의 복합 층을 포함하는 필름을 우선적으로 제거하여 후속적인 사진석판술 또는 패턴화, 에칭 및 박막 공정을 위해 고도로 편평한 표면을 생성할 수 있어야 한다.
최근에는, 집적 회로 내의 금속 상호접속부로서 구리가 점점 더 사용되고 있다. 마이크로전자장치 제작시 회로의 금속화에 통상적으로 사용되는 구리 다마신 공정에서는, 제거되고 평탄화되어야 하는 층이, 약 1 내지 1.5 μm의 두께를 갖는 구리 층 및 약 0.05 내지 0.15 μm의 두께를 갖는 구리 시드 층을 포함한다. 이들 구리 층은 장벽 물질 층(전형적으로, 약 50 내지 300Å이며, 구리가 산화물 유전 물질 내로 확산되는 것을 방지함)에 의해 유전 물질 표면으로부터 분리된다. 연마 후 웨이퍼 표면에 걸쳐 우수한 균일성을 수득하기 위한 하나의 비결은, 각각의 물질에 대한 정확한 제거 선택도를 갖는 CMP 슬러리를 사용하는 것이다.
전술된 처리 작업(웨이퍼 기판 표면 제조, 침착, 플레이팅, 에칭 및 화학적 기계적 연마 포함)은, 마이크로전자장치 제품에 오염물이 없도록 하기 위해 다양한 세척 작업을 필요로 한다(이러한 오염물이 존재하는 경우, 상기 제품의 기능에 해로운 영향을 줄 것이며, 목적하는 기능에도 쓸모가 없음). 흔히, 이러한 오염물 입자는 0.3 μm 보다 작다.
이러한 관점에서 하나의 특정 문제는, CMP 공정 후에 마이크로전자장치 기판 상에 남아 있는 잔사이다. 이러한 잔사는 CMP 물질 및 부식 억제제 화합물, 예를 들어 벤조트라이아졸(BTA)을 포함한다. 이러한 잔사가 제거되지 않으면, 구리 라인에 손상을 유발하거나, 구리 금속화를 심하게 조면화할 뿐만 아니라, CMP-후 상기 장치 기판 상에 적용되는 층의 불량한 부착을 유발할 수 있다. 구리 금속화의 심한 조면화가 특히 문제가 되며, 그 이유는, 상당히 거친(rough) 구리가 마이크로전자장치 제품의 불량한 전기적 성능을 유발할 수 있기 때문이다.
마이크로전자장치 제조에 통상적인 다른 잔사-생성 공정은, 현상된 포토레지스트 코팅의 패턴을 하부 층(이는, 하드마스크, 레벨간 유전층(ILD) 및 에칭 중단 층으로 이루어질 수 있음)에 전달하는 기상 플라즈마 에칭을 포함한다. 기상 플라즈마 에칭-후 잔사(이는, 상기 기판 상에 존재하는 화학적 요소 및 플라즈마 기체 중에 존재하는 화학적 요소를 포함할 수 있음)는 전형적으로 BEOL(back end of the line) 구조물 상에 침착되며, 상기 잔사가 제거되지 않는 경우, 후속적인 규소화물 형성 또는 접촉부 형성을 방해할 수 있다. 통상적인 세척 화학처리는 종종 ILD를 손상시키고, ILD의 기공 내로 흡수되어 유전 상수를 증가시키고/시키거나, 금속 구조물을 부식시킨다.
본 발명은 일반적으로, 상부에 잔사 및/또는 오염물을 갖는 마이크로전자장치로부터 상기 잔사 및/또는 오염물을 세척하기 위한 조성물 및 방법에 관한 것이다. 본 발명의 세척 조성물은 하나 이상의 신규한 부식 억제제를 포함한다. 상기 잔사는 CMP-후, 에칭-후 및/또는 애싱(ashing)-후 잔사를 포함할 수 있다.
하나의 양태에서, 하나 이상의 용매, 하나 이상의 부식 억제제, 하나 이상의 아민 및 하나 이상의 4급 염기를 포함하는 세척 조성물이 기술되며, 상기 부식 억제제는, 리보실퓨린 및 이의 메틸화된 또는 데옥시(deoxy) 유도체; 아데노신 및 아데노신 유도체의 분해 생성물; 퓨린-사카라이드 복합체; 메틸화된 또는 데옥시 퓨린 유도체 및 이들의 반응 또는 분해 생성물; 및 이들의 조합물로 이루어진 군으로부터 선택되는 화학종을 포함한다.
다른 양태에서, 하나 이상의 용기, 및 세척 조성물을 형성하기 위한 하나 이상의 시약을 포함하는 키트가 기술되며, 상기 세척 조성물은 하나 이상의 용매, 하나 이상의 부식 억제제, 하나 이상의 아민 및 하나 이상의 4급 염기를 포함하고, 상기 부식 억제제는, 리보실퓨린 및 이의 메틸화된 또는 데옥시 유도체; 아데노신 및 아데노신 유도체의 분해 생성물; 퓨린-사카라이드 복합체; 메틸화된 또는 데옥시 퓨린 유도체 및 이들의 반응 또는 분해 생성물; 및 이들의 조합물로 이루어진 군으로부터 선택되는 화학종을 포함한다.
또다른 양태에서, 상부에 잔사 및 오염물을 갖는 마이크로전자장치로부터 상기 잔사 및 오염물을 제거하는 방법이 기술되며, 상기 방법은, 상기 마이크로전자장치로부터 잔사 및 오염물을 적어도 부분적으로 세척하기에 충분한 시간 동안 상기 마이크로전자장치를 세척 조성물과 접촉시키는 단계를 포함하고, 상기 세척 조성물은 하나 이상의 용매, 하나 이상의 부식 억제제, 하나 이상의 아민 및 하나 이상의 4급 염기를 포함하고, 상기 부식 억제제는, 리보실퓨린 및 이의 메틸화된 또는 데옥시 유도체; 아데노신 및 아데노신 유도체의 분해 생성물; 퓨린-사카라이드 복합체; 메틸화된 또는 데옥시 퓨린 유도체 및 이들의 반응 또는 분해 생성물; 및 이들의 조합물로 이루어진 군으로부터 선택되는 화학종을 포함한다.
다른 양태, 특징 및 이점은 하기 명세서 및 첨부된 특허청구범위로부터 보다 더 명백해질 것이다.
본 발명은 일반적으로, 상부에 잔사 및 오염물을 갖는 마이크로전자장치로부터 이러한 물질을 제거하는데 유용한 조성물에 관한 것이다. 상기 조성물은 CMP-후, 에칭-후 또는 애싱-후 잔사를 제거하는데 특히 유용하다.
언급의 용이성을 위해, "마이크로전자장치"는, 반도체 기판; 평판 디스플레이; 상변화 메모리 소자; 태양 전지판; 및 마이크로전자장치, 집적 회로 또는 컴퓨터 칩 용도로 사용하기 위해 제조되는 태양 기판, 광전지 및 마이크로전자기계 시스템(MEMS)을 비롯한 기타 제품에 해당한다. 태양 기판은 비제한적으로, 규소, 비정질 규소, 다결정질 규소, 단결정질 규소, CdTe, 구리 인듐 셀렌화물, 구리 인듐 황화물, 및 갈륨 상의 갈륨 비소화물을 포함한다. 태양 기판은 도핑되거나 도핑되지 않을 수 있다. "마이크로전자장치"라는 용어는, 어떤 식으로도 한정을 의미하는 것이 아니며, 결과적으로 마이크로전자장치 또는 마이크로전자 어셈블리가 되는 임의의 기판을 포함하는 것으로 이해해야 한다.
본원에서 "잔사"는, 마이크로전자장치의 제조(비제한적으로, 플라즈마 에칭, 애싱, 화학적 기계적 연마, 습윤 에칭 및 이들의 조합 포함) 동안 생성되는 입자에 해당한다.
본원에서 "오염물"은, CMP 슬러리, 연마 슬러리의 반응 부산물, 습윤 에칭 조성물 중에 존재하는 화합물, 습윤 에칭 조성물의 반응 부산물, 및 CMP 공정, 습윤 에칭, 플라즈마 에칭 또는 플라즈마 애싱 공정의 부산물인 임의의 다른 물질에 해당한다.
본원에서 "CMP-후 잔사"는, 연마 슬러리로부터의 입자, 예를 들어 실리카-함유 입자, 슬러리 중에 존재하는 화합물, 연마 슬러리의 반응 부산물, 탄소-풍부 입자, 연마 패드 입자, 브러시 탈리(deloading) 입자, 장치 구성 물질 입자, 구리, 구리 산화물, 유기 잔사, 및 CMP 공정의 부산물인 임의의 다른 물질에 해당한다.
본원에서 "저-k 유전 물질"이란, 적층된 마이크로전자장치에서 유전 물질로 사용되고 약 3.5 미만의 유전 상수를 갖는 임의의 물질에 해당한다. 바람직하게, 상기 저-k 유전 물질은 저-극성 물질, 예를 들어 규소-함유 유기 중합체, 규소-함유 하이브리드 유기/무기 물질, 오가노실리케이트 유리(OSG), TEOS, 불화된 실리케이트 유리(FSG), 규소 이산화물 및 탄소-도핑된 산화물(CDO) 유리를 포함한다. 상기 저-k 유전 물질이 다양한 밀도 및 다양한 다공도를 가질 수 있음을 이해해야 한다.
본원에서 "착화제"란, 당업자가 착화제, 킬레이트제 및/또는 봉쇄제로 이해하는 화합물을 포함한다. 착화제는, 본원에 기술된 조성물을 사용하여 제거되는 금속 원자 및/또는 금속 이온과 화학적으로 결합하거나 이를 물리적으로 보유할 것이다.
본원에서 "장벽 물질"이라는 용어는, 당분야에서 금속 라인(예컨대, 구리 상호접속부)을 밀봉하여 상기 금속의 확산을 최소화하는데 사용되는 임의의 물질에 해당한다. 바람직한 장벽 층 물질은 탄탈륨, 티타늄, 루테늄, 하프늄, 텅스텐, 및 기타 내화 금속 및 이들의 질화물 및 규소화물을 포함한다.
본원에서 "에칭-후 잔사"란, 기상 플라즈마 에칭 공정(예를 들어, BEOL 이중 다마신 공정) 또는 습식 에칭 공정 후 남아있는 물질에 해당한다. 상기 에칭-후 잔사는 특성상 유기, 유기금속성, 유기규산성 또는 무기일 수 있으며, 예를 들어 규소-함유 물질, 탄소계 유기 물질 및 에칭 기체 잔사, 예컨대 산소 및 불소이다.
본원에서 "애싱-후 잔사"는, 고화된 포토레지스트 및/또는 하부 반사방지 코팅(BARC) 물질을 제거하기 위한 산화성 또는 환원성 애싱 후 남아있는 물질에 해당한다. 상기 애싱-후 잔사는 특성상 유기, 유기금속성, 유기규산성 또는 무기일 수 있다.
본원에서 "실질적으로 없는"이란, 2 중량% 미만, 바람직하게는 1 중량% 미만, 더욱 바람직하게는 0.5 중량% 미만, 가장 바람직하게는 0.1 중량% 미만으로 정의된다.
본원에서 "약"은, 언급된 값의 ±5%에 해당하는 것으로 의도된다.
본원에서 "반응 또는 분해 생성물"이란, 비제한적으로, 표면에서의 촉매작용, 산화, 환원, 조성 성분들과의 반응, 또는 다른 중합 반응의 결과로서 형성된 생성물 또는 부산물; 또는 성분 또는 물질(예컨대, 분자, 화합물 등)이 다른 성분 또는 물질과 결합되고, 구성성분을 다른 성분 또는 물질로 교체하고, 분해하고, 재배열하거나, 다르게는 화학적으로 및/또는 물리적으로 달라진 변화 또는 전환의 결과로서 형성된 생성물 또는 부산물(전술된 것들의 임의의 중간체 생성물 또는 부산물, 또는 전술된 반응, 변화 및/또는 전환의 조합의 생성물 포함)을 포함한다. 상기 반응 또는 분해 생성물이, 원래 반응물보다 더 크거나 작은 몰 질량을 가질 수 있음을 이해해야 한다.
본원에서, 상부에 잔사 및 오염물을 갖는 마이크로전자장치로부터 상기 잔사 및 오염물을 세척하는데 있어서의 "적합성"이란, 상기 마이크로전자장치로부터 상기 잔사/오염물을 적어도 부분적으로 제거하는 것에 해당한다. 세척 효율은, 상기 마이크로전자장치 상의 대상물의 감소에 의해 등급이 매겨진다. 예를 들어, 원자 간력 현미경(AFM)을 사용하여, 세척-전 및 세척-후 분석을 수행할 수 있다. 샘플 상의 입자는 픽셀 범위로서 기록될 수 있다. 히스토그램(예컨대, 시그마 스캔 프로(Sigma Scan Pro))을 적용하여, 특정 강도(예컨대, 231 내지 235)로 픽셀을 필터링하고, 입자의 수를 계수할 수 있다. 이러한 입자 감소는 하기 식을 사용하여 계산할 수 있다.
Figure 112011037412979-pct00001
특히, 세척 효율을 결정하는 상기 방법은 단지 예시적인 것이며, 이에 한정되지 않는 것으로 의도된다. 다르게는, 세척 효율은, 특정 물질에 의해 피복된 총 표면의 %로서 간주될 수 있다. 예를 들어, AFM을 z-평면 스캔을 수행하도록 프로그램화하여, 특정 높이 역치보다 높은 관심영역의 표면형상을 확인하고, 이어서 관심영역으로 덮힌 총 표면의 영역을 계산할 수 있다. 당업자는, 세척-후 관심영역으로 덮힌 영역이 적을수록 세척 조성물이 더 효과적임을 용이하게 이해할 것이다. 바람직하게는, 본원에 기술된 조성물을 사용하여 잔사/오염물의 75% 이상, 더욱 바람직하게는 90% 이상, 더더욱 바람직하게는 95% 이상, 가장 바람직하게는 99% 이상이 마이크로전자장치로부터 제거된다.
본원에 기술된 조성물은, 이후로 충분히 기술되는 바와 같이, 각종 다양한 특정 조성물로 구체화될 수 있다.
이러한 모든 조성물에서, 조성물의 특정 성분들은, 0의 하한을 포함하는 중량%의 범위로 논의되며, 상기 조성물의 다양한 특정 실시양태에서 이러한 성분들은 존재하거나 존재하지 않을 수 있고, 이러한 성분들이 존재하는 경우, 이들은 사용되는 조성물의 총 중량을 기준으로 0.001 중량% 정도의 낮은 농도로 존재할 수 있다.
상기 세척 조성물은 하나 이상의 부식 억제제를 포함하며, 상기 부식 억제제 성분은 금속(예컨대, 구리, 알루미늄)의 부식을 낮추고 세척 성능을 향상시키기 위해 상기 세척 조성물에 첨가된다. 고려되는 부식 억제제는 비제한적으로, 리보실퓨린, 예컨대 N-리보실퓨린; 아데노신, 구아노신, 2-아미노퓨린 리보사이드, 2-메톡시아데노신, 및 이들의 메틸화된 또는 데옥시 유도체, 예컨대 N-메틸아데노신(C11H15N5O4), N,N-다이메틸아데노신(C12H17N5O4), 트라이메틸화된 아데노신(C13H19N5O4), 트라이메틸 N-메틸아데노신(C14H21N5O4), C-4'-메틸아데노신, 및 3-데옥시아데노신; 아데노신 및 아데노신 유도체의 분해 생성물, 예컨대 비제한적으로, 아데닌(C5H5N5), 메틸화된 아데닌(예컨대, N-메틸-7H-퓨린-6-아민, C6H7N5), 다이메틸화된 아데닌(예컨대, N,N-다이메틸-7H-퓨린-6-아민, C7H9N5), N4,N4-다이메틸피리미딘-4,5,6-트라이아민(C6H11N5), 4,5,6-트라이아미노피리미딘, 알란토인(C4H6N4O3), 하이드록실화된 C-O-O-C 이량체[(C5H4N5O2)2], C-C 가교된 이량체[(C5H4N5)2 또는 (C5H4N5O)2], 리보오스(C5H10O5), 메틸화된 리보오스(예컨대, 5-(메톡시메틸)테트라하이드로퓨란-2,3,4-트라이올, C6H12O5), 테트라메틸화된 리보오스(예컨대, 2,3,4-트라이메톡시-5-(메톡시메틸)테트라하이드로퓨란, C9H18O5), 및 기타 리보오스 유도체, 예컨대 메틸화되고 가수분해된 다이리보오스 화합물; 퓨린-사카라이드 복합체, 예컨대 비제한적으로, 자일로스, 글루코스 등; 기타 퓨린 화합물, 예컨대 퓨린, 구아닌, 하이포잔틴, 잔틴, 테오브로민, 카페인, 요산, 및 아이소구아닌, 및 이들의 메틸화된 또는 데옥시 유도체; 트라이아미노피리미딘 및 기타 치환된 피리미딘, 예컨대 아미노-치환된 피리미딘; 상기 화합물의 임의의 이량체, 삼량체 또는 중합체, 반응 또는 분해 생성물, 또는 이들의 유도체; 및 이들의 조합물을 포함한다. 예를 들어, 상기 부식 억제제는, N-리보실퓨린, 2-아미노퓨린 리보사이드, 2-메톡시아데노신, N-메틸아데노신, N,N-다이메틸아데노신, 트라이메틸화된 아데노신, 트라이메틸 N-메틸아데노신, C-4'-메틸아데노신, 3-데옥시아데노신; 메틸화된 아데닌, 다이메틸화된 아데닌, N4,N4-다이메틸피리미딘-4,5,6-트라이아민, 4,5,6-트라이아미노피리미딘, 하이드록실화된 C-O-O-C 이량체, C-C 가교된 이량체, 리보오스, 메틸화된 리보오스, 테트라메틸화된 리보오스, 자일로스, 글루코스, 아이소구아닌, 트라이아미노피리미딘, 아미노-치환된 피리미딘, 및 이들의 조합물로 이루어진 군으로부터 선택되는 화학종 하나 이상을 포함할 수 있다. 다르게는, 상기 부식 억제제는, 2-메톡시아데노신, N-메틸아데노신, N,N-다이메틸아데노신, 트라이메틸화된 아데노신, 트라이메틸 N-메틸아데노신, C-4'-메틸아데노신, 3-데옥시아데노신 및 이들의 조합물로 이루어진 군으로부터 선택되는 화학종 하나 이상을 포함할 수 있다. 다른 대안으로, 상기 부식 억제제는 아데노신을 포함한다. 또다른 대안으로, 상기 부식 억제제는 아데닌을 포함한다. 또다른 대안으로, 상기 부식 억제제는 아데노신 분해 생성물 및 이의 유도체를 포함한다. 개시된 바와 같이, 상기 부식 억제제들의 조합, 예를 들어 아데닌과 퓨린의 조합도 고려된다.
하나의 실시양태에서, 하나 이상의 용매 및 하나 이상의 부식 억제제를 포함하는 세척 조성물이 기술된다. 바람직하게, 상기 용매는 물을 포함하며, 더욱 바람직하게는 탈이온수를 포함한다.
추가의 실시양태에서, 상기 세척 조성물은, 하나 이상의 부식 억제제; 하나 이상의 4급 염기; 하나 이상의 유기 아민; 하나 이상의 용매(예컨대, 물); 및 임의적으로, 하나 이상의 환원제, 하나 이상의 착화제, 하나 이상의 보충적인 부식 억제제, 하나 이상의 알코올, 하나 이상의 계면활성제 및 NR1R2R3R4OH(여기서, R1, R2, R3 및 R4는 서로 동일하거나 상이할 수 있고, H, 메틸 및 에틸 기로 이루어진 군으로부터 선택되되, 단 R1, R2, R3 및 R4 중 적어도 하나는 H여야 함)로 이루어진 군으로부터 선택되는 화학종 하나 이상을 포함하거나, 이들로 이루어지거나, 이들로 본질적으로 이루어진다. 다른 실시양태에서, 상기 하나 이상의 추가적인 화학종은, 하나 이상의 환원제, 하나 이상의 착화제, 하나 이상의 보충적인 부식 억제제, 하나 이상의 알코올, 하나 이상의 계면활성제 및 NR1R2R3R4OH(여기서, R1, R2, R3 및 R4는 서로 동일하거나 상이할 수 있고, H, 메틸 및 에틸 기로 이루어진 군으로부터 선택되되, 단 R1, R2, R3 및 R4 중 적어도 하나는 H여야 함)로 이루어진 군으로부터 선택되며, 상기 세척 조성물은 실질적으로 계면활성제가 없다.
특히 바람직한 실시양태에서, 상기 세척 조성물은, 하나 이상의 4급 염기, 하나 이상의 유기 아민, 하나 이상의 부식 억제제 및 하나 이상의 용매(예컨대, 물)를 포함하거나, 이들로 이루어지거나, 이들로 본질적으로 이루어지며, 상기 부식 억제제는, 아데노신, 아데노신 분해 생성물 및 이들의 유도체로 이루어진 군으로부터 선택된다. 또한, 상기 세척 조성물은 임의적으로, 하나 이상의 환원제, 하나 이상의 착화제, 하나 이상의 보충적인 부식 억제제, 하나 이상의 알코올, 하나 이상의 계면활성제, NR1R2R3R4OH(상기 정의된 바와 같음), 잔사 물질 또는 이들의 조합물을 포함할 수 있다.
추가의 바람직한 실시양태에서, 하나 이상의 4급 염기, 하나 이상의 유기 아민, 하나 이상의 부식 억제제 및 하나 이상의 용매를 포함하거나, 이들로 이루어지거나, 이들로 본질적으로 이루어진 조성물이 기술되며, 이때 상기 부식 억제제는 하기 성분 중 적어도 하나를 포함한다:
(1) 리보실퓨린 화합물, 퓨린의 메틸화된 또는 데옥시 유도체, 퓨린-사카라이드 복합체 및 이의 유도체, 및 이들의 조합물로 이루어진 군으로부터 선택되는 하나 이상의 화합물;
(2) 하나 이상의 4급 염기 및 하나 이상의 아민을 함유하는 수용액과 상기 성분 (1)의 하나 이상의 화합물을 접촉시킨 후 상기 화합물의 파괴/분해로부터 생성된 하나 이상의 반응 또는 분해 생성물 혼합물;
(3) N-리보실퓨린, 2-아미노퓨린 리보사이드, 2-메톡시아데노신, N-메틸아데노신, N,N-다이메틸아데노신, 트라이메틸화된 아데노신, 트라이메틸 N-메틸아데노신, C-4'-메틸아데노신, 3-데옥시아데노신, 메틸화된 아데닌, 다이메틸화된 아데닌, N4,N4-다이메틸피리미딘-4,5,6-트라이아민, 4,5,6-트라이아미노피리미딘, 하이드록실화된 C-O-O-C 이량체, C-C 가교된 이량체, 리보오스, 메틸화된 리보오스, 테트라메틸화된 리보오스, 자일로스, 글루코스, 아이소구아닌, 트라이아미노피리미딘, 아미노-치환된 피리미딘, 및 이들의 조합물로 이루어진 군으로부터 선택되는 하나 이상의 화합물;
(4) 2-메톡시아데노신, N-메틸아데노신, N,N-다이메틸아데노신, 트라이메틸화된 아데노신, 트라이메틸 N-메틸아데노신, C-4'-메틸아데노신, 3-데옥시아데노신 및 이들의 조합물로 이루어진 군으로부터 선택되는 하나 이상의 화합물;
(5) 아데노신;
(6) 아데닌;
(7) 리보실퓨린 화합물 및 이의 메틸화된 또는 데옥시 유도체, 퓨린-사카라이드 복합체 및 이의 유도체, 및 이들의 조합물로 이루어진 군으로부터 선택되는 하나 이상의 화합물; 및/또는
(8) 아데노신 및 아데노신 유도체의 분해 생성물.
또한, 상기 세척 조성물은 임의적으로, 하나 이상의 환원제, 하나 이상의 착화제, 하나 이상의 보충적인 부식 억제제, 하나 이상의 알코올, 하나 이상의 계면활성제, NR1R2R3R4OH(상기 정의된 바와 같음), 잔사 물질 또는 이들의 조합물을 포함할 수 있다.
상기 세척 조성물은, 잔사 및 오염물(예컨대, CMP-후 잔사, 에칭-후 잔사, 애싱-후 잔사 및 마이크로전자장치 구조물로부터의 오염물)을 세척하는데 특히 유용하다. 실시양태에 관계없이, 상기 세척 조성물은 바람직하게는, 상기 마이크로전자장치로부터 잔사 물질을 제거하기 전에, 하나 이상의 산화제; 불소-함유 공급원; 연마재; 분자 내에 에터-결합을 갖는 알코올; 알킬피롤리돈; 표면 상호작용 증강제, 예컨대 비제한적으로, 폴리(아크릴아마이드-코-다이알릴다이메틸암모늄 클로라이드), 폴리(아크릴아마이드), 폴리(아크릴산), 폴리(다이알릴다이메틸암모늄 클로라이드), 다이알릴다이메틸암모늄 클로라이드, 아크릴아마이드, 아세토구안아민, 및 이들의 조합물; 알칼리 금속 및/또는 알칼리 토금속 염기; 당 알코올; 부식 억제성 금속 할라이드; 및 이들의 조합물이 없다. 또한, 상기 세척 조성물은 중합체성 고체(예를 들어, 포토레지스트)가 형성되도록 고화되어서는 안된다.
또한, 상기 세척 조성물은, 상기 열거된 부식 억제제에 더하여, 보충적인 부식 억제제, 예컨대 비제한적으로, 아스코르브산, L(+)-아스코르브산, 아이소아스코르브산, 아스코르브산 유도체, 벤조트라이아졸, 시트르산, 에틸렌다이아민, 갈산, 옥살산, 탄닌산, 1,2,4-트라이아졸(TAZ), 톨릴트라이아졸, 5-페닐-벤조트라이아졸, 5-나이트로-벤조트라이아졸, 3-아미노-5-머캅토-1,2,4-트라이아졸, 1-아미노-1,2,4-트라이아졸, 하이드록시벤조트라이아졸, 2-(5-아미노-펜틸)-벤조트라이아졸, 1,2,3-트라이아졸, 1-아미노-1,2,3-트라이아졸, 1-아미노-5-메틸-1,2,3-트라이아졸, 3-아미노-1,2,4-트라이아졸, 3-머캅토-1,2,4-트라이아졸, 3-아이소프로필-1,2,4-트라이아졸, 5-페닐티올-벤조트라이아졸, 할로-벤조트라이아졸(할로 = F, Cl, Br 또는 I), 나프토트라이아졸, 2-머캅토벤즈이미다졸(MBI), 2-머캅토벤조티아졸, 4-메틸-2-페닐이미다졸, 2-머캅토티아졸린, 5-아미노테트라졸, 5-아미노-1,3,4-티아다이아졸-2-티올, 2,4-다이아미노-6-메틸-1,3,5-트라이아진, 티아졸, 트라이아진, 메틸테트라졸, 1,3-다이메틸-2-이미다졸리딘온, 1,5-펜타메틸렌테트라졸, 1-페닐-5-머캅토테트라졸, 다이아미노메틸트라이아진, 이미다졸린 티온, 머캅토벤즈이미다졸, 4-메틸-4H-1,2,4-트라이아졸-3-티올, 5-아미노-1,3,4-티아다이아졸-2-티올, 벤조티아졸, 트라이톨릴 포스페이트, 이미다졸, 인디아졸, 벤조산, 암모늄 벤조에이트, 카테콜, 피로갈롤, 레조르시놀, 하이드로퀴논, 시아누르산; 바비투르산 및 유도체, 예컨대 1,2-다이메틸바비투르산; 알파-케토산, 예컨대 피루브산; 포스폰산 및 이들의 유도체, 예컨대 1-하이드록시에틸리덴-1,1-다이포스폰산(HEDP); 프로판티올, 벤조하이드록삼산, 헤테로환형 질소 억제제, 칼륨 에틸잔테이트, 및 이들의 조합물을 포함할 수 있다. 예를 들어, 상기 세척 조성물은 페난트롤린과 아스코르브산 또는 글리신과 아스코르브산의 조합물을 포함할 수 있다. 다른 바람직한 실시양태에서, 상기 세척 조성물은 1,2,4-트라이아졸을 포함한다. 또다른 바람직한 실시양태에서, 상기 세척 조성물은 HEDP를 포함한다.
특정 조성물에 유용할 수 있는 예시적인 아민은 구조식 NR1R2R3을 갖는 화학종을 포함하며, 이때 R1, R2 및 R3은 서로 동일하거나 상이할 수 있으며, 수소, 직쇄 또는 분지쇄 C1-C6 알킬(예컨대, 메틸, 에틸, 프로필, 부틸, 펜틸, 및 헥실), 직쇄 또는 분지쇄 C1-C6 알코올(예컨대, 메탄올, 에탄올, 프로판올, 부탄올, 펜탄올, 및 헥산올), 및 구조식 R4-O-R5를 갖는 직쇄 또는 분지쇄 에터[이때, R4 및 R5는 서로 동일하거나 상이할 수 있으며, 상기 정의된 바와 같은 C1-C6 알킬로 이루어진 군으로부터 선택됨]로 이루어진 군으로부터 선택된다. 가장 바람직하게, R1, R2 및 R3 중 적어도 하나는 직쇄 또는 분지쇄 C1-C6 알코올이다. 이의 예는 비제한적으로, 알칸올아민, 예컨대 아미노에틸에탄올아민, N-메틸아미노에탄올, 아미노에톡시에탄올, 다이메틸아미노에톡시에탄올, 다이에탄올아민, N-메틸다이에탄올아민, 모노에탄올아민, 트라이에탄올아민, 1-아미노-2-프로판올, 2-아미노-1-부탄올, 아이소부탄올아민, 트라이에틸렌다이아민, 기타 C1 - C8 알칸올아민 및 이들의 조합물이다. 상기 아민이 에터 성분을 포함하는 경우, 상기 아민은 알콕시아민, 예컨대 1-메톡시-2-아미노에탄으로 간주될 수 있다. 다르게는, 또는 NR1R2R3 아민에 더하여, 상기 아민은 다작용성 아민, 예컨대 비제한적으로, 테트라에틸렌펜트아민(TEPA), 4-(2-하이드록시에틸)모폴린(HEM), N-아미노에틸피페라진(N-AEP), 에틸렌다이아민테트라아세트산(EDTA), 1,2-사이클로헥산다이아민-N,N,N'N'-테트라아세트산(CDTA), 글리신/아스코르브산, 이미노다이아세트산(IDA), 2-(하이드록시에틸)이미노다이아세트산(HIDA), 나이트릴로트라이아세트산, 티오우레아, 1,1,3,3-테트라메틸우레아, 우레아, 우레아 유도체, 요산, 글리신, 알라닌, 아르기닌, 아스파라긴, 아스파트산, 시스테인, 글루탐산, 글루타민, 히스티딘, 아이소류신, 류신, 라이신, 메티오닌, 페닐알라닌, 프롤린, 세린, 트레오닌, 트립토판, 티로신, 발린, 및 이들의 조합물일 수 있다. 바람직하게, 상기 아민은, 모노에탄올아민, 트라이에탄올아민, EDTA, CDTA, HIDA, 및 N-AEP로 이루어진 군으로부터 선택되는 화학종 하나 이상을 포함한다.
본원에서 고려되는 4급 염기는, 구조식 NR1R2R3R4OH를 갖는 화합물을 포함하며, 이때 R1, R2, R3 및 R4는 서로 동일하거나 상이할 수 있으며, 수소, 직쇄 또는 분지쇄 C1-C6 알킬(예컨대, 메틸, 에틸, 프로필, 부틸, 펜틸, 및 헥실), 및 치환되거나 비치환된 C6-C10 아릴, 예컨대, 벤질로 이루어진 군으로부터 선택된다. 시판되는 테트라알킬암모늄 하이드록사이드, 예컨대 테트라에틸암모늄 하이드록사이드(TEAH), 테트라메틸암모늄 하이드록사이드(TMAH), 테트라프로필암모늄 하이드록사이드(TPAH), 테트라부틸암모늄 하이드록사이드(TBAH), 트라이부틸메틸암모늄 하이드록사이드(TBMAH), 벤질트라이메틸암모늄 하이드록사이드(BTMAH), 및 이들의 조합물이 사용될 수 있다. 시판되지 않는 테트라알킬암모늄 하이드록사이드는, TMAH, TEAH, TPAH, TBAH, TBMAH, 및 BTMAH를 제조하는데 사용되는 공개된 합성 방법(이는 당업자에게 공지됨)과 유사한 방식으로 제조될 수 있다. 또다른 널리 사용되는 4급 암모늄 염기는 콜린 하이드록사이드이다. 4급 염기는 아니지만, 세슘 하이드록사이드 또는 루비듐 하이드록사이드 염기도 전술된 4급 염기의 존재 또는 부재 하에 사용될 수 있다. 바람직하게, 상기 4급 염기는 TMAH를 포함한다.
본원에서 고려되는 환원제는, 아스코르브산, L(+)-아스코르브산, 아이소아스코르브산, 아스코르브산 유도체, 갈산, 글라이옥살, 및 이들의 조합물로 이루어진 군으로부터 선택되는 화학종을 포함한다. 특히 바람직한 실시양태에서, 상기 세척 조성물은 아스코르브산을 포함한다. 또다른 특히 바람직한 실시양태에서, 상기 세척 조성물은 아스코르브산 및 갈산을 포함한다.
예시적인 알코올은 직쇄 또는 분지쇄 C1-C6 알코올(예컨대, 메탄올, 에탄올, 프로판올, 부탄올, 펜탄올, 및 헥산올), 다이올 및 트라이올을 포함한다. 바람직하게, 상기 알코올은 아이소프로판올(IPA)을 포함한다.
본원에 기술된 조성물에 사용되는 예시적인 계면활성제는 비제한적으로, 양쪽성 염, 양이온성 계면활성제, 음이온성 계면활성제, 플루오로알킬 계면활성제, 비이온성 계면활성제 및 이들의 조합물, 예컨대 비제한적으로, 서포닐(SURFONYL, 등록상표) 104, 트리톤(TRITON, 등록상표) CF-21, 조닐(ZONYL, 등록상표) UR, 조닐(등록상표) FSO-100, 조닐(등록상표) FSN-100, 3M 플루오라드(Fluorad) 플루오로계면활성제(즉, FC-4430 및 FC-4432), 다이옥틸설포석시네이트 염, 2,3-다이머캅토-1-프로판설폰산 염, 폴리에틸렌 글리콜, 폴리프로필렌 글리콜, 폴리에틸렌 또는 폴리프로필렌 글리콜 에터, 카복실산 염, R1 벤젠 설폰산 또는 이들의 염(이때, R1은 직쇄 또는 분지쇄 C8-C18 알킬 기임), 양친매성 플루오로중합체, 폴리에틸렌 글리콜, 폴리프로필렌 글리콜, 폴리에틸렌 또는 폴리프로필렌 글리콜 에터, C8-C18 알킬 포스페이트 에터, 카복실산 염, 알킬아릴 설폰산(이때, 알킬 기는 C8-C18 알킬임; 예컨대 도데실벤젠설폰산), 알킬아릴 포스폰산(이때, 알킬 기는 C8-C18 알킬임), 폴리아크릴레이트 중합체, 다이노닐페닐 폴리옥시에틸렌, 실리콘 또는 개질된 실리콘 중합체, 아세틸렌계 다이올 또는 개질된 아세틸렌계 다이올, 알킬암모늄 또는 개질된 알킬암모늄 염; 및 전술된 계면활성제 중 하나 이상과 나트륨 도데실 설페이트, 양쪽성이온 계면활성제, 에어로졸-OT(AOT) 및 이들의 불화된 유사체, 알킬 암모늄, 퍼플루오로폴리에터 계면활성제, 2-설포석시네이트 염, 포스페이트계 계면활성제, 황계 계면활성제, 및 아세토아세테이트계 중합체의 조합물을 포함한다. 계면활성제가 존재하는 경우, 이의 양은 농축물의 총 중량을 기준으로 약 0.001 중량% 내지 약 0.5 중량% 범위일 수 있다.
본원에서 고려되는 임의적인 착화제는 비제한적으로, 아세트산, 아세톤 옥심, 아크릴산, 아디프산, 알라닌, 아르기닌, 아스파라긴, 아스파트산, 베타인, 다이메틸 글라이옥심, 폼산, 푸마르산, 글루콘산, 글루탐산, 글루타민, 글루타르산, 글리세르산, 글리세롤, 글리콜산, 글라이옥실산, 히스티딘, 이미노다이아세트산, 아이소프탈산, 이타콘산, 락트산, 류신, 라이신, 말레산, 말레산 무수물, 말산, 말론산, 만델산, 2,4-펜탄다이온, 페닐아세트산, 페닐알라닌, 프탈산, 프롤린, 프로피온산, 파이로카테콜, 파이로멜리트산, 퀸산, 세린, 소르비톨, 석신산, 타르타르산, 테레프탈산, 트라이멜리트산, 트라이메스산, 티로신, 발린, 자일리톨, 이들의 염 및 유도체, 및 이들의 조합물을 포함한다. 바람직한 실시양태에서, 상기 착화제는 바람직하게는 히스티딘을 포함한다.
본원에 기술된 세척 조성물의 pH는 7 초과, 바람직하게는 약 10 내지 14 초과의 범위, 더욱 바람직하게는 약 12 내지 약 14의 범위이다. 바람직한 실시양태에서, 상기 세척 조성물의 pH는 13 초과이다.
특히 바람직한 실시양태에서, 상기 세척 조성물은, 테트라메틸암모늄 하이드록사이드, 하나 이상의 아민, 하나 이상의 부식 억제제, 및 물을 포함하거나, 이들로 이루어지거나, 이들로 본질적으로 이루어진다. 예를 들어, 상기 세척 조성물은, TMAH, 하나 이상의 알칸올아민, CDTA, 이미노다이아세트산 유도체, 아데닌 및 물을 포함하거나, 이들로 이루어지거나, 이들로 본질적으로 이루어질 수 있다. 또다른 특히 바람직한 실시양태에서, 상기 세척 조성물은, 테트라메틸암모늄 하이드록사이드, 하나 이상의 아민, 하나 이상의 부식 억제제, 하나 이상의 환원제, 및 물을 포함하거나, 이들로 이루어지거나, 이들로 본질적으로 이루어질 수 있다. 예를 들어, 상기 세척 조성물은, TMAH, 하나 이상의 알칸올아민, EDTA, 이미노다이아세트산 유도체, 아데닌, 하나 이상의 환원제, 및 물을 포함하거나, 이들로 이루어지거나, 이들로 본질적으로 이루어질 수 있으며, 이때 상기 하나 이상의 환원제는, 아스코르브산, 갈산, 또는 아스코르브산과 갈산의 조합물을 포함한다. 또다른 바람직한 실시양태에서, 상기 세척 조성물은, TMAH, N-AEP, 아데노신, 하나 이상의 환원제, 및 물을 포함하거나, 이들로 이루어지거나, 이들로 본질적으로 이루어질 수 있으며, 이때 상기 하나 이상의 환원제는, 아스코르브산, 갈산 또는 아스코르브산과 갈산의 조합물을 포함한다. 또다른 바람직한 실시양태에서, 상기 세척 조성물은, 테트라메틸암모늄 하이드록사이드, 모노에탄올아민, 하나 이상의 부식 억제제, 하나 이상의 착화제, 하나 이상의 보충적인 부식 억제제, 및 물을 포함하거나, 이들로 이루어지거나, 이들로 본질적으로 이루어질 수 있다. 예를 들어, 상기 세척 조성물은, TMAH, 하나 이상의 알칸올아민, 다이포스폰산 유도체, 아데닌, 히스티딘 염, 및 물을 포함하거나, 이들로 이루어지거나, 이들로 본질적으로 이루어질 수 있다.
조성의 양에 있어서, 각각의 성분들의 중량% 비는 바람직하게는 다음과 같다: 약 0.1:1 내지 약 100:1, 바람직하게는 약 10:1 내지 약 70:1, 더더욱 바람직하게는 약 25:1 내지 약 55:1, 가장 바람직하게는 약 45:1 내지 약 55:1의 4급 염기 대 부식 억제제; 및 약 0.1:1 내지 약 100:1, 바람직하게는 약 10:1 내지 약 70:1, 더더욱 바람직하게는 약 25:1 내지 약 55:1, 가장 바람직하게는 약 40:1 내지 약 50:1의 유기 아민 대 부식 억제제. 상기 부식 억제제는 상기 4급 염기의 존재 하에 분해를 겪을 수 있으며, 이들의 중량% 비는 상기 4급 염기와 상기 부식 억제제의 조합 시의 농축물에 해당한다. 당업자는, 상기 부식 억제제가 분해를 겪을 때, 이러한 중량%가 시간에 따라 변할 수 있으며, 당분야에 공지된 기술 및 수학적 원리를 사용하여 이를 모니터링할 수 있음을 이해할 것이다. 상기 4급 염기와 상기 부식 억제제의 조합 시에 상기 농축물 중의 상기 부식 억제제의 농도는 약 0.001 중량%의 내지 약 2 중량%, 바람직하게는 약 0.001 중량%의 내지 약 0.5 중량%, 가장 바람직하게는 약 0.1 중량%의 내지 약 1.1 중량%의 범위이다.
또다른 특히 바람직한 실시양태에서, 상기 세척 조성물은, 테트라메틸암모늄 하이드록사이드, 모노에탄올아민, 하나 이상의 부식 억제제, 하나 이상의 환원제, 하나 이상의 보충적인 부식 억제제, 및 물을 포함하거나, 이들로 이루어지거나, 이들로 본질적으로 이루어지며, 이때 상기 하나 이상의 부식 억제제는 아데노신, 아데노신 분해 생성물, 및 이들의 유도체를 포함한다. 조성의 양에 있어서, 각각의 성분들의 중량% 비는 바람직하게는 다음과 같다: 약 0.1:1 내지 약 100:1, 바람직하게는 약 10:1 내지 약 70:1, 더더욱 바람직하게는 약 25:1 내지 약 55:1, 가장 바람직하게는 약 45:1 내지 약 55:1의 4급 염기 대 부식 억제제; 약 0.1:1 내지 약 150:1, 바람직하게는 약 50:1 내지 약 120:1, 더더욱 바람직하게는 약 80:1 내지 약 100:1, 가장 바람직하게는 약 85:1 내지 약 95:1의 유기 아민 대 부식 억제제; 약 1:1 내지 약 30:1, 바람직하게는 약 5:1 내지 약 15:1의 환원제 대 부식 억제제; 및 약 1:1 내지 약 50:1, 바람직하게는 약 20:1 내지 약 30:1의 보충적인 부식 억제제 대 부식 억제제. 예를 들어, 상기 하나 이상의 환원제는 아스코르브산을 포함할 수 있고, 상기 하나 이상의 금속 억제제는 1,2,4-트라이아졸을 포함할 수 있다.
상기 성분들의 중량% 비의 범위는 상기 조성물의 모든 가능한 농축되거나 희석된 실시양태를 포괄할 것이다. 이러한 목적을 달성하기 위해, 하나의 실시양태에서, 세척 용액으로서 사용하기 위해 희석될 수 있는 농축된 세척 조성물이 제공된다. 농축된 조성물 또는 "농축물"은 유리하게는 사용자(예컨대, CMP 공정 엔지니어)가 사용시에 목적하는 강도 및 pH로 이러한 농축물을 희석할 수 있게 한다. 농축된 세척 조성물의 희석은 약 1:1 내지 약 2500:1, 바람직하게는 약 5:1 내지 약 200:1, 가장 바람직하게는 약 50:1 범위이며, 이때 상기 세척 조성물은 장비에서 또는 장비 직전에 용매(예컨대, 탈이온수)로 희석된다. 당업자는, 본원에 개시된 성분들의 중량% 비의 범위가, 희석된 후에도 변화없이 유지되어야 함을 이해할 것이다.
본원에 기술된 조성물은, 예를 들어 비제한적으로, 에칭-후 잔사 제거, 애싱-후 잔사 제거 표면 제조, 플레이팅-후 세척 및 CMP-후 잔사 제거 용도에서의 유용성을 가질 수 있다. 또한, 본원에 기술된 세척 조성물은 다른 금속(예컨대, 구리-함유) 생성물, 예를 들어 비제한적으로, 장식성 금속, 금속 와이어 결합, 인쇄 회로 기판, 및 금속 또는 금속 합금을 사용하는 기타 전자장치 패키징의 세척 및 보호에 유용할 수 있다.
또다른 바람직한 실시양태에서, 본원에 기술된 세척 조성물은 또한 잔사 및/또는 오염물을 포함한다. 상기 잔사 및 오염물은 상기 조성물에 용해되고/되거나 현탁될 수 있다. 바람직하게, 상기 잔사는 CMP-후 잔사, 에칭-후 잔사, 애싱-후 잔사, 오염물 또는 이들의 조합물을 포함한다.
상기 세척 조성물은, 각각의 성분들의 단순한 첨가 및 균질 조건으로의 혼합에 의해 용이하게 배합된다. 또한, 상기 조성물은, 단일-패키지 조성물, 또는 사용시에 또는 사용 직전에 혼합되는 다중-파트 조성물로서 용이하게 배합될 수 있다(예를 들어, 상기 다중-파트 조성물의 개별적인 파트들을 장비에서 또는 장비 상류의 저장 탱크 내에서 혼합할 수 있음). 각각의 성분들의 농도는 여러 특정 조성물에서 다양하게 변할 수 있으며(즉, 더 희석되거나 더 농축될 수 있으며), 본원에 기술된 조성물은 다양하게 및 대안적으로, 본원에 개시된 것과 일치하는 성분들의 임의 조합물을 포함하거나, 이들로 이루어지거나, 이들로 본질적으로 이루어질 수 있음을 이해할 것이다.
본원에 기술된 세척 조성물의 다른 실시양태에서, 상기 조성물은 또한 암모늄 하이드록사이드 또는 NR1R2R3R4OH를 포함하며, 이때 R1, R2, R3 및 R4는 서로 동일하거나 상이할 수 있으며, H, 메틸 및 에틸 기로 이루어진 군으로부터 선택되되, R1, R2, R3 및 R4 중 적어도 하나는 H여야 한다. 바람직하게, 이러한 추가적인 하이드록사이드는 사용시에 상기 세척 조성물에 첨가된다.
따라서, 본 발명의 또다른 양태는, 하나 이상의 용기, 및 본원에 기술된 조성물을 형성하기 적합한 하나 이상의 성분을 포함하는 키트에 관한 것이다. 상기 키는, 하나 이상의 용기; 하나 이상의 부식 억제제; 하나 이상의 4급 염기; 하나 이상의 알칸올아민; 및 임의적으로, 팹(fab)에서 또는 사용시에, 추가적인 용매[예컨대, 물 및/또는 NR1R2R3R4OH(상기 정의된 바와 같음)]와 조합되기 위한, 하나 이상의 환원제, 하나 이상의 착화제, 하나 이상의 보충적인 부식 억제제, 하나 이상의 알코올, 및 하나 이상의 계면활성제로 이루어진 군으로부터 선택되는 하나 이상의 추가적인 화학종을 포함할 수 있다. 다르게는, 상기 키트는 제 1 용기 내에 하나 이상의 부식 억제제를 포함하고, 제 2 용기 내에 하나 이상의 4급 염기; 하나 이상의 알칸올아민; 및 임의적으로, 팹에서 또는 사용시에, 서로 및 추가적인 용매[예컨대, 물 및/또는 NR1R2R3R4OH(상기 정의된 바와 같음)]와 조합되기 위한, 하나 이상의 환원제, 하나 이상의 착화제, 하나 이상의 보충적인 부식 억제제, 하나 이상의 알코올, 및 하나 이상의 계면활성제로 이루어진 군으로부터 선택되는 하나 이상의 추가적인 화학종을 포함할 수 있다. 상기 키트의 용기는 상기 제거 조성물의 저장 및 선적에 적합해야 하며, 예를 들어 나우팩(NOWPak, 등록상표) 용기(미국 코네티컷주 댄버리 소재의 어드밴스드 테크놀로지 머티리얼즈 인코포레이티드(Advanced Technology Materials, Inc))이다.
상기 제거 조성물을 함유하는 하나 이상의 용기는 바람직하게는, 상기 하나 이상의 용기 내에서 성분들을 블렌딩 및 분배와 유체 연통시키기 위한 수단을 포함한다. 예를 들어, 나우팩(등록상표) 용기의 경우, 상기 하나 이상의 용기의 라이너의 외측에 기체 압력이 적용되어 상기 라이너의 내용물의 적어도 일부를 배출시키고 블렌딩 및 분배와 유체연통시킬 수 있다. 다르게는, 통상적인 가압 용기의 헤드 공간에 공기 압력이 적용될 수 있으며, 펌프를 사용하여 유체 연통시킬 수 있다. 또한, 이러한 시스템은 바람직하게는, 블렌딩된 제거 조성물을 공정 장비에 분배하기 위한 분배 포트를 포함한다.
바람직하게는, 실질적으로 화학적으로 비활성이고, 불순물이 없고, 가요성이며, 탄성인 중합체 필름 물질, 예를 들어 고밀도 폴리에틸렌이 상기 하나 이상의 용기의 라이너를 제조하는데 사용된다. 바람직한 라이너 물질은 동시-압출 또는 장벽 층 없이, 또한 임의의 안료, UV 억제제, 또는 상기 라이너에 배치되는 성분들의 순도 요건에 부정적인 영향을 줄 수 있는 가공처리제(processing agent) 없이 가공된다. 바람직한 라이너 물질의 목록은, 미처리(virgin)(무-첨가제) 폴리에틸렌, 미처리 폴리테트라플루오로에틸렌(PTFE), 폴리프로필렌, 폴리우레탄, 폴리비닐리덴 클로라이드, 폴리비닐클로라이드, 폴리아세탈, 폴리스타이렌, 폴리아크릴로나이트릴, 폴리부틸렌 등을 포함하는 필름을 포함한다. 이러한 라이너 물질의 바람직한 두께는 약 5 mil(0.005 in) 내지 약 30 mil(0.030 in) 범위이며, 이러한 두께는 예를 들어 20 mil(0.020 in)이다.
상기 키트를 위한 용기의 경우, 하기 특허 및 특허 출원 각각의 전체 내용을 본원에 참고로 인용한다: 미국 특허 제 7,188,644 호["APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS"]; 미국 특허 제 6,698,619 호["RETURNABLE AND REUSABLE, BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM"]; 2007년 5월 9일에 존 이.큐. 휴스(John E.Q. Hughes)의 이름으로 출원된 미국 특허 출원 제 60/916,966 호["SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DIS트라이BUTION"]; 및 2008년 5월 9일에 어드밴스드 테크놀로지 머티리얼즈 인코포레이티드의 이름으로 출원된 국제 특허 출원 제 PCT/US08/63276 호["SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION"].
마이크로전자장치 제조 조작에 적용되는 경우, 본원에 기술된 세척 조성물은 마이크로전자장치의 표면으로부터 CMP-후 잔사 및/또는 오염물을 세척하는데 유용하게 사용된다. 상기 세척 조성물은 저-k 유전 물질을 손상시키지 않거나, 상기 장치 표면 상의 금속 상호접속부를 부식시키지 않는다. 바람직하게, 상기 세척 조성물은, 잔사의 제거 전에 상기 장치 상에 존재하는 잔사의 85% 이상, 더욱 바람직하게는 90% 이상, 더더욱 바람직하게는 95% 이상, 가장 바람직하게는 99% 이상을 제거한다.
CMP-후 잔사 및 오염물 세척 용도에서, 상기 세척 조성물은 각종 다양한 통상적인 세척 장비, 예를 들어 메가소닉(megasonic) 및 브러시 스크러빙, 예컨대 비제한적으로, 버테크(Verteq) 단일 웨이퍼 메가소닉 골드핑거(Goldfinger), 온택(OnTrak) 시스템 DDS(양면 스크러버), SEZ 또는 다른 단일 웨이퍼 분무 세정(어플라이드 머티리얼즈 미라-메사(Applied Materials Mirra-Mesa, 상표명)/리플렉션(Reflexion, 상표명)/리플렉션 LK(상표명) 및 메가소닉(Megasonic) 배취 습식 벤치 시스템과 함께 사용될 수 있다.
본원에 기술된 조성물을 상부에 잔사 또는 오염물을 갖는 마이크로전자장치로부터 CMP 후 잔사, 에칭-후 잔사, 애싱-후 잔사 및/또는 오염물을 세척하는데 사용하는 경우, 상기 세척 조성물은 전형적으로, 약 5초 내지 약 10분, 바람직하게는 1 초 내지 20분, 바람직하게는 약 15초 내지 약 5분의 시간 동안 약 20℃ 내지 약 90℃, 바람직하게는 약 20℃ 내지 약 50℃ 범위의 온도로 상기 장치와 접촉된다. 이러한 접촉 시간 및 온도는 예시적인 것이며, 상기 방법의 광범위한 실시시에, 상기 장치로부터 CMP-후 잔사/오염물을 적어도 부분적으로 세척하기에 효과적인 다른 적합한 시간 및 온도 조건이 사용될 수 있다. "적어도 부분적인 세척" 및 "실질적인 제거"는 둘 다, 잔사 제거 전에 상기 장치 상에 존재하는 잔사의 85% 이상, 더욱 바람직하게는 90% 이상, 더더욱 바람직하게는 95% 이상, 가장 바람직하게는 99% 이상의 제거에 해당한다.
목적하는 세척 작용을 달성한 후, 상기 세척 조성물은 이 조성물이 이미 적용된 상기 장치로부터 용이하게 제거될 수 있으며, 이렇게 하는 것이, 본원에 기술된 조성물의 주어진 최종 용도에 바람직하고 효과적일 수 있다. 바람직하게, 세정 용액은 탈이온수를 포함한다. 이후, 질소 또는 스핀-건조 사이클을 사용하여 상기 장치를 건조할 수 있다.
본 발명의 또다른 양태는, 본원에 기술된 방법에 따라 제조된 개선된 마이크로전자장치 및 상기 마이크로전자장치를 함유하는 제품에 관한 것이다.
본 발명의 또다른 양태는, 재순환되는 세척 조성물에 관한 것으로서, 상기 세척 조성물은, 잔사 및/또는 오염물 부하량이 세척 조성물이 수용할 수 있는 최대량(이는, 당업자가 용이하게 결정할 수 있음)에 도달할 때까지 재순환될 수 있다.
본 발명의 또다른 양태는, 마이크로전자장치를 포함하는 물품을 제조하는 방법에 관한 것이며, 상기 방법은, 상부에 CMP-후 잔사 및 오염물을 갖는 마이크로전자장치로부터의 상기 잔사 및 오염물을 세척하기에 충분한 시간 동안 상기 마이크로전자장치를 세척 조성물과 접촉시키는 단계, 및 본원에 기술된 세척 조성물을 사용하여 상기 마이크로전자장치를 상기 물품에 혼입시키는 단계를 포함한다.
본 발명의 또다른 양태에서, 상부에 CMP-후 잔사 및 오염물을 갖는 마이크로전자장치로부터 상기 잔사 및 오염물을 제거하는 방법이 기술되며, 상기 방법은,
상기 마이크로전자장치를 CMP 슬러리로 연마하는 단계;
상기 마이크로전자장치를, 상기 마이크로전자장치로부터 CMP-후 잔사 및 오염물을 제거하기에 충분한 시간 동안, 하나 이상의 부식 억제제를 포함하는 세척 조성물과 접촉시켜 CMP-후 잔사-함유 조성물을 형성하는 단계; 및
연속적으로, 상기 마이크로전자장치를, 상기 마이크로전자장치의 실질적인 세척을 수행하기에 충분한 시간 동안 상기 CMP-후 잔사-함유 조성물과 접촉시키는 단계
를 포함하며, 상기 하나 이상의 부식 억제제는, 리보실퓨린 및 이의 메틸화된 또는 데옥시 유도체; 아데노신 및 아데노신 유도체의 분해 생성물; 퓨린-사카라이드 복합체; 기타 퓨린 화합물 및 이의 메틸화된 또는 데옥시 유도체; 및 이들의 조합물로 이루어진 군으로부터 선택되는 화학종을 포함한다.
본 발명의 또다른 양태는, 세척 조성물; 마이크로전자장치 웨이퍼; 및 잔사, 오염물 및 이들의 조합물로 이루어진 군으로부터 선택되는 물질을 포함하는 물품의 제조 방법에 관한 것이며, 상기 세척 조성물은 하나 이상의 용매, 하나 이상의 부식 억제제, 하나 이상의 아민, 및 하나 이상의 4급 염기를 포함하고, 상기 하나 이상의 부식 억제제는, 리보실퓨린 및 이의 메틸화된 또는 데옥시 유도체; 아데노신 및 아데노신 유도체의 분해 생성물; 퓨린-사카라이드 복합체; 기타 퓨린 화합물 및 이의 메틸화된 또는 데옥시 유도체; 및 이들의 조합물로 이루어진 군으로부터 선택되는 화학종을 포함하고, 상기 잔사는 CMP-후 잔사, 에칭-후 잔사, 애싱-후 잔사 중 적어도 하나를 포함한다.
본 발명의 특징 및 이점은 하기 논의되는 예시적인 실시예에 의해 더 충분히 제시된다.
[실시예]
실시예 1
5 중량%의 TMAH, 4.5 중량%의 MEA, 2.4 중량%의 아데노신 및 87.1 중량%의 물을 포함하는 조성물을 80℃에서 에이징하고, 질량 분광계를 사용하여, 아데노신 관련 물질이 감소되거나 사라지는지, 및 아데노신 관련 물질이 동일반응계에서 생성되는지를 결정하였다. 이론으로 구속하고자 하는 것은 아니지만, 아데노신은 분해되어 아데닌 및 리보오스를 형성하고, 상기 아데노신, 아데닌 및 리보오스는 분해 과정 동안 메틸화되는 것으로 추정된다. 상기 아데닌 및 리보오스는 추가로 분해를 겪을 수 있다. 또한, 이량체가 형성될 수도 있다.
실시예 2
5 중량%의 TMAH, 4.5 중량%의 MEA 및 하기 표 1에 제시된 중량%의 아데노신 및 물을 포함하는 세척 조성물 농축물을 즉석 사용 용도로 제조하였다. 상기 농축물을 물을 사용하여 30:1(물:농축물)로 희석하고, 각각의 조성물에 25℃에서 블랑켓화된 ECD 구리 웨이퍼를 정적 침지함으로써 구리 부식 속도를 결정하였다. 구리 부식 결과를 하기 표 1에 제시하며, 부식 속도는 도 1에 도시한다.
[표 1]
Figure 112011037412979-pct00002

실시예 3
5 중량%의 TMAH, 4.5 중량%의 MEA, 2.4 중량%의 아데노신, 및 88.1 중량%의 물을 포함하는 세척 조성물 농축물(조성물 P)을 제조하였다. 상기 농축물을 55℃로 17일 동안 에이징하고, 주기적으로 샘플을 인출하여 상기 조성물 중의 아데노신의 농도를 모니터링하고, 이 샘플과 접촉 시의 구리 부식 속도를 결정하였다. 부식 속도는, 각각의 인출한 샘플에 25℃에서 블랑켓화된 ECD 구리 웨이퍼를 정적 침지함으로써 결정하였다. 상기 인출한 샘플 중의 아데노신 농도를, 고성능 액체 크로마토그래피(HPLC)를 사용하여 결정하였다. 아데노신 농도(중량%) 및 구리 부식 속도는 도 2에 도시한다.
실시예 4
실시예 1로부터의 세척 조성물 농축물 F, 및 농축물 G(9 중량%의 MEA, 5 중량%의 TMAH, 3.5 중량%의 아스코르브산, 및 82.50 중량%의 물)를 제조하였다. 블랑켓화된 ECD 구리 웨이퍼를 다음과 같이 순차적으로 접촉시켰다: 15초 화학처리, 브러시 박스 내에서 탈이온수를 사용하여 15초 세정, 60초 화학처리, 및 브러시 박스 내에서 탈이온수를 사용하여 15초 세정. 사용된 화학처리는, (a) 조성물 H(농축물 F의 60:1 희석물), (b) 조성물 I[에이징된 농축물 F(80℃로 밤새도록 에이징)의 60:1 희석물], 또는 (c) 조성물 J(농축물 G의 60:1 희석물)이었다. 이들 각각은 웨이퍼 상에서 대략적으로 동일한 결함 카운트(이는, 원자 간력 현미경(AFM)을 사용하여 결정됨)를 제공하였다. 조성물의 에이징은 상기 결함 카운트에 별다른 영향을 미치지 않았다. 즉, 조성물 H 및 J를 이용하여 순차적인 접촉 후의 조도(roughness)(헤이즈 수)를 비교하면, 조성물 H를 이용한 경우의 헤이즈 수가 조성물 J를 이용한 경우에 비해 거의 50% 미만인 것으로 결정되었다.
실시예 5
조성물 H, 조성물 K(농축물 F의 30:1 희석물), 조성물 I, 및 조성물 L[에이징된 농축물 F(80℃로 밤새도록 에이징)의 30:1 희석물]에 25℃에서 5분 동안 블랑켓화된 블랙 다이아몬드(BLACK DIAMOND, BD) 웨이퍼를 정적 침지하고, 이어서 25℃에서 2분 동안 탈이온수로 세정하고, 상기 BD의 유전 상수를 결정하였다. 상기 BD 웨이퍼의 유전 상수의 실질적인 변화는 관찰되지 않았다.
조성물 H, K, I 및 L에 25℃에서 5분 동안 블랑켓화된 ECD 구리 웨이퍼를 정적 또는 동적 침지하고(3000 rpm로 교반하면서), 이어서 25℃에서 2분 동안 탈이온수로 세정하고, 구리 부식 속도를 결정하였다. 조성물 H(동적) 및 조성물 I(정적)의 경우에 가장 낮은 부식 속도가 관찰되었다.
조성물 H, K, I 및 L에 25℃에서 5분 동안 세마테크(Sematech) 854 웨이퍼를 동적 침지하고(3000 rpm로 교반하면서), 이어서 25℃에서 2분 동안 탈이온수로 세정하고, AFM을 사용하여 세척 효율을 결정하였다. 모든 조성물은 실질적으로 동일한 세척 효율을 나타냈다.
실시예 6
하기 조성물을 제조하였다:
조성물 M: 5 중량%의 TMAH, 2.79 중량%의 TEPA, 0.1 중량%의 아데노신, 92.11 중량%의 물;
조성물 N: 5 중량%의 TMAH, 3.17 중량%의 N-AEP, 0.1 중량%의 아데노신, 91.73 중량%의 물;
조성물 O: 5 중량%의 TMAH, 9.70 중량%의 HEM, 0.1 중량%의 아데노신, 86.20 중량%의 물.
조성물 F, M, N 및 O를 물을 사용하여 30:1로 희석하고, 각각의 희석된 조성물에 25℃에서 300초 동안 블랑켓화된 ECD 구리 웨이퍼를 동적 침지하고(50 rpm), 이어서 25℃에서 120초 동안 탈이온수로 세정하였다. 각각에 대해 구리 부식 속도를 결정하였으며, 이를 하기 표 2에 제시한다.
[표 2]
Figure 112011037412979-pct00003
본원에 개시된 세척 조성물에 MEA 이외의 다른 아민이 사용되면, 유사한 구리 부식 속도 결과를 나타냄을 알 수 있다.
실시예 7
본원에 개시된 세척 조성물에 희생 산화방지제를 첨가하면 아데노신 부식 억제제의 분해를 늦추고 상기 세척 조성물의 저장 수명을 연장시킬 수 있는지를 결정하였다.
조성물 P를, 0.1 중량%의 리포산 또는 아스코르브산을 포함(물 존재량의 감소 수반)하도록 개질시키고, 55℃로 4일 동안 유지하였다. 실험이 끝날 무렵의 아데노신 농도는 0.1 중량% 미만이었으며, 이는, 아데노신이 여전히 분해를 겪고 있음을 나타낸다.
동일한 몰 량의 아데노신 및 리포산 또는 아스코르브산을 갖도록 배합된 조성물을 사용하여 제 2 실험을 수행하였다. 이의 조성은 대략적으로 조성물 P의 조성과 같았다. 리포산 및 아스코르브산을 포함하는 조성물을 80℃로 0, 2, 4, 8, 16 및 24시간 동안 에이징하였다. HPLC 결과에 따르면, 리포산 및 아스코르브산은 시간에 따른 분해 속도를 늦추었다.
본 발명이 예시적인 실시양태 및 특징을 참고하여 본원에서 다양하게 개시되었지만, 상기 기술된 실시양태 및 특징은 본 발명을 제한하기 위한 것이 아니며, 당업자가 본원의 개시내용에 기초하여 다른 변화, 변형 및 다른 실시양태를 생각해낼 수 있음을 이해할 것이다. 따라서, 본 발명은, 첨부된 특허청구범위의 진의 및 범주 내의 모든 변화, 변형 및 대안적인 실시양태를 포괄하는 것으로 광범위하게 해석되어야 한다.

Claims (21)

  1. 하나 이상의 용매, 하나 이상의 부식 억제제, 하나 이상의 유기 아민, 및 하나 이상의 4급 염기를 포함하는 세척 조성물로서,
    상기 하나 이상의 부식 억제제가, N-리보실퓨린, 구아노신, 2-아미노퓨린 리보사이드, 2-메톡시아데노신, N-메틸아데노신, N,N-다이메틸아데노신, 트라이메틸화된 아데노신, 트라이메틸 N-메틸아데노신, C-4'-메틸아데노신, 3-데옥시아데노신, 메틸화된 아데닌, 다이메틸화된 아데닌, N4,N4-다이메틸피리미딘-4,5,6-트라이아민, 4,5,6-트라이아미노피리미딘, 메틸화된 리보오스, 테트라메틸화된 리보오스, 트라이아미노피리미딘, 아미노-치환된 피리미딘, 및 이들의 조합물로 이루어진 군으로부터 선택되는 화학종을 포함하는, 세척 조성물.
  2. 제 1 항에 있어서,
    pH가 12 내지 14의 범위인, 세척 조성물.
  3. 제 1 항에 있어서,
    상기 하나 이상의 부식 억제제가, 아데노신 분해 생성물 및 이들의 유도체로 이루어진 군으로부터 선택되는 화학종을 포함하는, 세척 조성물.
  4. 제 1 항에 있어서,
    상기 용매가 물을 포함하는, 세척 조성물.
  5. 제 1 항에 있어서,
    상기 조성물이 잔사 및 오염물을 추가로 포함하되, 상기 잔사가 CMP(화학적 기계적 연마)-후 잔사, 에칭-후 잔사, 애싱(ashing)-후 잔사, 또는 이들의 조합물을 포함하는, 세척 조성물.
  6. 제 1 항에 있어서,
    상기 조성물이 5:1 내지 200:1 범위로 희석되고, 상기 비율은 중량 비율인, 세척 조성물.
  7. [청구항 7은(는) 설정등록료 납부시 포기되었습니다.]
    제 1 항에 있어서,
    상기 조성물은, 산화제; 불소-함유(fluoride-containing) 공급원; 연마재; 분자 내에 에터-결합을 갖는 알코올; 알킬피롤리돈; 폴리(아크릴아마이드-코-다이알릴다이메틸암모늄 클로라이드); 폴리(아크릴아마이드); 폴리(아크릴산); 폴리(다이알릴다이메틸암모늄 클로라이드); 다이알릴다이메틸암모늄 클로라이드; 아크릴아마이드; 아세토구안아민; 알칼리 금속 및 알칼리 토금속 염기; 당 알코올; 부식 억제성 금속 할라이드; 및 이들의 조합물 중 적어도 하나를 0.1중량% 미만의 비율로 함유하며, 상기 조성물은 중합체성 고체가 형성되도록 고화되지 않는, 세척 조성물.
  8. 제 1 항에 있어서,
    상기 유기 아민이, 아미노에틸에탄올아민, N-메틸아미노에탄올, 아미노에톡시에탄올, 다이메틸아미노에톡시에탄올, 다이에탄올아민, N-메틸다이에탄올아민, 모노에탄올아민, 트라이에탄올아민, 1-아미노-2-프로판올, 2-아미노-1-부탄올, 아이소부탄올아민, 트라이에틸렌다이아민, 기타 C1-C8 알칸올아민, 테트라에틸렌펜트아민(TEPA), 4-(2-하이드록시에틸)모폴린(HEM), N-아미노에틸피페라진(N-AEP), 에틸렌다이아민테트라아세트산(EDTA), 1,2-사이클로헥산다이아민-N,N,N'N'-테트라아세트산(CDTA), 글리신/아스코르브산, 이미노다이아세트산(IDA), 2-(하이드록시에틸)이미노다이아세트산(HIDA), 나이트릴로트라이아세트산, 티오우레아, 1,1,3,3-테트라메틸우레아, 우레아, 우레아 유도체, 요산, 글리신, 알라닌, 아르기닌, 아스파라긴, 아스파트산, 시스테인, 글루탐산, 글루타민, 히스티딘, 아이소류신, 류신, 라이신, 메티오닌, 페닐알라닌, 프롤린, 세린, 트레오닌, 트립토판, 티로신, 발린, 1-메톡시-2-아미노에탄, 및 이들의 조합물로 이루어진 군으로부터 선택되는 화학종 하나 이상을 포함하고,
    상기 하나 이상의 4급 염기가 구조식 NR1R2R3R4OH를 갖되, 여기서 R1, R2, R3 및 R4는 서로 동일하거나 상이할 수 있고, 수소, 직쇄 C1-C6 알킬, 분지쇄 C1-C6 알킬, 치환된 C6-C10 아릴, 및 비치환된 C6-C10 아릴로 이루어진 군으로부터 선택되는, 세척 조성물.
  9. [청구항 9은(는) 설정등록료 납부시 포기되었습니다.]
    제 1 항에 있어서,
    상기 조성물이 추가로, 하나 이상의 환원제, 하나 이상의 착화제, 하나 이상의 보충적인 부식 억제제, 하나 이상의 알코올, 하나 이상의 계면활성제 및 NR1R2R3R4OH로 이루어진 군으로부터 선택되는 추가적인 성분 하나 이상을 포함하며, 이때 R1, R2, R3 및 R4는 서로 동일하거나 상이할 수 있고, H, 메틸 및 에틸 기로 이루어진 군으로부터 선택되되, R1, R2, R3 및 R4 중 적어도 하나는 H인, 세척 조성물.
  10. 제 1 항에 있어서,
    상기 조성물이 하나 이상의 환원제를 추가로 포함하는, 세척 조성물.
  11. 제 1 항에 있어서,
    상기 조성물이 하나 이상의 착화제 및 하나 이상의 보충적인 부식 억제제를 추가로 포함하는, 세척 조성물.
  12. [청구항 12은(는) 설정등록료 납부시 포기되었습니다.]
    제 10 항에 있어서,
    상기 하나 이상의 환원제가, 아스코르브산, L(+)-아스코르브산, 아이소아스코르브산, 아스코르브산 유도체, 갈산, 글라이옥살, 및 이들의 조합물로 이루어진 군으로부터 선택되는 화학종을 포함하는, 세척 조성물.
  13. [청구항 13은(는) 설정등록료 납부시 포기되었습니다.]
    제 11 항에 있어서,
    상기 보충적인 부식 억제제가, 아스코르브산, L(+)-아스코르브산, 아이소아스코르브산, 아스코르브산 유도체, 벤조트라이아졸, 시트르산, 에틸렌다이아민, 갈산, 옥살산, 탄닌산, 1,2,4-트라이아졸(TAZ), 톨릴트라이아졸, 5-페닐-벤조트라이아졸, 5-나이트로-벤조트라이아졸, 3-아미노-5-머캅토-1,2,4-트라이아졸, 1-아미노-1,2,4-트라이아졸, 하이드록시벤조트라이아졸, 2-(5-아미노-펜틸)-벤조트라이아졸, 1,2,3-트라이아졸, 1-아미노-1,2,3-트라이아졸, 1-아미노-5-메틸-1,2,3-트라이아졸, 3-아미노-1,2,4-트라이아졸, 3-머캅토-1,2,4-트라이아졸, 3-아이소프로필-1,2,4-트라이아졸, 5-페닐티올-벤조트라이아졸, 할로-벤조트라이아졸(할로 = F, Cl, Br, I), 나프토트라이아졸, 2-머캅토벤즈이미다졸(MBI), 2-머캅토벤조티아졸, 4-메틸-2-페닐이미다졸, 2-머캅토티아졸린, 5-아미노테트라졸, 5-아미노-1,3,4-티아다이아졸-2-티올, 2,4-다이아미노-6-메틸-1,3,5-트라이아진, 티아졸, 트라이아진, 메틸테트라졸, 1,3-다이메틸-2-이미다졸리딘온, 1,5-펜타메틸렌테트라졸, 1-페닐-5-머캅토테트라졸, 다이아미노메틸트라이아진, 이미다졸린 티온, 머캅토벤즈이미다졸, 4-메틸-4H-1,2,4-트라이아졸-3-티올, 5-아미노-1,3,4-티아다이아졸-2-티올, 벤조티아졸, 트라이톨릴 포스페이트, 이미다졸, 인디아졸, 벤조산, 암모늄 벤조에이트, 카테콜, 피로갈롤, 레조르시놀, 하이드로퀴논, 시아누르산, 바비투르산, 1,2-다이메틸바비투르산, 피루브산, 포스폰산 및 이의 유도체, 1-하이드록시에틸리덴-1,1-다이포스폰산(HEDP), 프로판티올, 벤조하이드록삼산, 헤테로환형 질소 억제제, 칼륨 에틸잔테이트, 및 이들의 조합물로 이루어진 군으로부터 선택되는 화학종을 포함하는, 세척 조성물.
  14. [청구항 14은(는) 설정등록료 납부시 포기되었습니다.]
    제 11 항에 있어서,
    상기 착화제가, 아세트산, 아세톤 옥심, 아크릴산, 아디프산, 알라닌, 아르기닌, 아스파라긴, 아스파트산, 베타인, 다이메틸 글라이옥심, 폼산, 푸마르산, 글루콘산, 글루탐산, 글루타민, 글루타르산, 글리세르산, 글리세롤, 글리콜산, 글라이옥실산, 히스티딘, 이미노다이아세트산, 아이소프탈산, 이타콘산, 락트산, 류신, 라이신, 말레산, 말레산 무수물, 말산, 말론산, 만델산, 2,4-펜탄다이온, 페닐아세트산, 페닐알라닌, 프탈산, 프롤린, 프로피온산, 파이로카테콜, 파이로멜리트산, 퀸산, 세린, 소르비톨, 석신산, 타르타르산, 테레프탈산, 트라이멜리트산, 트라이메스산, 티로신, 발린, 자일리톨, 이들의 염 및 유도체, 및 이들의 조합물로 이루어진 군으로부터 선택되는 화학종을 포함하는, 세척 조성물.
  15. 하나 이상의 용기 내에, 세척 조성물을 형성하기 위한 하나 이상의 하기 시약을 포함하는 키트로서,
    상기 세척 조성물이 하나 이상의 용매, 하나 이상의 부식 억제제, 하나 이상의 아민, 및 하나 이상의 4급 염기를 포함하고,
    상기 하나 이상의 부식 억제제가, N-리보실퓨린, 구아노신, 2-아미노퓨린 리보사이드, 2-메톡시아데노신, N-메틸아데노신, N,N-다이메틸아데노신, 트라이메틸화된 아데노신, 트라이메틸 N-메틸아데노신, C-4'-메틸아데노신, 3-데옥시아데노신, 메틸화된 아데닌, 다이메틸화된 아데닌, N4,N4-다이메틸피리미딘-4,5,6-트라이아민, 4,5,6-트라이아미노피리미딘, 메틸화된 리보오스, 테트라메틸화된 리보오스, 트라이아미노피리미딘, 아미노-치환된 피리미딘, 및 이들의 조합물로 이루어진 군으로부터 선택되는 화학종을 포함하는, 키트.
  16. 상부에 잔사 및 오염물을 갖는 마이크로전자장치로부터 상기 잔사 및 오염물을 제거하는 방법으로서,
    상기 방법이, 상기 마이크로전자장치로부터 잔사 및 오염물을 적어도 부분적으로 세척하기 위한 시간 동안 상기 마이크로전자장치를 세척 조성물과 접촉시키는 단계를 포함하며,
    상기 세척 조성물이 하나 이상의 용매, 하나 이상의 부식 억제제, 하나 이상의 아민 및 하나 이상의 4급 염기를 포함하고,
    상기 하나 이상의 부식 억제제가, N-리보실퓨린, 구아노신, 2-아미노퓨린 리보사이드, 2-메톡시아데노신, N-메틸아데노신, N,N-다이메틸아데노신, 트라이메틸화된 아데노신, 트라이메틸 N-메틸아데노신, C-4'-메틸아데노신, 3-데옥시아데노신, 메틸화된 아데닌, 다이메틸화된 아데닌, N4,N4-다이메틸피리미딘-4,5,6-트라이아민, 4,5,6-트라이아미노피리미딘, 메틸화된 리보오스, 테트라메틸화된 리보오스, 트라이아미노피리미딘, 아미노-치환된 피리미딘, 및 이들의 조합물로 이루어진 군으로부터 선택되는 화학종을 포함하는, 방법.
  17. [청구항 17은(는) 설정등록료 납부시 포기되었습니다.]
    제 16 항에 있어서,
    상기 잔사가 에칭-후 잔사, 애싱(ashing)-후 잔사, 화학적 기계적 연마 또는 평탄화(CMP)-후 잔사, 또는 이들의 조합을 포함하는, 방법.
  18. [청구항 18은(는) 설정등록료 납부시 포기되었습니다.]
    제 16 항에 있어서,
    상기 방법이, 사용시 또는 사용 전에 상기 세척 조성물을 용매로 희석하는 단계를 추가로 포함하되, 상기 용매가 물을 포함하는, 방법.
  19. 제 1 항에 있어서,
    상기 하나 이상의 4급 염기가 테트라에틸암모늄 하이드록사이드(TEAH), 테트라메틸암모늄 하이드록사이드(TMAH), 테트라프로필암모늄 하이드록사이드(TPAH), 테트라부틸암모늄 하이드록사이드(TBAH), 트라이부틸메틸암모늄 하이드록사이드(TBMAH), 벤질트라이메틸암모늄 하이드록사이드(BTMAH), 콜린 하이드록사이드 및 이들의 조합물로 이루어진 군으로부터 선택되는 화학종을 포함하는, 세척 조성물.
  20. 제 1 항에 있어서,
    상기 하나 이상의 부식 억제제가, 2-메톡시아데노신, N-메틸아데노신, N,N-다이메틸아데노신, 트라이메틸화된 아데노신, 트라이메틸 N-메틸아데노신, C-4'-메틸아데노신, 3-데옥시아데노신, 메틸화된 아데닌, 다이메틸화된 아데닌, 메틸화된 리보오스, 테트라메틸화된 리보오스, 및 이들의 조합물로 이루어진 군으로부터 선택되는 화학종을 포함하는, 세척 조성물.
  21. [청구항 21은(는) 설정등록료 납부시 포기되었습니다.]
    제 16 항에 있어서,
    상기 하나 이상의 부식 억제제가, 2-메톡시아데노신, N-메틸아데노신, N,N-다이메틸아데노신, 트라이메틸화된 아데노신, 트라이메틸 N-메틸아데노신, C-4'-메틸아데노신, 3-데옥시아데노신, 메틸화된 아데닌, 다이메틸화된 아데닌, 메틸화된 리보오스, 테트라메틸화된 리보오스, 및 이들의 조합물로 이루어진 군으로부터 선택되는 화학종을 포함하는, 방법.
KR1020117011398A 2008-10-21 2009-10-20 구리 세척 및 보호 조성물 KR101752684B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US10731908P 2008-10-21 2008-10-21
US61/107,319 2008-10-21
US11822108P 2008-11-26 2008-11-26
US61/118,221 2008-11-26
PCT/US2009/061263 WO2010048139A2 (en) 2008-10-21 2009-10-20 Copper cleaning and protection formulations

Publications (2)

Publication Number Publication Date
KR20110086092A KR20110086092A (ko) 2011-07-27
KR101752684B1 true KR101752684B1 (ko) 2017-07-04

Family

ID=42119929

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117011398A KR101752684B1 (ko) 2008-10-21 2009-10-20 구리 세척 및 보호 조성물

Country Status (6)

Country Link
US (2) US9074170B2 (ko)
JP (2) JP5873718B2 (ko)
KR (1) KR101752684B1 (ko)
CN (1) CN102197124B (ko)
TW (1) TWI456052B (ko)
WO (1) WO2010048139A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102239633B1 (ko) 2020-04-02 2021-04-13 주식회사 에이앤피티 동 재질 시편 세척기

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
TWI583786B (zh) 2010-01-29 2017-05-21 恩特葛瑞斯股份有限公司 供附有金屬佈線之半導體用清洗劑
SG10201505535VA (en) * 2010-07-16 2015-09-29 Entegris Inc Aqueous cleaner for the removal of post-etch residues
MY163493A (en) * 2010-07-19 2017-09-15 Basf Se Aqueous alkaline cleaning compositions and method of their use
FR2965260B1 (fr) * 2010-09-27 2012-08-31 Arkema France Composition neutralisante et biostatique pour fluides aqueux
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
JP6231017B2 (ja) * 2012-02-06 2017-11-15 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se 特定の硫黄含有化合物および糖アルコールまたはポリカルボン酸を含む、ポスト化学機械研磨(ポストcmp)洗浄組成物
WO2013118042A1 (en) * 2012-02-06 2013-08-15 Basf Se A post chemical-mechanical-polishing (post-cmp) cleaning composition comprising a specific sulfur-containing compound and comprising no significant amounts of specific nitrogen-containing compounds
CN103254252B (zh) * 2012-02-15 2015-10-21 中国石油化工股份有限公司 一种油田污水处理抗氧型缓蚀剂的制备方法
SG11201404930SA (en) 2012-02-15 2014-09-26 Advanced Tech Materials Post-cmp removal using compositions and method of use
WO2013138278A1 (en) * 2012-03-12 2013-09-19 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
EP2828371A4 (en) * 2012-03-18 2015-10-14 Entegris Inc POST-CMP FORMULATION HAVING COMPATIBILITY WITH ENHANCED BARRIER LAYERS AND CLEANING PERFORMANCE
EP2850651A4 (en) * 2012-05-18 2016-03-09 Entegris Inc AQUEOUS CLEANING SOLUTION HAVING LOW COPPER ATTACK SPEED FOR MORE EFFICIENT REMOVAL OF ORGANIC RESIDUES
SG10201610541UA (en) 2012-05-18 2017-01-27 Entegris Inc Composition and process for stripping photoresist from a surface including titanium nitride
US9481855B2 (en) * 2012-09-17 2016-11-01 Ekc Technology Inc Cleaning composition and method for cleaning a semiconductor device substrate after chemical mechanical polishing
CN102925905B (zh) * 2012-12-03 2014-08-13 中昊(大连)化工研究设计院有限公司 铜及合金设备用酸洗缓蚀剂
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
JP5831440B2 (ja) 2012-12-17 2015-12-09 株式会社ダイヤメット 粉末冶金用原料粉末
BR112015015608A2 (pt) 2012-12-26 2017-07-11 Mitsubishi Chem Corp policarbonato diol e poliuretano usando o mesmo
KR101933528B1 (ko) * 2012-12-28 2019-03-15 동우 화인켐 주식회사 구리계 금속막의 식각액 조성물 및 이를 이용한 액정표시장치용 어레이 기판의 제조방법
SG11201507014RA (en) 2013-03-04 2015-10-29 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
EP2971248B1 (en) * 2013-03-15 2021-10-13 CMC Materials, Inc. Aqueous cleaning composition for post copper chemical mechanical planarization
RU2634808C2 (ru) * 2013-03-16 2017-11-03 Прк-Десото Интернэшнл, Инк. Очищающие композиции для металлических субстратов
JP6203525B2 (ja) * 2013-04-19 2017-09-27 関東化學株式会社 洗浄液組成物
TW201500542A (zh) * 2013-04-22 2015-01-01 Advanced Tech Materials 銅清洗及保護配方
JP6723152B2 (ja) * 2013-06-06 2020-07-15 インテグリス・インコーポレーテッド 窒化チタンを選択的にエッチングするための組成物及び方法
CN112442374A (zh) 2013-07-31 2021-03-05 恩特格里斯公司 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
EP3060642B1 (en) * 2013-10-21 2019-11-06 FujiFilm Electronic Materials USA, Inc. Cleaning formulations for removing residues on surfaces
JP6400897B2 (ja) * 2013-11-06 2018-10-03 ニッタ・ハース株式会社 研磨組成物
EP3719105B1 (en) 2013-12-06 2023-09-27 Fujifilm Electronic Materials USA, Inc. Cleaning formulation for removing residues on surfaces
TWI654340B (zh) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
JP6776125B2 (ja) 2013-12-20 2020-10-28 インテグリス・インコーポレーテッド イオン注入レジストの除去のための非酸化性の強酸の使用
WO2015103146A1 (en) 2013-12-31 2015-07-09 Advanced Technology Materials, Inc. Formulations to selectively etch silicon and germanium
WO2015116818A1 (en) * 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
JP2015165562A (ja) * 2014-02-06 2015-09-17 三菱化学株式会社 半導体デバイス用基板洗浄液及び半導体デバイス用基板の洗浄方法
JP6488740B2 (ja) * 2014-02-06 2019-03-27 三菱ケミカル株式会社 半導体デバイス用基板洗浄液及び半導体デバイス用基板の洗浄方法
TWI636131B (zh) * 2014-05-20 2018-09-21 日商Jsr股份有限公司 清洗用組成物及清洗方法
US11978622B2 (en) * 2014-06-30 2024-05-07 Entegris, Inc. Aqueous and semi-aqueous cleaners for the removal of post-etch residues with tungsten and cobalt compatibility
WO2016111990A1 (en) * 2015-01-05 2016-07-14 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
CN107208005A (zh) * 2015-01-13 2017-09-26 嘉柏微电子材料股份公司 用于在化学机械抛光后清洁半导体晶片的清洁组合物及方法
CN104593791B (zh) * 2015-02-08 2017-04-05 浙江同诚合金铜管有限公司 高锌铜合金管材冷轧管用清洗液
CN107532114B (zh) * 2015-04-30 2020-09-04 陶氏环球技术有限责任公司 烷基苯磺酸的胺盐和其在洗涤剂配制物中的用途
CN107614497B (zh) 2015-05-28 2021-08-03 艺康美国股份有限公司 腐蚀抑制剂
CA2987055C (en) 2015-05-28 2023-10-17 Ecolab Usa Inc. Purine-based corrosion inhibitors
JP6898255B2 (ja) 2015-05-28 2021-07-07 エコラボ ユーエスエー インコーポレイティド 2−置換イミダゾール及びベンズイミダゾール腐食抑制剤
WO2016191677A1 (en) 2015-05-28 2016-12-01 Ecolab Usa Inc. Water-soluble pyrazole derivatives as corrosion inhibitors
WO2017023348A1 (en) * 2015-08-06 2017-02-09 Kyzen Corporation Water tolerant solutions and process to remove polymeric soils and clean micro electronic substrates
US10319605B2 (en) 2016-05-10 2019-06-11 Jsr Corporation Semiconductor treatment composition and treatment method
JP6697362B2 (ja) * 2016-09-23 2020-05-20 株式会社フジミインコーポレーテッド 表面処理組成物、ならびにこれを用いた表面処理方法および半導体基板の製造方法
TWI703210B (zh) * 2017-04-11 2020-09-01 美商恩特葛瑞斯股份有限公司 化學機械研磨後調配物及使用方法
KR20200088821A (ko) * 2017-12-08 2020-07-23 바스프 에스이 반도체 기판으로부터 식각 후 또는 애싱 후 잔여물을 제거하는 세정 조성물 및 상응하는 제조 방법
IL277275B2 (en) 2018-03-28 2023-11-01 Fujifilm Electronic Mat Usa Inc cleaning products
CN110724916A (zh) * 2018-07-16 2020-01-24 友矿材料股份有限公司 背板的液冷管活化方法
US11091727B2 (en) * 2018-07-24 2021-08-17 Versum Materials Us, Llc Post etch residue cleaning compositions and methods of using the same
WO2020045414A1 (ja) * 2018-08-30 2020-03-05 三菱ケミカル株式会社 洗浄液、洗浄方法及び半導体ウェハの製造方法
CN112996893A (zh) * 2018-11-08 2021-06-18 恩特格里斯公司 化学机械研磨后(post cmp)清洁组合物
CN109576722A (zh) * 2019-01-31 2019-04-05 深圳市华星光电技术有限公司 铜清洗剂
JPWO2020166676A1 (ja) * 2019-02-13 2021-12-16 株式会社トクヤマ 次亜塩素酸イオン、及びpH緩衝剤を含む半導体ウェハの処理液
CN113004801B (zh) * 2019-12-20 2024-03-12 安集微电子(上海)有限公司 一种化学机械抛光液
WO2021131451A1 (ja) * 2019-12-26 2021-07-01 富士フイルムエレクトロニクスマテリアルズ株式会社 洗浄方法、洗浄液
US20220106541A1 (en) * 2020-10-05 2022-04-07 Entegris, Inc. Microelectronic Device Cleaning Composition
CN116457447A (zh) * 2020-10-05 2023-07-18 恩特格里斯公司 化学机械抛光后(post cmp)清洁组合物
KR20230128049A (ko) 2021-02-03 2023-09-01 후지필름 가부시키가이샤 반도체 기판용 세정액
JP2024517606A (ja) * 2021-04-16 2024-04-23 インテグリス・インコーポレーテッド 洗浄組成物
CN113652316B (zh) * 2021-07-13 2022-07-08 张家港安储科技有限公司 一种不含季铵碱的清洗液
CN113652317A (zh) * 2021-07-16 2021-11-16 张家港安储科技有限公司 一种用于在半导体晶圆清洗过程中的化学机械研磨后的清洗组合物
CN113921383B (zh) 2021-09-14 2022-06-03 浙江奥首材料科技有限公司 一种铜表面钝化组合物、其用途及包含其的光刻胶剥离液
CN114908341B (zh) * 2022-07-18 2022-09-27 深圳市板明科技股份有限公司 一种pcb化学镍钯金镀层专用表面处理剂及其制备方法
JP7466045B2 (ja) 2022-09-06 2024-04-11 花王株式会社 基板処理方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002069495A (ja) 2000-06-16 2002-03-08 Kao Corp 洗浄剤組成物
JP2002097584A (ja) * 2000-06-28 2002-04-02 Nec Corp 防食剤
JP2008060377A (ja) * 2006-08-31 2008-03-13 Sanyo Chem Ind Ltd 半導体洗浄用洗浄剤

Family Cites Families (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3530282A1 (de) 1985-08-24 1987-03-05 Hoechst Ag Verfahren zum entschichten von lichtgehaerteten photoresistschichten
JPS63274149A (ja) 1987-05-06 1988-11-11 Mitsubishi Gas Chem Co Inc 半導体処理剤
JP2906590B2 (ja) 1990-06-14 1999-06-21 三菱瓦斯化学株式会社 アルミニウム配線半導体基板の表面処理剤
US5981454A (en) 1993-06-21 1999-11-09 Ekc Technology, Inc. Post clean treatment composition comprising an organic acid and hydroxylamine
US5988186A (en) 1991-01-25 1999-11-23 Ashland, Inc. Aqueous stripping and cleaning compositions
US5466297A (en) 1991-08-08 1995-11-14 Nalco Chemical Company Process for removal of primarily iron oxide deposits
WO1994008276A1 (en) 1992-09-28 1994-04-14 Ducoa L.P. Photoresist stripping process using n,n-dimethyl-bis(2-hydroxyethyl) quaternary ammonium hydroxide
US5308745A (en) 1992-11-06 1994-05-03 J. T. Baker Inc. Alkaline-containing photoresist stripping compositions producing reduced metal corrosion with cross-linked or hardened resist resins
WO1995004372A1 (en) 1993-07-30 1995-02-09 Semitool, Inc. Methods for processing semiconductors to reduce surface particles
US6326130B1 (en) 1993-10-07 2001-12-04 Mallinckrodt Baker, Inc. Photoresist strippers containing reducing agents to reduce metal corrosion
JP3264405B2 (ja) 1994-01-07 2002-03-11 三菱瓦斯化学株式会社 半導体装置洗浄剤および半導体装置の製造方法
JPH07247498A (ja) 1994-03-09 1995-09-26 Mitsubishi Gas Chem Co Inc 半導体装置用洗浄剤及び配線パターンの形成方法
US5466389A (en) 1994-04-20 1995-11-14 J. T. Baker Inc. PH adjusted nonionic surfactant-containing alkaline cleaner composition for cleaning microelectronics substrates
US5498293A (en) 1994-06-23 1996-03-12 Mallinckrodt Baker, Inc. Cleaning wafer substrates of metal contamination while maintaining wafer smoothness
US5567574A (en) 1995-01-10 1996-10-22 Mitsubishi Gas Chemical Company, Inc. Removing agent composition for photoresist and method of removing
US5597420A (en) 1995-01-17 1997-01-28 Ashland Inc. Stripping composition having monoethanolamine
US5563119A (en) 1995-01-26 1996-10-08 Ashland Inc. Stripping compositions containing alkanolamine compounds
JP3255551B2 (ja) 1995-01-31 2002-02-12 東京応化工業株式会社 レジスト用剥離液組成物
US5571447A (en) 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
US5885362A (en) 1995-07-27 1999-03-23 Mitsubishi Chemical Corporation Method for treating surface of substrate
JP3236220B2 (ja) 1995-11-13 2001-12-10 東京応化工業株式会社 レジスト用剥離液組成物
US6410494B2 (en) 1996-06-05 2002-06-25 Wako Pure Chemical Industries, Ltd. Cleaning agent
TW416987B (en) 1996-06-05 2001-01-01 Wako Pure Chem Ind Ltd A composition for cleaning the semiconductor substrate surface
US6030932A (en) 1996-09-06 2000-02-29 Olin Microelectronic Chemicals Cleaning composition and method for removing residues
US6569446B1 (en) 1996-09-20 2003-05-27 The Howard Foundation Solubilization of flavonols
US5855811A (en) 1996-10-03 1999-01-05 Micron Technology, Inc. Cleaning composition containing tetraalkylammonium salt and use thereof in semiconductor fabrication
US5989353A (en) 1996-10-11 1999-11-23 Mallinckrodt Baker, Inc. Cleaning wafer substrates of metal contamination while maintaining wafer smoothness
US5962384A (en) 1997-10-28 1999-10-05 International Business Machines Corporation Method for cleaning semiconductor devices
US5997658A (en) 1998-01-09 1999-12-07 Ashland Inc. Aqueous stripping and cleaning compositions
JPH11271985A (ja) 1998-03-25 1999-10-08 Nagase Denshi Kagaku Kk レジスト剥離剤組成物及びその使用方法
PT1105778E (pt) 1998-05-18 2009-09-23 Mallinckrodt Baker Inc Composições alcalinas contendo silicato para limpeza de substratos microelectrónicos
US6440326B1 (en) 1998-08-13 2002-08-27 Mitsubishi Gas Chemical Company, Inc. Photoresist removing composition
WO2001004231A1 (en) 1999-07-13 2001-01-18 Kao Corporation Polishing liquid composition
US6395693B1 (en) 1999-09-27 2002-05-28 Cabot Microelectronics Corporation Cleaning solution for semiconductor surfaces following chemical-mechanical polishing
US6413923B2 (en) 1999-11-15 2002-07-02 Arch Specialty Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues
US6492308B1 (en) 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6723691B2 (en) 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6592433B2 (en) 1999-12-31 2003-07-15 Intel Corporation Method for defect reduction
JP4821082B2 (ja) 2000-03-21 2011-11-24 和光純薬工業株式会社 半導体基板洗浄剤及び洗浄方法
US7396806B2 (en) 2000-06-16 2008-07-08 Kao Corporation Semiconductor cleaner comprising a reducing agent, dispersant, and phosphonic acid-based chelant
US6514434B1 (en) 2000-06-16 2003-02-04 Corning Incorporated Electro-optic chromophore bridge compounds and donor-bridge compounds for polymeric thin film waveguides
US6992050B2 (en) 2000-06-28 2006-01-31 Nec Corporation Stripping agent composition and method of stripping
JP3431074B2 (ja) 2000-06-28 2003-07-28 日本電気株式会社 剥離剤組成物および剥離方法
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
KR100512134B1 (ko) 2001-02-20 2005-09-02 히다치 가세고교 가부시끼가이샤 연마제 및 기판의 연마방법
JP4535629B2 (ja) 2001-02-21 2010-09-01 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7160432B2 (en) 2001-03-14 2007-01-09 Applied Materials, Inc. Method and composition for polishing a substrate
US6627587B2 (en) 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US6627546B2 (en) 2001-06-29 2003-09-30 Ashland Inc. Process for removing contaminant from a surface and composition useful therefor
MY131912A (en) 2001-07-09 2007-09-28 Avantor Performance Mat Inc Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
TWI297102B (en) 2001-08-03 2008-05-21 Nec Electronics Corp Removing composition
JP3792620B2 (ja) * 2001-08-03 2006-07-05 日本電気株式会社 剥離剤組成物
CA2398423C (en) 2001-09-04 2009-11-10 Rohm And Haas Company Corrosion inhibiting compositions
US7294211B2 (en) * 2002-01-04 2007-11-13 University Of Dayton Non-toxic corrosion-protection conversion coats based on cobalt
JP4443864B2 (ja) 2002-07-12 2010-03-31 株式会社ルネサステクノロジ レジストまたはエッチング残さ物除去用洗浄液および半導体装置の製造方法
WO2004037962A2 (en) 2002-10-22 2004-05-06 Ekc Technology, Inc. Aqueous phosphoric acid compositions for cleaning semiconductor devices
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
KR100964801B1 (ko) * 2003-06-26 2010-06-22 동우 화인켐 주식회사 포토레지스트 박리액 조성물 및 이를 이용한 포토레지스트박리방법
JP4620680B2 (ja) 2003-10-29 2011-01-26 マリンクロッド・ベイカー・インコーポレイテッド ハロゲン化金属の腐食阻害剤を含有するアルカリ性のプラズマエッチング/灰化後の残渣の除去剤およびフォトレジスト剥離組成物
TWI244498B (en) 2003-11-20 2005-12-01 Eternal Chemical Co Ltd Chemical mechanical abrasive slurry and method of using the same
US6982188B1 (en) 2003-12-03 2006-01-03 Advanced Micro Devices, Inc Post CMP precursor treatment
US7435712B2 (en) * 2004-02-12 2008-10-14 Air Liquide America, L.P. Alkaline chemistry for post-CMP cleaning
US8338087B2 (en) * 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US7087564B2 (en) 2004-03-05 2006-08-08 Air Liquide America, L.P. Acidic chemistry for post-CMP cleaning
US20050205835A1 (en) 2004-03-19 2005-09-22 Tamboli Dnyanesh C Alkaline post-chemical mechanical planarization cleaning compositions
JP2005347587A (ja) 2004-06-04 2005-12-15 Sony Corp ドライエッチング後の洗浄液組成物および半導体装置の製造方法
EP1609847B1 (en) 2004-06-25 2007-03-21 JSR Corporation Cleaning composition for semiconductor components and process for manufacturing semiconductor device
JP4456424B2 (ja) 2004-06-29 2010-04-28 関東化学株式会社 フォトレジスト残渣及びポリマー残渣除去組成物
US9217929B2 (en) 2004-07-22 2015-12-22 Air Products And Chemicals, Inc. Composition for removing photoresist and/or etching residue from a substrate and use thereof
US20060063692A1 (en) 2004-09-17 2006-03-23 Alliant Techsystems Inc Gun cleaning system, method, and compositions therefor
US20060073997A1 (en) 2004-09-30 2006-04-06 Lam Research Corporation Solutions for cleaning silicon semiconductors or silicon oxides
US20060148666A1 (en) 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
SG158920A1 (en) * 2005-01-27 2010-02-26 Advanced Tech Materials Compositions for processing of semiconductor substrates
US7923423B2 (en) 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
DE602006013110D1 (de) 2005-03-25 2010-05-06 Dupont Air Prod Nanomaterials In chemisch-mechanischen reinigungszusammensetzungen verwendete dihydroxy-enol-verbindungen mit metall-ionen-oxidationsmitteln
US7365045B2 (en) 2005-03-30 2008-04-29 Advanced Tehnology Materials, Inc. Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
TWI622639B (zh) * 2005-06-07 2018-05-01 恩特葛瑞斯股份有限公司 金屬及介電相容犠牲抗反射塗層清洗及移除組成物
TWI339780B (en) 2005-07-28 2011-04-01 Rohm & Haas Elect Mat Stripper
WO2007044446A1 (en) * 2005-10-05 2007-04-19 Advanced Technology Materials, Inc. Oxidizing aqueous cleaner for the removal of post-etch residues
WO2007047365A2 (en) * 2005-10-13 2007-04-26 Advanced Technology Materials, Inc. Metals compatible photoresist and/or sacrificial antireflective coating removal composition
US20090301996A1 (en) * 2005-11-08 2009-12-10 Advanced Technology Materials, Inc. Formulations for removing cooper-containing post-etch residue from microelectronic devices
TW200734448A (en) 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US20070225186A1 (en) 2006-03-27 2007-09-27 Matthew Fisher Alkaline solutions for post CMP cleaning processes
US7947637B2 (en) 2006-06-30 2011-05-24 Fujifilm Electronic Materials, U.S.A., Inc. Cleaning formulation for removing residues on surfaces
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
US20080076688A1 (en) 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
SG175559A1 (en) * 2006-09-25 2011-11-28 Advanced Tech Materials Compositions and methods for the removal of photoresist for a wafer rework application
SG177915A1 (en) * 2006-12-21 2012-02-28 Advanced Tech Materials Liquid cleaner for the removal of post-etch residues
KR101622862B1 (ko) * 2007-05-17 2016-05-19 엔테그리스, 아이엔씨. Cmp후 세정 제제용 신규한 항산화제
KR20100051839A (ko) * 2007-08-02 2010-05-18 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 마이크로전자 장치로부터 잔사를 제거하기 위한 플루오라이드 비-함유 조성물

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002069495A (ja) 2000-06-16 2002-03-08 Kao Corp 洗浄剤組成物
JP2002097584A (ja) * 2000-06-28 2002-04-02 Nec Corp 防食剤
JP2008060377A (ja) * 2006-08-31 2008-03-13 Sanyo Chem Ind Ltd 半導体洗浄用洗浄剤

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102239633B1 (ko) 2020-04-02 2021-04-13 주식회사 에이앤피티 동 재질 시편 세척기

Also Published As

Publication number Publication date
JP6133959B2 (ja) 2017-05-24
JP2012506457A (ja) 2012-03-15
KR20110086092A (ko) 2011-07-27
WO2010048139A3 (en) 2010-07-29
US20160032221A1 (en) 2016-02-04
CN102197124A (zh) 2011-09-21
JP5873718B2 (ja) 2016-03-01
US9074170B2 (en) 2015-07-07
TWI456052B (zh) 2014-10-11
TW201026848A (en) 2010-07-16
US20120283163A1 (en) 2012-11-08
WO2010048139A2 (en) 2010-04-29
JP2016074906A (ja) 2016-05-12
CN102197124B (zh) 2013-12-18

Similar Documents

Publication Publication Date Title
KR101752684B1 (ko) 구리 세척 및 보호 조성물
US10557107B2 (en) Post chemical mechanical polishing formulations and method of use
KR101644763B1 (ko) Cmp후 세정 제제용 신규한 항산화제
USRE46427E1 (en) Antioxidants for post-CMP cleaning formulations
KR102058426B1 (ko) 화학적 기계적 연마 후 제제 및 사용 방법
US20150045277A1 (en) Post-cmp formulation having improved barrier layer compatibility and cleaning performance
JP2015524165A (ja) 有機残渣除去を改良するための銅エッチングレートの低い水性洗浄溶液
WO2013138278A1 (en) Copper cleaning and protection formulations
WO2015116679A1 (en) Post chemical mechanical polishing formulations and method of use

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant