KR102058426B1 - 화학적 기계적 연마 후 제제 및 사용 방법 - Google Patents

화학적 기계적 연마 후 제제 및 사용 방법 Download PDF

Info

Publication number
KR102058426B1
KR102058426B1 KR1020177021631A KR20177021631A KR102058426B1 KR 102058426 B1 KR102058426 B1 KR 102058426B1 KR 1020177021631 A KR1020177021631 A KR 1020177021631A KR 20177021631 A KR20177021631 A KR 20177021631A KR 102058426 B1 KR102058426 B1 KR 102058426B1
Authority
KR
South Korea
Prior art keywords
hydroxide
acid
cleaning
cleaning composition
residues
Prior art date
Application number
KR1020177021631A
Other languages
English (en)
Other versions
KR20170137702A (ko
Inventor
엘리자베스 토마스
도널드 프라이
준 리우
마이클 화이트
다니엘라 화이트
차오-위 왕
Original Assignee
엔테그리스, 아이엔씨.
엔테그리스 아시아 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엔테그리스, 아이엔씨., 엔테그리스 아시아 엘엘씨 filed Critical 엔테그리스, 아이엔씨.
Publication of KR20170137702A publication Critical patent/KR20170137702A/ko
Application granted granted Critical
Publication of KR102058426B1 publication Critical patent/KR102058426B1/ko

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • C11D11/0047
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2096Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/34Organic compounds containing sulfur
    • C11D3/349Organic compounds containing sulfur additionally containing nitrogen atoms, e.g. nitro, nitroso, amino, imino, nitrilo, nitrile groups containing compounds or their derivatives or thio urea
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/267Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3227Ethers thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3245Aminoacids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/34Organic compounds containing sulfur
    • C11D3/3472Organic compounds containing sulfur additionally containing -COOH groups or derivatives thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Organic Chemistry (AREA)
  • Wood Science & Technology (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • General Chemical & Material Sciences (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

본 발명은 위에 화학적 기계적 연마(CMP) 후 잔류물 및 오염물을 갖는 마이크로전자 장치로부터 상기 잔류물 및 오염물을 세정하기 위한 세정 조성물 및 세정 방법에 관한 것이다. 세정 조성물은 알칼리 하이드록사이드, 알칼리 토금속 하이드록사이드 및 테트라메틸암모늄 하이드록사이드가 실질적으로 없다. 조성물은 저 k 유전 재료 또는 구리 인터커넥트 재료를 손상시키지 않고 마이크로전자 장치의 표면으로부터 CMP 후 잔류물 및 오염물의 매우 효과적인 세정을 달성한다.

Description

화학적 기계적 연마 후 제제 및 사용 방법
본 발명은 일반적으로 위에 잔류물 및/또는 오염물을 갖는 마이크로전자 장치로부터 잔류물 및/또는 오염물을 세정하기 위한 테트라메틸암모늄 하이드록사이드가 없는 조성물에 관한 것이며, 조성물은 개선된 코발트 공존성(compatibility)을 갖는다.
마이크로전자 장치 웨이퍼는 집적 회로를 형성하는데 사용된다. 마이크로전자 장치 웨이퍼는 실리콘과 같은 기판을 포함하고, 그 기판 내로는 절연성, 전도성 또는 반전도성을 갖는 여러가지 재료의 퇴적을 위한 영역이 패턴화된다.
정확한 패턴화를 얻기 위해, 기판 상에 층을 형성하는데 사용되는 과량의 재료가 제거되어야 한다. 또한, 관능적이고 신뢰할만한 회로망을 제조하기 위해, 후속 가공 전 평평하거나 평탄한 마이크로전자 웨이퍼 표면을 제조하는 것이 중요하다. 따라서, 마이크로전자 장치 웨이퍼의 특정 표면을 제거하고/거나 연마하는 것이 필요하다.
화학적 기계적 연마 또는 평탄화("CMP")는 재료가 마이크로전자 장치 웨이퍼의 표면으로부터 제거되고 표면이 마모와 같은 물리적 방법과 산화 또는 킬레이트화와 같은 화학적 방법의 결합에 의해 연마되는(더욱 구체적으로, 평탄화되는) 공정이다. 가장 기초적인 형태에서, CMP는 슬러리(예를 들어, 연마 및 활성 화학물질의 용액)를 마이크로전자 장치 웨이퍼의 표면을 닦는 연마 패드에 도포하여 제거, 평탄화 및 연마 공정을 달성하는 것을 포함한다. 제거 또는 연마 공정이 순전히 물리적이거나 또는 순전히 화학적인 작용으로 이루어지는 것은 바람직하지 않으며, 신속하고 균일한 제거를 달성하기 위해 양자 모두의 상승적인 조합이 바람직하다. 집적 회로의 제조에서, CMP 슬러리는 또한 우선적으로 금속 및 다른 재료의 복잡한 층을 포함하는 막을 제거하여 후속 포토리소그래피(photolithography), 또는 패턴화, 에칭 및 박막 가공을 위한 매우 평탄한 표면이 제조되어야 한다.
최근, 구리는 집적 회로에서 금속 인터커넥트(interconnect)로 점점 더 사용되고 있다. 마이크로전자 장치 제조에서 회로망의 금속화에 통상적으로 사용되는 구리 다마신 공정(damascene process)에서, 제거되고 평탄화되어야 하는 층은 약 1 내지 약 1.5 ㎛ 두께를 갖는 구리층 및 약 0.05 내지 약 0.15 ㎛ 두께를 갖는 구리 시드층(seed layer)을 포함한다. 이들 구리층은 일반적으로 약 50 내지 약 300 Å 두께의 장벽 재료층에 의해 유전 재료 표면으로부터 분리되는데, 이는 구리의 옥사이드 유전 재료로의 확산을 방지한다. 연마 후 웨이퍼 표면에 걸쳐 양호한 균일성을 얻는 하나의 열쇠는 각 재료에 대해 정확한 제거 선택성을 갖는 CMP 슬러리를 사용하는 것이다.
웨이퍼 기판 표면 제조, 퇴적, 도금, 에칭 및 화학적 기계적 연마를 포함하여 전술한 가공 작업은 다양하게는 마이크로전자 장치 제품에, 제품의 기능에 유해한 영향을 주거나 또는 심지어 그 의도된 기능에 대해 무용하게 하는 오염물이 없도록 보장하기 위한 세정 작업을 다양하게 요구한다. 종종, 이들 오염물 입자는 0.3 ㎛보다 작다.
이에 관한 하나의 구체적인 문제점은 CMP 가공 후 마이크로전자 장치 기판 상에 남겨지는 잔류물이다. 이러한 잔류물은 CMP 재료 및 벤조트리아졸(BTA)과 같은 부식 억제 화합물을 포함한다. 제거되지 않는 경우, 이들 잔류물은 장치 기판 상에 CMP 후 도포되는 층의 열악한 부착을 유발할 뿐만 아니라 구리 라인의 손상을 유발하거나 또는 구리 금속화를 심하게 조면화(roughening)할 수 있다. 과도하게 거칠어진 구리는 마이크로전자 장치 제품의 열악한 전기적 성능을 유발할 수 있기 때문에 구리 금속화의 심한 조면화는 특히 문제된다.
마이크로전자 장치 제조에 통상적인 다른 잔류물 생성 공정은 현상된 포토레지스트 코팅의 패턴을, 하드마스크, 층간 유전체(ILD) 및 에칭 방지층으로 이루어 질 수 있는 하부 층에 전사하는 기체상 플라즈마 에칭을 포함한다. 기판 위 및 플라즈마 기체에 존재하는 화학 원소를 포함할 수 있는 기체상 플라즈마 에칭 후 잔류물은 일반적으로 후공정(BEOL) 구조체 상에 퇴적되며, 제거되지 않는 경우 후속 규소화(silicidation) 또는 접촉부 형성을 방해할 수 있다. 종래의 세정 화학물질은 종종 ILD를 손상시키고/거나, ILD의 공극 내로 흡수됨으로써 유전 상수를 증가시키고/거나 금속 구조체를 부식시킨다.
당해 기술 분야에서 기판으로부터 잔류물(예를 들어, CMP 후 잔류물, 에칭 후 잔류물, 회화(ashing) 후 잔류물)을 효과적으로 제거하는 조성물 및 방법을 제공하는 것이 계속 요구되고 있다. 조성물은 선행 기술의 조성물보다 더 환경 친화적이고, 혁신적인 성분을 포함할 수 있으며, 따라서 선행기술의 조성물에 대한 대안으로 고려될 수 있다.
본 발명은 일반적으로 위에 잔류물 및 오염물을 갖는 마이크로전자 장치로부터 상기 잔류물 및 오염물을 세정하기 위한 조성물 및 방법에 관한 것이다. 본 발명의 세정 조성물은 알칼리 하이드록사이드, 알칼리 토금속 하이드록사이드 및 테트라메틸암모늄 하이드록사이드가 실질적으로 없다. 잔류물은 CMP 후 잔류물, 에칭 후 잔류물, 및/또는 회화 후 잔류물을 포함할 수 있다. 유리하게도, 본원에 기술된 조성물은 선행기술의 조성물에 비해 개선된 코발트 공존성을 나타낸다.
일 양태에서, 조성물이 기술되며, 상기 조성물은 하나 이상의 유기 아민, 하나 이상의 용매, 하나 이상의 4차 염기, 하나 이상의 착화제, 선택적으로 하나 이상의 환원제, 선택적으로 하나 이상의 추가의 에칭제 및 선택적으로 하나 이상의 세정 첨가제를 포함하고, 세정 조성물은 알칼리 하이드록사이드, 알칼리 토금속 하이드록사이드 및 테트라메틸암모늄 하이드록사이드가 실질적으로 없다.
다른 양태에서, 조성물이 기술되며, 상기 조성물은 하나 이상의 유기 아민, 하나 이상의 용매, 하나 이상의 4차 염기, 하나 이상의 착화제, 하나 이상의 환원제, 선택적으로 하나 이상의 추가의 에칭제 및 선택적으로 하나 이상의 세정 첨가제를 포함하고, 세정 조성물은 알칼리 하이드록사이드, 알칼리 토금속 하이드록사이드 및 테트라메틸암모늄 하이드록사이드가 실질적으로 없다.
또 다른 양태에서, 조성물이 기술되며, 상기 조성물은 하나 이상의 유기 아민, 하나 이상의 용매, 하나 이상의 4차 염기, 하나 이상의 착화제, 하나 이상의 추가의 에칭제, 선택적으로 하나 이상의 환원제 및 선택적으로 하나 이상의 세정 첨가제를 포함하고, 세정 조성물은 알칼리 하이드록사이드, 알칼리 토금속 하이드록사이드 및 테트라메틸암모늄 하이드록사이드가 실질적으로 없다.
또 다른 양태에서, 위에 잔류물 및 오염물을 갖는 마이크로전자 장치로부터 상기 잔류물 및 오염물을 제거하는 방법이 기술되며, 상기 방법은 마이크로전자 장치로부터 상기 잔류물 및 오염물을 적어도 부분적으로 세정하기에 충분한 시간 동안 마이크로전자 장치를 세정 조성물과 접촉시키는 것을 포함하고, 세정 조성물은 하나 이상의 유기 아민, 하나 이상의 용매, 하나 이상의 4차 염기, 하나 이상의 착화제, 선택적으로 하나 이상의 환원제, 선택적으로 하나 이상의 추가의 에칭제 및 선택적으로 하나 이상의 세정 첨가제를 포함하며, 세정 조성물은 알칼리 하이드록사이드, 알칼리 토금속 하이드록사이드 및 테트라메틸암모늄 하이드록사이드가 실질적으로 없다.
다른 양태, 특징 및 장점은 다음의 개시내용 및 첨부된 청구범위로부터 더욱 완전히 명백해질 것이다.
본 발명은 일반적으로 위에 잔류물 및 오염물을 갖는 마이크로전자 장치로부터 이러한 물질들을 제거하는데 유용한 조성물에 관한 것이다. 조성물은 특히 CMP 후 잔류물, 에칭 후 잔류물, 또는 회화 후 잔류물의 제거에 유용하다.
용이하게 참조할 수 있도록, "마이크로전자 장치"는 마이크로전자, 집적 회로 또는 컴퓨터 칩 어플리케이션에 사용하기 위해 제조된 반도체 기판, 평면 패널 디스플레이, 상 변화 기억 장치, 태양 전지판, 및 태양 전지 기판, 광전지 및 마이크로전자 기계 시스템(MEMS)을 포함하는 다른 제품에 상응한다. 태양 전지 기판은 실리콘, 비결정 실리콘, 다결정 실리콘, 단결정 실리콘, CdTe, 구리 인듐 셀레나이드, 구리 인듐 술파이드 및 갈륨 상의 갈륨 아세나이드를 포함하지만 이에 한정되지 않는다. 태양 전지 기판은 도핑되거나 도핑되지 않을 수 있다. "마이크로전자 장치"라는 용어는 어떠한 식으로든 한정하려는 의도는 아니며, 최종적으로 마이크로전자 장치 또는 마이크로전자 조립체가 되는 임의의 기판을 포함함을 이해해야 한다.
본원에 사용되는 "잔류물"은 플라즈마 에칭, 회화, 화학적 기계적 연마, 습식 에칭 및 이들의 조합을 포함하는 마이크로전자 장치의 제조 동안 생성되는 입자에 상응하지만 이들에 한정되지 않는다.
본원에 사용되는 "오염물"은 CMP 슬러리에 존재하는 화학물질, 연마 슬러리의 반응 부산물, 습식 에칭 조성물에 존재하는 화학물질, 습식 에칭 조성물의 반응 부산물, 및 CMP 공정, 습식 에칭, 플라즈마 에칭 또는 플라즈마 회화 공정의 부산물인 임의의 다른 물질에 상응한다.
본원에 사용되는 "CMP 후 잔류물"은 연마 슬러리로부터의 입자(예를 들어, 실리카 함유 입자), 슬러리에 존재하는 화학물질, 연마 슬러리의 반응 부산물, 고탄소(carbon-rich) 입자, 연마 패드 입자, 브러시에서 떨어져 나온 입자, 기자재의 구성 입자, 금속, 금속 옥사이드, 유기 잔류물 및 CMP 공정의 부산물인 임의의 다른 물질에 상응한다. 본원에 정의되는 통상 연마되는 "금속"은 일반적으로 구리, 알루미늄 및 텅스텐을 포함한다.
본원에 정의되는 "저 k 유전 재료"는 층상 마이크로전자 장치에서 유전 재료로서 사용되는 임의의 재료에 상응하며, 이러한 재료는 약 3.5 미만의 유전 상수를 갖는다. 바람직하게는, 저 k 유전 재료는 실리콘 함유 유기 중합체, 실리콘 함유 하이브리드 유기/무기 재료, 오가노실리케이트 유리(OSG), TEOS, 플루오린화 실리케이트 유리(FSG), 이산화 규소 및 탄소 도핑된 옥사이드(CDO) 유리와 같은 저 극성 재료를 포함한다. 저 k 유전 재료는 다양한 밀도 및 다양한 공극률을 가질 수 있다는 것을 이해해야 한다.
본원에 정의되는 "착화제"는 통상의 기술자 의해 착화제, 킬레이트화제 및/또는 금속 이온 봉쇄제(sequestering agent)인 것으로 이해되는 화합물을 포함한다. 착화제는 본원에 기술된 조성물을 사용하여 제거되는 금속 원자 및/또는 금속 이온과 화학적으로 결합하거나 또는 물리적으로 고정될 것이다.
본원에 정의되는 "장벽 물질"은 당해 기술 분야에서 금속 라인(예를 들어, 구리 인터커넥트)을 밀봉하여 상기 금속(예를 들어, 구리)의 유전 재료 내로의 확산을 최소화하는데 사용되는 임의의 물질에 상응한다. 바람직한 장벽층 물질은 탄탈럼, 티타늄, 루테늄, 하프늄, 텅스텐, 코발트 및 다른 내화성 금속과 이들의 질화물 및 규화물을 포함한다.
본원에 정의되는 "에칭 후 잔류물"은 기체상 플라즈마 에칭 공정(예를 들어, BEOL 듀얼 다마신 공정) 또는 습식 에칭 공정 후 잔류하는 물질에 상응한다. 에칭 후 잔류물은 성질상 유기, 유기금속, 유기규소 또는 무기 물질, 예를 들어 규소 함유 물질, 탄소 기반 유기 물질, 및 산소 및 불소와 같은 에칭 기체 잔류물일 수 있다.
본원에 사용되는 "회화 후 잔류물"은 경화된 포토레지스트 및/또는 하부 반사 방지 코팅(BARC) 재료를 제거하는 산화성 또는 환원성 플라즈마 회화 후 잔류하는 물질에 상응한다. 회화 후 잔류물은 성질상 유기, 유기금속, 유기규소 또는 무기 물질일 수 있다.
"실질적으로 없는"은 본원에서 2 중량% 미만, 바람직하게는 1 중량% 미만, 더욱 바람직하게는 0.5 중량% 미만 및 가장 바람직하게는 0.1 중량% 미만으로서 정의된다. 일 실시예에서, "실질적으로 없는"은 0%에 상응한다.
본원에 정의되는 코발트 함유 물질은 물질의 총 중량을 기준으로 50 중량% 초과의 코발트 원소를 포함하는 임의의 물질을 포함한다. 코발트 함유 물질의 예는 순수 코발트, 코발트 질화물(Ta 또는 Li와 같은 추가의 원소를 포함하는 코발트 질화물을 포함함), CoP, CoSi 및 코발트 규화물을 포함하지만 이들에 한정되지 않는다.
본원에 사용되는 "약"은 명시된 수치의 ±5%에 상응한다.
본원에 정의되는 "반응 또는 분해 생성물"은 표면에서의 촉매반응, 산화, 환원, 조성 성분과의 반응의 결과로서 형성되거나 또는 그렇지 않으면 중합하는 생성물(들) 또는 부산물(들), 물질 또는 재료(예를 들어, 분자, 화합물 등)가 다른 물질 또는 재료와 결합하거나, 다른 물질 또는 재료와 성분을 교환하거나, 분해되거나, 재배열되거나 또는 그외에 달리 화학적으로 및/또는 물리적으로 변경되는 변화(들) 또는 변형(들)의 결과로서 형성되는 생성물(들) 또는 부산물(들)을 포함하며, 이들 중 어느 하나의 중간 생성물(들) 또는 부산물(들) 또는 전술한 반응(들), 변화(들) 및/또는 변형(들)의 임의의 조합을 포함하지만, 이에 한정되지 않는다. 반응 또는 분해 생성물은 원래의 반응물보다 더 크거나 더 작은 몰질량을 가질 수 있다는 것을 이해해야 한다.
본원에 사용되는 위에 잔류물 및 오염물을 갖는 마이크로전자 장치로부터 상기 잔류물 및 오염물을 세정하기 위한 "적합성"은 마이크로전자 장치로부터 상기 잔류물/오염물의 적어도 부분적인 제거에 상응한다. 세정 효율은 마이크로전자 장치 상의 대상물의 감소에 의해 등급화된다. 예를 들어, 세정 전 및 세정 후 분석은 원자력 현미경을 사용하여 수행될 수 있다. 샘플 상의 입자는 픽셀의 범위로서 등록될 수 있다. 막대 그래프(예를 들어, Sigma Scan Pro)를 적용하여 특정 강도의 픽셀(예를 들어, 231 내지 235)을 필터링할 수 있고, 입자의 수를 계수할 수 있다. 입자의 감소는 하기의 수식을 사용하여 계산될 수 있다:
Figure 112017074522428-pct00001
특히, 세정 효율의 결정 방법은 단지 예시로서 제공되며 그 예시에 한정되는 것은 아니다. 또한, 세정 효율은 미립자 물질에 의해 덮힌 전체 표면의 백분율로서 간주될 수 있다. 예를 들어, AFM's는 z-면 스캔을 수행하여 특정 임계 높이를 넘는 관심 지형 영역을 식별하고 이어서 상기 관심 영역에 의해 덮히는 전체 표면의 면적을 계산하도록 프로그래밍될 수 있다. 통상의 기술자는 세정 후 상기 관심 영역에 의해 덮히는 영역이 적을수록, 세정 조성물이 더욱 효과적이라는 것을 쉽게 이해할 것이다. 바람직하게는, 잔류물/오염물의 75% 이상, 더욱 바람직하게는 90% 이상, 심지어 더욱 바람직하게는 95% 이상, 가장 바람직하게는 99% 이상이 본원에 기술된 조성물을 사용하여 마이크로전자 장치로부터 제거된다.
본원에 기술되는 조성물은 이후에 더욱 충분히 기술되는 바와 같이, 매우 다양한 특정 제제(formulation)로 구현될 수 있다.
이러한 모든 조성물에서, 조성물의 특정 성분들은 0의 하한을 포함하는 중량 백분율 범위를 참조하여 논의되며, 이러한 성분은 조성물의 다양한 특정 실시예에서 존재하거나 또는 존재하지 않을 수 있으며, 이러한 성분이 존재하는 실시예의 경우, 이러한 성분은 이용되는 조성물의 총 중량을 기준으로 0.001 중량%만큼 낮은 농도로 존재할 수 있다는 것을 이해할 것이다.
제1 양태에서, 세정 조성물은 하나 이상의 유기 아민, 하나 이상의 용매(예를 들어, 물), 하나 이상의 4차 염기, 선택적으로 하나 이상의 착화제, 선택적으로 하나 이상의 환원제, 선택적으로 하나 이상의 추가의 에칭제 및 선택적으로 하나 이상의 세정 첨가제를 포함하거나 이들로 이루어지거나 또는 이들로 필수적으로 이루어지며, 세정 조성물은 알칼리 하이드록사이드, 알칼리 토금속 하이드록사이드 및 테트라메틸암모늄 하이드록사이드가 실질적으로 없다. 일 실시예에서, 세정 조성물은 하나 이상의 유기 아민, 하나 이상의 용매(예를 들어, 물), 하나 이상의 착화제 및 하나 이상의 4차 염기를 포함하거나 이들로 이루어지거나 또는 이들로 필수적으로 이루어지며, 세정 조성물은 알칼리 하이드록사이드, 알칼리 토금속 하이드록사이드 및 테트라메틸암모늄 하이드록사이드가 실질적으로 없다. 다른 실시예에서, 세정 조성물은 하나 이상의 유기 아민, 하나 이상의 용매(예를 들어, 물), 하나 이상의 4차 염기 및 하나 이상의 환원제를 포함하거나 이들로 이루어지거나 또는 이들로 필수적으로 이루어지며, 세정 조성물은 알칼리 하이드록사이드, 알칼리 토금속 하이드록사이드 및 테트라메틸암모늄 하이드록사이드가 실질적으로 없다. 다른 실시예에서, 세정 조성물은 하나 이상의 유기 아민, 하나 이상의 용매(예를 들어, 물), 하나 이상의 환원제, 하나 이상의 착화제 및 하나 이상의 4차 염기를 포함하거나 이들로 이루어지거나 또는 이들로 필수적으로 이루어지며, 세정 조성물은 알칼리 하이드록사이드, 알칼리 토금속 하이드록사이드 및 테트라메틸암모늄 하이드록사이드가 실질적으로 없다. 또 다른 실시예에서, 세정 조성물은 하나 이상의 유기 아민, 하나 이상의 용매(예를 들어, 물), 하나 이상의 착화제, 하나 이상의 4차 염기 및 하나 이상의 추가의 에칭제를 포함하거나 이들로 이루어지거나 또는 이들로 필수적으로 이루어지며, 세정 조성물은 알칼리 하이드록사이드, 알칼리 토금속 하이드록사이드 및 테트라메틸암모늄 하이드록사이드가 실질적으로 없다. 또 다른 실시예에서, 세정 조성물은 하나 이상의 유기 아민, 하나 이상의 용매(예를 들어, 물), 하나 이상의 착화제, 하나 이상의 4차 염기 및 하나 이상의 세정 첨가제를 포함하거나 이들로 이루어지거나 또는 이들로 필수적으로 이루어지며, 세정 조성물은 알칼리 하이드록사이드, 알칼리 토금속 하이드록사이드, 테트라메틸암모늄 하이드록사이드가 실질적으로 없다. 다른 실시예에서, 세정 조성물은 하나 이상의 유기 아민, 하나 이상의 용매(예를 들어, 물), 하나 이상의 환원제, 하나 이상의 착화제, 하나 이상의 4차 염기 및 하나 이상의 추가의 에칭제를 포함하거나 이들로 이루어지거나 또는 이들로 필수적으로 이루어지며, 세정 조성물은 알칼리 하이드록사이드, 알칼리 토금속 하이드록사이드 및 테트라메틸암모늄 하이드록사이드가 실질적으로 없다. 또 다른 실시예에서, 세정 조성물은 하나 이상의 유기 아민, 하나 이상의 용매(예를 들어, 물), 하나 이상의 환원제, 하나 이상의 착화제, 하나 이상의 4차 염기 및 하나 이상의 추가의 세정 첨가제를 포함하거나 이들로 이루어지거나 또는 이들로 필수적으로 이루어지며, 세정 조성물은 알칼리 하이드록사이드, 알칼리 토금속 하이드록사이드 및 테트라메틸암모늄 하이드록사이드가 실질적으로 없다. 또 다른 실시예에서, 세정 조성물은 하나 이상의 유기 아민, 하나 이상의 용매(예를 들어, 물), 하나 이상의 환원제, 하나 이상의 착화제, 하나 이상의 4차 염기, 하나 이상의 추가의 에칭제 및 하나 이상의 추가의 세정 첨가제를 포함하거나 이들로 이루어지거나 또는 이들로 필수적으로 이루어지며, 세정 조성물은 알칼리 하이드록사이드, 알칼리 토금속 하이드록사이드 및 테트라메틸암모늄 하이드록사이드가 실질적으로 없다. 유리하게도, 본원에 기술된 조성물은 선행 기술의 조성물에 비해 개선된 코발트 공존성을 나타낸다.
바람직한 일 실시예에서, 제1 양태의 세정 조성물은 하나 이상의 4차 염기, 하나 이상의 유기 아민, 하나 이상의 착화제, 하나 이상의 용매(예를 들어, 물) 및 하나 이상의 환원제를 포함하거나 이들로 이루어지거나 이들로 필수적으로 이루어지며, 하나 이상의 착화제는 시스테인을 포함하고, 세정 조성물은 알칼리 하이드록사이드, 알칼리 토금속 및 테트라메틸암모늄 하이드록사이드가 실질적으로 없으며, 세정 조성물은 하기의 농축된 실시예로 제제화되고, 모든 백분율은 제제의 총 중량을 기준으로 하는 중량 기준이다.
Figure 112017074522428-pct00002
존재하는 경우, 시스테인의 양은 바람직하게는 약 0.00005 중량% 내지 약 0.2 중량%의 범위이다. 이론에 얽매이기를 원하지 않으나, 시스테인의 양이 약 0.2 중량% 초과인 경우, 매우 두꺼운 부동층이 구리 및 코발트 상에 형성되고 이는 그다지 용이하게 세정될 수 없으므로 유기 잔류물에 기여한다. 중량 퍼센트 비율은 다음과 같다: 약 1 내지 약 200, 바람직하게는 약 10 내지 약 150, 더욱 바람직하게는 약 50 내지 약 120 범위의 유기 아민(들)/착화제(들); 약 1 내지 약 300, 바람직하게는 약 10 내지 약 250, 더욱 바람직하게는 약 50 내지 약 200, 또는 바람직하게는 약 1 내지 약 10 범위의 4차 염기(들)/착화제(들); 및 약 1 내지 약 200, 바람직하게는 약 10 내지 약 150, 더욱 바람직하게는 약 50 내지 약 120 범위의 환원제(들)/착화제(들).
다른 바람직한 실시예에서, 제1 양태의 세정 조성물은 하나 이상의 유기 아민, 하나 이상의 착화제, 하나 이상의 용매(예를 들어, 물) 및 하나 이상의 4차 염기를 포함하거나 이들로 이루어지거나 또는 이들로 필수적으로 이루어지며, 하나 이상의 착화제는 시스테인을 포함하고, 세정 조성물은 알칼리 하이드록사이드, 알칼리 토금속 하이드록사이드 및 테트라메틸암모늄 하이드록사이드가 실질적으로 없으며, 세정 조성물은 하기의 농축된 실시예로 제제화되고, 모든 백분율은 제제의 총 중량을 기준으로 하는 중량 기준이다.
Figure 112017074522428-pct00003
존재하는 경우, 시스테인의 양은 바람직하게는 약 0.00005 중량% 내지 약 0.2 중량%의 범위이다. 중량 퍼센트 비율은 다음과 같다: 약 1 내지 약 200, 바람직하게는 약 10 내지 약 150, 더욱 바람직하게는 약 50 내지 약 120 범위의 유기 아민(들)/착화제(들); 및 약 1 내지 약 300, 바람직하게는 약 10 내지 약 250, 더욱 바람직하게는 약 50 내지 약 200 또는 바람직하게는 약 1 내지 10 범위의 4차 염기(들)/착화제(들).
또 다른 실시예에서, 세정 조성물은 하나 이상의 유기 아민, 시스테인, 하나 이상의 추가의 착화제, 하나 이상의 4차 염기, 물, 선택적으로 하나 이상의 추가의 에칭제 및 선택적으로 하나 이상의 세정 첨가제를 포함하거나 이들로 이루어지거나 또는 이들로 필수적으로 이루어지며, 세정 조성물은 하기의 농축된 실시예로 제제화되고, 모든 백분율은 제제의 총 중량을 기준으로 하는 중량 기준이다:
Figure 112017074522428-pct00004
중량 퍼센트 비율은 다음과 같다: 약 1 내지 약 200, 바람직하게는 약 10 내지 약 150, 더욱 바람직하게는 약 50 내지 약 120 범위의 유기 아민(들)/시스테인; 약 0.1 내지 약 100, 바람직하게는 약 1 내지 약 60, 더욱 바람직하게는 약 2 내지 약 25 범위의 4차 염기(들)/시스테인; 및 약 0.01 내지 약 50, 바람직하게는 약 0.1 내지 약 30, 더욱 바람직하게는 약 1 내지 약 10 범위의 착화제(들)/시스테인.
또 다른 바람직한 실시예에서, 제1 양태의 세정 조성물은 하나 이상의 유기 아민, 하나 이상의 착화제, 하나 이상의 용매(예를 들어, 물), 하나 이상의 4차 염기 및 하나 이상의 추가의 에칭제를 포함하거나 이들로 이루어지거나 또는 이들로 필수적으로 이루어지며, 하나 이상의 착화제는 시스테인을 포함하고, 세정 조성물은 알칼리 하이드록사이드, 알칼리 토금속 하이드록사이드 및 테트라메틸암모늄 하이드록사이드가 실질적으로 없으며, 시스테인의 양은 조성물의 총 중량을 기준으로 약 0.00005 중량% 내지 약 0.2 중량%이다. 중량 퍼센트 비율은 다음과 같다: 약 1 내지 약 200, 바람직하게는 약 1 내지 약 40, 더욱 바람직하게는 약 1 내지 약 20 범위의 유기 아민(들)/착화제(들); 약 1 내지 약 300, 바람직하게는 약 10 내지 약 100, 더욱 바람직하게는 약 20 내지 약 80 또는 약 1 내지 약 10 범위의 4차 염기(들)/착화제(들); 및 약 1 내지 약 100, 바람직하게는 약 10 내지 약 80, 더욱 바람직하게는 약 10 내지 약 50 범위의 추가의 에칭제(들)/착화제(들).
특정 조성물에서 유용할 수 있는 예시적 유기 아민은 화학식 NR1R2R3을 갖는 화학종을 포함하며, R1, R2 및 R3은 서로 동일하거나 또는 상이할 수 있고 수소, 직쇄형 또는 분지형 C1-C6 알킬(예를 들어, 메틸, 에틸, 프로필, 부틸, 펜틸 및 헥실), 직쇄형 또는 분지형 C1-C6 알코올(예를 들어, 메탄올, 에탄올, 프로판올, 부탄올, 펜탄올 및 헥산올), 및 화학식 R4―O―R5를 갖는 직쇄형 또는 분지형 에테르로 이루어지는 군으로부터 선택되며, R4 및 R5는 서로 동일하거나 또는 상이할 수 있고 상기 정의된 바와 같은 C1-C6 알킬로 이루어지는 군으로부터 선택된다. 가장 바람직하게는, R1, R2 및 R3 중 하나 이상은 직쇄형 또는 분지형 C1-C6 알코올이다. 예는 제한 없이 아미노에틸에탄올아민, N-메틸아미노에탄올, 아미노에톡시에탄올, 디메틸아미노에톡시에탄올, 디에탄올아민, N-메틸디에탄올아민, 모노에탄올아민, 트리에탄올아민, 1-아미노-2-프로판올, 3-아미노-1-프로판올, 디이소프로필아민, 이소프로필아민, 2-아미노-1-부탄올, 이소부탄올아민, 다른 C1-C8 알칸올아민 및 이들의 조합과 같은 알칸올아민; 트리에틸렌디아민, 에틸렌디아민, 헥사메틸렌디아민, 디에틸렌트리아민, 트리에틸아민, 트리메틸아민 및 이들의 조합과 같은 아민; 디글리콜아민; 모르폴린; 및 아민과 알칸올아민의 조합을 포함한다. 아민이 에테르 성분을 포함하는 경우, 아민은 알콕시아민(예를 들어 1-메톡시-2-아미노에탄)으로 간주될 수 있다. 바람직하게는, 유기 아민은 모노에탄올아민을 포함한다.
존재하는 경우, 환원제는 아스코르브산, L(+)-아스코르브산, 이소아스코르브산, 아스코르브산 유도체, 갈산, 포름아미딘술핀산, 요산, 타르타르산, 시스테인 및 이들의 임의의 조합을 포함하지만 이들에 한정되지 않는다. 바람직하게는, 환원제는 아스코르브산, 타르타르산 또는 이들의 조합을 포함한다.
4차 염기는 화학식 NR1R2R3R4OH를 갖는 화합물을 포함하며, R1, R2, R3 및 R4는 서로 동일하거나 또는 상이할 수 있고 수소, 직쇄형 또는 분지형 C2-C6 알킬(예를 들어, 메틸, 에틸, 프로필, 부틸, 펜틸 및 헥실) 및 치환 또는 비치환 C6-C10 아릴, 예를 들어 벤질로 이루어지는 군으로부터 선택된다. 상업적으로 입수가능한 테트라알킬암모늄 하이드록사이드는 테트라에틸암모늄 하이드록사이드(TEAH), 테트라프로필암모늄 하이드록사이드(TPAH), 테트라부틸암모늄 하이드록사이드(TBAH), 트리부틸메틸암모늄 하이드록사이드(TBMAH), 벤질트리메틸암모늄 하이드록사이드(BTMAH), 콜린 하이드록사이드, 에틸트리메틸암모늄 하이드록사이드, 트리스(2-히드록시에틸)메틸 암모늄 하이드록사이드, 디에틸디메틸암모늄 하이드록사이드 및 이들의 조합을 포함하고, 이들이 사용될 수 있다. 상업적으로 입수가능하지 않은 테트라알킬암모늄 하이드록사이드는 통상의 기술자에게 알려진 TEAH, TPAH, TBAH, TBMAH 및 BTMAH를 제조하는데 사용되는 공개된 합성 방법과 유사한 방식으로 제조될 수 있다. 별법으로 또는 부가적으로, 하나 이상의 4차 염기는 화학식 (PR1R2R3R4)OH의 화합물일 수 있으며, R1, R2, R3 및 R4는 서로 동일하거나 또는 상이할 수 있고 수소, 직쇄형 C1-C6 알킬(예를 들어, 메틸, 에틸, 프로필, 부틸, 펜틸, 헥실), 분지형 C1-C6 알킬, C1-C6 알칸올(예를 들어, 메탄올, 에탄올, 프로판올, 부탄올, 펜탄올, 헥산올), 치환 C6-C10 아릴, 비치환 C6-C10 아릴(예를 들어, 벤질) 및 이들의 임의의 조합, 예를 들어 테트라부틸포스포늄 하이드록사이드(TBPH), 테트라메틸포스포늄 하이드록사이드, 테트라에틸포스포늄 하이드록사이드, 테트라프로필포스포늄 하이드록사이드, 벤질트리페닐포스포늄 하이드록사이드, 메틸 트리페닐포스포늄 하이드록사이드, 에틸 트리페닐포스포늄 하이드록사이드, N-프로필 트리페닐포스포늄 하이드록사이드로 이루어지는 군으로부터 선택된다. 바람직하게는, 4차 염기는 콜린 하이드록사이드을 포함한다.
본원에서 고려되는 착화제는 아세트산, 아세톤 옥심, 아크릴산, 아디프산, 알라닌, 아르기닌, 아스파라긴, 아스파르트산, 베타인, 디메틸 글리옥심, 포름산, 푸마르산, 글루콘산, 글루탐산, 글루타민, 글루타르산, 글리세르산, 글리세롤, 글리콜산, 글리옥실산, 히스티딘, 이미노디아세트산, 이소프탈산, 이타콘산, 락트산, 류신, 리신, 말레산, 말레산 무수물, 말산, 말론산, 만델산, 2,4-펜탄디온, 페닐아세트산, 페닐알라닌, 프탈산, 프롤린, 프로피온산, 피로카테콜, 피로멜리트산, 퀸산, 세린, 소르비톨, 숙신산, 타르타르산, 테레프탈산, 트리멜리트산, 트리메스산, 티로신, 발린, 자일리톨, 에틸렌디아민, 옥살산, 탄닌산, 벤조산, 암모늄 벤조에이트, 카테콜, 피로갈롤, 레조르시놀, 히드로퀴논, 시아누르산, 바르비투르산 및 유도체, 예를 들어 1,2-디메틸바르비투르산, 피루브산과 같은 알파-케토산, 프로판티올, 벤조히드록삼산, 테트라에틸렌펜타민(TEPA), 4-(2-히드록시에틸)모르폴린(HEM), N-아미노에틸피페라진(N-AEP), 에틸렌디아민테트라아세트산(EDTA), 1,2-시클로헥산디아민-N,N,N',N'-테트라아세트산(CDTA), 글리신/아스코르브산, 이미노디아세트산(IDA), 2-(히드록시에틸)이미노디아세트산(HIDA), 니트릴로트리아세트산, 티오우레아, 1,1,3,3-테트라메틸우레아, 우레아, 우레아 유도체, 글리신, 알라닌, 아르기닌, 아스파라긴, 아스파르트산, 시스테인, 글루탐산, 글루타르산, 글루타민, 히스티딘, 이소류신, 류신, 리신, 메티오닌, 페닐알라닌, 피페라딘, N-(2-아미노에틸)피페라딘, 프롤린, 피롤리딘, 세린, 트레오닌, 트립토판, 티로신, 발린, 포스폰산 및 이들의 유도체, 예를 들어 1-히드록시에틸리덴-1,1-디포스폰산(HEDP), 1,5,9-트리아자시클로도데칸-N,N',N"-트리스(메틸렌포스폰산)(DOTRP), 1,4,7,10-테트라아자시클로도데칸-N,N',N",N"'-테트라키스(메틸렌포스폰산)(DOTP), 니트릴로트리스(메틸렌)트리포스폰산, 디에틸렌트리아민펜타(메틸렌포스폰산)(DETAP), 아미노트리(메틸렌포스폰산), 이스(헥사메틸렌)트리아민 포스폰산, 1,4,7-트리아자시클로노난-N,N',N"-트리스(메틸렌포스폰산)(NOTP), 이들의 염 및 유도체, 및 이들의 조합을 포함하지만, 이들에 한정되지 않는다. 바람직한 실시예에서, 바람직하게는 착화제는 시스테인, 옥살산, 디메틸 글리옥심, 타르타르산 또는 이들의 임의의 조합을 포함한다. 바람직한 일 실시예에서, 착화제는 시스테인 및 옥살산을 포함한다.
존재하는 경우, 하나 이상의 추가의 에칭제는 모르폴린, 디글리콜아민, 3-부톡시프로필아민, 프로필렌 글리콜 모노부틸 에테르(예를 들어, DOWANOL PnB(The Dow Chemical Company)), 히드록시에틸모르폴린, 히드록시프로필모르폴린, 아미노에틸모르폴린, 아미노프로필모르폴린, 펜타메틸디에틸렌트리아민(PMDETA), 트리메틸아미노에틸에탄올아민, 트리메틸아미노프로필에탄올아민 및 이들의 조합을 포함하지만 이들에 한정되지 않는다. 존재하는 경우, 하나 이상의 추가의 에칭제는 모르폴린, 디글리콜아민 또는 이들의 조합을 포함한다.
존재하는 경우, 하나 이상의 세정 첨가제는 히드록시프로필셀룰로오스, 히드록시에틸셀룰로오스, 카르복시메틸셀룰로오스, 나트륨 카르복시메틸셀룰로오스(Na CMC), 폴리비닐피롤리딘(PVP), N-비닐 피롤리돈 단량체를 사용하여 제조된 임의의 중합체, 폴리아크릴산 에스테르 및 폴리아크릴산 에스테르 유사체, 폴리아미노산(예를 들어, 폴리알라닌, 폴리류신, 폴리글리신 등), 폴리아미도히드록시우레탄, 폴리락톤, 폴리아크릴아미드, 잔탄 검, 키토산, 폴리에틸렌 옥사이드, 폴리비닐 알코올, 폴리비닐 아세테이트, 폴리아크릴산, 폴리에틸렌이민, 소르비톨 및 자일리톨과 같은 당 알코올, 무수소르비톨 에스테르, TERGITOL과 같은 2차 알코올 에톡실레이트, 및 이들의 조합을 포함하지만 이들에 한정되지 않는다. 존재하는 경우, 하나 이상의 세정 첨가제는 조성물의 총 중량을 기준으로 약 0.0001 중량% 내지 약 1 중량%, 바람직하게는 약 0.0001 중량% 내지 약 0.2 중량% 양으로 제1 양태의 세정 조성물에 존재한다.
특히 바람직한 실시예에서, 제1 양태의 세정 조성물은 콜린 하이드록사이드, 하나 이상의 아민, 하나 이상의 착화제, 하나 이상의 환원제 및 물을 포함하거나 이들로 이루어지거나 또는 이들로 필수적으로 이루어지며, 세정 조성물은 알칼리 하이드록사이드, 알칼리 토금속 하이드록사이드 및 테트라메틸암모늄 하이드록사이드가 실질적으로 없다. 예를 들어, 제1 양태의 세정 조성물은 콜린 하이드록사이드, 하나 이상의 알칸올아민, 시스테인, 하나 이상의 환원제 및 물, 바람직하게는 콜린 하이드록사이드, 모노에탄올아민(MEA), 시스테인, 아스코르브산 및 물을 포함하거나 이들로 이루어지거나 또는 이들로 필수적으로 이루어질 수 있으며, 세정 조성물은 알칼리 하이드록사이드, 알칼리 토금속 하이드록사이드 및 테트라메틸암모늄 하이드록사이드가 실질적으로 없고 시스테인의 양은 약 0.00005 중량% 내지 약 0.2 중량% 범위이다. 중량 퍼센트 비율은 다음과 같다: 약 1 내지 약 200, 바람직하게는 약 10 내지 약 150, 더욱 바람직하게는 약 50 내지 약 120 범위의 유기 아민(들)/착화제(들); 약 1 내지 약 300, 바람직하게는 약 10 내지 약 250, 더욱 바람직하게는 약 50 내지 약 200, 또는 바람직하게는 약 1 내지 약 10 범위의 콜린 하이드록사이드/착화제(들); 및 약 1 내지 약 200, 바람직하게는 약 10 내지 약 150, 더욱 바람직하게는 약 50 내지 약 120 범위의 환원제(들)/착화제(들).
특히 바람직한 다른 실시예에서, 제1 양태의 세정 조성물은 하나 이상의 아민, 시스테인, 콜린 하이드록사이드 및 물을 포함하거나 이들로 이루어지거나 또는 이들로 필수적으로 이루어지며, 세정 조성물은 알칼리 하이드록사이드, 알칼리 토금속 하이드록사이드 및 테트라메틸암모늄 하이드록사이드가 실질적으로 없다. 예를 들어, 세정 조성물은 하나 이상의 알칸올아민, 시스테인, 콜린 하이드록사이드 및 물, 바람직하게는 모노에탄올아민, 시스테인, 콜린 하이드록사이드, 선택적으로 타르타르산 및 물을 포함하거나 이들로 이루어지거나 또는 이들로 필수적으로 이루어질 수 있으며, 세정 조성물은 알칼리 하이드록사이드, 알칼리 토금속 하이드록사이드 및 테트라메틸암모늄 하이드록사이드가 실질적으로 없고 시스테인의 양은 약 0.00005 중량% 내지 약 0.2 중량% 범위이다. 다른 실시예에서, 제1 양태의 세정 조성물은 하나 이상의 알칸올아민, 시스테인, 하나 이상의 추가의 착화제, 콜린 하이드록사이드 및 물, 바람직하게는 모노에탄올아민, 시스테인, 콜린 하이드록사이드, 옥살산 및 물을 포함하거나 이들로 이루어지거나 또는 이들로 필수적으로 이루어질 수 있으며, 세정 조성물은 알칼리 하이드록사이드, 알칼리 토금속 하이드록사이드 및 테트라메틸암모늄 하이드록사이드가 실질적으로 없고 시스테인의 양은 약 0.00005 중량% 내지 약 0.2 중량% 범위이다. 중량 퍼센트 비율은 다음과 같다: 약 1 내지 약 200, 바람직하게는 약 10 내지 약 150, 더욱 바람직하게는 약 50 내지 약 120 범위의 유기 아민(들)/착화제(들); 및 약 1 내지 약 300, 바람직하게는 약 10 내지 약 250, 더욱 바람직하게는 약 50 내지 약 200, 또는 바람직하게는 약 1 내지 약 10 범위의 4차 염기(들)/착화제(들).
특히 바람직한 또 다른 실시예에서, 제1 양태의 세정 조성물은 하나 이상의 아민, 하나 이상의 착화제, 콜린 하이드록사이드, 하나 이상의 추가의 에칭제 및 물을 포함하거나 이들로 이루어지거나 또는 이들로 필수적으로 이루어지며, 세정 조성물은 알칼리 하이드록사이드, 알칼리 토금속 하이드록사이드 및 테트라메틸암모늄 하이드록사이드가 실질적으로 없다. 예를 들어, 세정 조성물은 하나 이상의 알칸올아민, 시스테인, 콜린 하이드록사이드, 하나 이상의 추가의 에칭제, 물 및 선택적으로 하나 이상의 추가의 착화제, 바람직하게는 (ⅰ) 모노에탄올아민, 시스테인, 콜린 하이드록사이드, 모르폴린 또는 디글리콜아민 및 물, 또는 (ⅱ) 바람직하게는 모노에탄올아민, 시스테인, 옥살산, 콜린 하이드록사이드, 모르폴린 또는 디글리콜아민 및 물을 포함하거나 이들로 이루어지거나 또는 이들로 필수적으로 이루어질 수 있으며, 세정 조성물은 알칼리 하이드록사이드, 알칼리 토금속 하이드록사이드 및 테트라메틸암모늄 하이드록사이드가 실질적으로 없고 시스테인의 양은 약 0.00005 중량% 내지 약 0.2 중량% 범위이다. 중량 퍼센트 비율은 다음과 같다: 약 1 내지 약 100, 바람직하게는 약 1 내지 약 40, 더욱 바람직하게는 약 1 내지 약 20 범위의 유기 아민(들)/시스테인; 약 1 내지 약 300, 바람직하게는 약 10 내지 약 100, 더욱 바람직하게는 약 20 내지 약 80 또는 약 1 내지 약 10 범위의 4차 염기(들)/착화제(들); 및 약 1 내지 약 100, 바람직하게는 약 10 내지 약 80, 더욱 바람직하게는 약 10 내지 약 50 범위의 추가의 에칭제(들)/착화제(들).
제1 양태의 세정 조성물은 하나 이상의 금속 부식 억제제를 더 포함할 수 있다. 존재하는 경우, 하나 이상의 금속 부식 억제제는 제1 양태의 세정 조성물에 첨가되어 금속, 예를 들어 구리, 알루미늄의 부식 속도를 감소시킬 뿐만 아니라 세정 성능을 향상시킨다. 고려되는 부식 억제제는 아데노신, 아데닌, 피라졸, 1,2,4-트리아졸, 1,2,3-트리아졸, 이미다졸, 1H-피라졸-4-카르복실산, 3-아미노-5-tert-부틸-1H-피라졸, 5-아미노-1H-테트라졸, 4-메틸피라졸, 2-머캅토벤즈이미다졸, 2-아미노-5-(에틸티오)-1,3,4-티아디아졸, 2-아미노-5-에틸-1,3,4-티아디아졸, 이들의 유도체, 및 이들의 조합을 포함하지만 이들에 한정되지 않는다. 하나 이상의 부식 억제제의 양은 바람직하게는 약 0.001 중량% 내지 약 1 중량% 범위이다.
특히, 제1 양태의 세정 조성물은 마이크로전자 장치 구조체로부터 잔류물 및 오염물, 예를 들어, CMP 후 잔류물, 에칭 후 잔류물, 회화 후 잔류물 및 오염물을 세정하는데 유용하며 표면 상에 존재하는 코발트 함유 물질과 공존성이 있다. 실시예와 관계 없이, 세정 조성물은 바람직하게는 마이크로전자 장치로부터 잔류 물질을 제거하기 전에 하나 이상의 산화제(예를 들어, 과산화수소), 불화물 함유원, 연마 재료, 알칼리 및/또는 알칼리 토금속 염기, 테트라메틸암모늄 하이드록사이드, 시스테인 유도체, 계면활성제, 술포늄 화합물, 아미드옥심 화합물 및 이들의 조합이 없거나 또는 실질적으로 없다. 또한, 세정 조성물은 중합체 고체, 예를 들어, 포토레지스트를 형성하기 위해 응고하지 않아야 한다.
제1 양태의 세정 조성물의 pH는 7 초과, 바람직하게는 약 10 내지 14 초과의 범위, 가장 바람직하게는 약 12 내지 약 14 범위이다.
성분의 중량 퍼센트 비율 범위는 제1 양태의 조성물의 가능한 모든 농축되거나 또는 희석된 실시예를 포함할 것이다. 이를 위해서, 일 실시예에서는, 세정 용액으로서 사용하도록 희석될 수 있는 농축된 세정 조성물이 제공된다. 농축된 세정 조성물, 또는 "농축액"은 바람직하게는 사용자, 예를 들어 CMP 공정 엔지니어가 사용 시점에서 농축액을 원하는 농도 및 pH로 희석하도록 허용한다. 농축된 세정 조성물의 희석은 약 1:1 내지 약 2500:1, 바람직하게는 약 5:1 내지 약 200:1 및 가장 바람직하게는 약 25:1 내지 약 100:1 범위일 수 있으며, 세정 조성물은 도구에서 또는 도구 직전에 용매, 예를 들어 탈이온수로 희석된다. 통상의 기술자는 희석 후 본원에 개시된 성분의 중량 퍼센트 비율 범위가 변하지 않은 상태로 유지되어야 한다는 것을 이해할 수 있을 것이다.
제1 양태의 조성물은 에칭 후 잔류물 제거, 회화 후 잔류물 제거 표면 제조, 도금 후 세정 및 CMP 후 잔류물 제거를 포함하지만 이들에 한정되지 않는 응용에 유용할 수 있다. 또한, 세정 조성물은 장식용 금속, 금속 와이어 본딩, 인쇄 회로 기판 및 금속 또는 금속 합금을 사용하는 다른 전자 포장재를 포함하지만 이들에 한정되지 않는 다른 금속(예를 들어, 구리 함유) 제품의 세정 및 보호에 유용할 수 있다.
바람직한 또 다른 실시예에서, 제1 양태의 세정 조성물은 잔류물 및/또는 오염물을 더 포함한다. 잔류물 및 오염물은 조성물에 용해될 수 있다. 또한, 잔류물 및 오염물은 조성물에 현탁될 수 있다. 바람직하게는, 잔류물은 CMP 후 잔류물, 에칭 후 잔류물, 회화 후 잔류물, 오염물 또는 이들의 조합을 포함한다.
본원에 기술된 세정 조성물은 각 성분을 단순히 첨가하고 균일한 조건으로 혼합함으로써 용이하게 제제화될 수 있다. 또한, 조성물은 단일 패키지 제제로서, 또는 사용 시점에서 또는 사용 시점 전에 혼합되는 멀티-파트(multi-part) 제제로서 용이하게 제제화될 수 있으며, 예를 들어 멀티-파트 제제의 개별 파트는 도구에서 또는 도구 상류의 저장 탱크에서 혼합될 수 있다. 각 성분의 농도는 특정 복수의 조성으로 광범위하게 다양할 수 있으며(즉, 더 희석되거나 또는 더 농축될 수 있음), 본원에 기술된 조성물은 다양하게 및 별법으로 본원의 개시내용과 일치하는 임의의 성분 조합을 포함하거나 또는 그것으로 이루어지거나 또는 그것으로 필수적으로 이루어질 수 있다.
따라서, 다른 양태는 하나 이상의 용기에 본원에 기술된 세정 조성물을 형성하도록 구성된 하나 이상의 성분을 포함하는 키트에 관한 것이다. 키트는 제조시 또는 사용 시점에서 용매, 예를 들어 물과 결합시키기 위해 하나 이상의 용기에 하나 이상의 4차 염기, 하나 이상의 아민, 하나 이상의 착화제, 하나 이상의 환원제, 물, 선택적으로 하나 이상의 추가의 에칭제 및 선택적으로 하나 이상의 세정 첨가제를 포함할 수 있다. 다른 실시예에서, 키트는 제조시 또는 사용 시점에서 용매, 예를 들어 물과 결합시키기 위해 하나 이상의 용기에 하나 이상의 4차 염기, 하나 이상의 아민, 하나 이상의 착화제, 물, 선택적으로 하나 이상의 추가의 에칭제, 및 선택적으로 하나 이상의 세정 첨가제를 포함할 수 있다. 별법으로, 키트는 제조시 또는 사용 시점에서 서로 및 용매, 예를 들어 물과 결합시키기 위해 제1 용기에 하나 이상의 아민, 시스테인, 하나 이상의 4차 염기 및 물을 포함할 수 있다. 다른 별법으로, 키트는 제조시 또는 사용 시점에서 용매, 예를 들어 물과 결합시키기 위해 하나 이상의 용기에 하나 이상의 4차 염기, 하나 이상의 아민, 시스테인, 하나 이상의 추가의 착화제, 물, 선택적으로 하나 이상의 추가의 에칭제 및 선택적으로 하나 이상의 세정 첨가제를 포함할 수 있다. 키트의 용기는 상기 세정 조성물을 저장 및 선적하는데 적합해야 하며, 예를 들어 NOWPak® 용기(미국 매사추세츠주 빌러리카 소재, Entegris, Inc.)이다. 키트 용기는 바람직하게는 알칼리 하이드록사이드, 알칼리 토금속 하이드록사이드 및 테트라메틸암모늄 하이드록사이드가 실질적으로 없다.
마이크로전자 제조 작업에 적용되는 바와 같이, 본원에 기술된 세정 조성물은 마이크로전자 장치의 표면으로부터 CMP 후 잔류물 및/또는 오염물을 세정하는데 유용하게 이용된다. 세정 조성물은 실질적으로 저 k 유전 재료, 코발트 함유 물질을 손상시키지 않거나 또는 장치 표면 상의 금속 인터커넥트를 부식시키지 않는다. 바람직하게는, 세정 조성물은 잔류물 제거 전 장치 상에 존재하는 잔류물의 85% 이상, 더욱 바람직하게는 90% 이상, 심지어 더욱 바람직하게는 95% 이상 및 가장 바람직하게는 99% 이상을 제거한다.
CMP 후 잔류물 및 오염물 세정 적용에서, 본원에 기술된 세정 조성물은 Verteq 단일 웨이퍼 메가소닉 Goldfinger, OnTrak 시스템 DDS(이중면 스크러버), SEZ 또는 다른 단일 웨이퍼 분무 린스, Applied Materials Mirra-Mesa™/Reflexion™/Reflexion LK™, 및 Megasonic 뱃치 습식 벤치 시스템을 포함한 메가소닉스(megasonics) 및 브러시 스크러빙(이들에 한정되지 않음)과 같은 상당히 다양한 종래의 세정 도구와 함께 사용될 수 있다.
위에 CMP 후 잔류물, 에칭 후 잔류물, 회화 후 잔류물 및/또는 오염물을 갖는 마이크로전자 장치로부터 CMP 후 잔류물, 에칭 후 잔류물, 회화 후 잔류물 및/또는 오염물을 세정하기 위한 조성물의 사용시, 세정 조성물은 일반적으로 약 20℃ 내지 약 90℃, 바람직하게는 약 20℃ 내지 약 50℃ 범위의 온도에서 약 5초 내지 약 10분, 바람직하게는 약 1초 내지 20분, 바람직하게는 약 15초 내지 약 5분의 시간 동안 장치와 접촉된다. 본 발명의 방법의 광범위한 실시 내에서, 이러한 접촉 시간 및 온도는 예시적이며, 장치로부터 CMP 후 잔류물/오염물을 적어도 부분적으로 세정하는데 효과적인 임의의 다른 적합한 시간 및 온도 조건이 이용될 수 있다. "적어도 부분적으로 세정" 및 "실질적으로 제거"는 양자 모두 잔류물 제거 전 장치 상에 존재하는 잔류물의 85% 이상, 더욱 바람직하게는 90% 이상, 심지어 더욱 바람직하게는 95% 이상 및 가장 바람직하게는 99% 이상의 제거에 상응한다.
원하는 세정 작용의 달성 후, 세정 조성물은 본원에 기술된 조성물의 주어진 최종 용도 적용에서 바람직하고 효과적일 경우, 이전에 적용되었던 장치로부터 용이하게 제거될 수 있다. 바람직하게는, 린스 용액은 탈이온수를 포함한다. 이후, 장치는 질소 또는 원심 탈수 회전을 사용하여 건조될 수 있다.
또 다른 양태는 본원에 기술된 본 발명의 방법에 따라 제조된 개선된 마이크로전자 장치 및 이러한 마이크로전자 장치를 포함하는 제품에 관한 것이다.
다른 양태는 재생된(recycled) 세정 조성물에 관한 것이며, 세정 조성물은 통상의 기술자에 의해 용이하게 결정되는 바와 같이, 잔류물 및/또는 오염물 부하가 세정 조성물이 수용할 수 있는 최대의 양에 도달할 때까지 재생될 수 있다.
또 다른 양태는 마이크로전자 장치를 포함하는 물품의 제조 방법에 관한 것이며, 상기 방법은 위에 CMP 후 잔류물 및 오염물을 갖는 마이크로전자 장치로부터 상기 잔류물 및 오염물을 세정하기에 충분한 시간 동안 마이크로전자 장치를 세정 조성물과 접촉시키는 단계 및 본원에 기술된 세정 조성물을 사용하여 상기 마이크로전자 장치를 상기 물품으로 포함시키는 단계를 포함한다.
다른 양태는, 위에 CMP 후 잔류물 및 오염물을 갖는 마이크로전자 장치로부터 CMP 후 잔류물 및 오염물을 제거하는 방법이 기술되며, 상기 방법은
마이크로전자 장치를 CMP 슬러리로 연마하는 단계,
마이크로전자 장치로부터 CMP 후 잔류물 및 오염물을 제거하기에 충분한 시간 동안 마이크로전자 장치를 본원에 기술된 세정 조성물과 접촉시켜 CMP 후 잔류물 함유 조성물을 형성하는 단계, 및
마이크로전자 장치의 실질적인 세정을 달성하기에 충분한 양의 시간 동안 마이크로전자 장치를 CMP 후 잔류물 함유 조성물과 계속하여 접촉시키는 단계
를 포함한다.
다른 양태는 세정 조성물, 마이크로전자 장치, 및 잔류물, 오염물 및 이들의 조합으로 이루어지는 군으로부터 선택된 물질을 포함하는 제조품에 관한 것이며, 세정 조성물은 하나 이상의 4차 염기, 하나 이상의 아민, 하나 이상의 착화제, 선택적으로 하나 이상의 환원제, 선택적으로 하나 이상의 추가의 에칭제, 선택적으로 하나 이상의 세정 첨가제 및 물을 포함하고, 세정 조성물은 알칼리 하이드록사이드, 알칼리 토금속 하이드록사이드 및 테트라메틸암모늄 하이드록사이드가 실질적으로 없으며, 잔류물은 CMP 후 잔류물, 에칭 후 잔류물 및 회화 후 잔류물 중 하나 이상을 포함한다.
다른 양태는 세정 조성물, 마이크로전자 장치, 및 잔류물, 오염물 및 이들의 조합으로 이루어지는 군으로부터 선택된 물질을 포함하는 제조품에 관한 것이며, 세정 조성물은 하나 이상의 아민, 시스테인, 하나 이상의 4차 염기, 선택적으로 하나 이상의 추가의 킬레이트화제, 선택적으로 하나 이상의 환원제, 선택적으로 하나 이상의 추가의 에칭제, 선택적으로 하나 이상의 세정 첨가제 및 물을 포함하고, 조성물은 알칼리 하이드록사이드, 알칼리 토금속 하이드록사이드 및 테트라메틸암모늄 하이드록사이드가 실질적으로 없으며, 잔류물은 CMP 후 잔류물, 에칭 후 잔류물 및 회화 후 잔류물 중 하나 이상을 포함한다.
실시예 1
하기 나타낸 바와 같이 세 개의 용액 A 내지 D를 제조하였다. 이들 농축액을 약 60:1 내지 약 250:1 범위에서 탈이온수로 희석시켰다. 이후, 구리 및 코발트의 에칭 속도는 Co 및 Cu의 PVD 쿠폰을 각 용액에 25℃에서 30분 동안 침지시킴으로써 측정하였다.
Figure 112017074522428-pct00005
제제 D가 가장 낮은 Co 에칭 속도를 갖는 것으로 측정되었다. 이론에 얽매임 없이, 낮은 에칭 속도는 가능하게는 CoO 및/또는 Co 하이드록사이드층의 형성을 허용하고 따라서 Co 금속을 부동화하는, (약 10 내지 약 14 범위의) pH 및/또는 시스테인의 존재의 결과인 것으로 생각된다. 제제 E의 경우, Co 에칭 속도는 제제 D보다 높았지만 구리는 실질적으로 보호되었다.
본 발명은 예시적 실시예 및 특징을 참조하여 본원에 다양하게 개시되었지만 상기 기술된 실시예 및 특징은 본 발명을 한정하려는 것이 아니며, 본원의 개시내용을 기반으로 다른 변경, 변형 및 다른 실시예가 통상의 기술자에게 자명하다는 것을 이해할 것이다. 따라서, 본 발명은 이후 기재된 청구범위의 사상 및 범위 내에서 그러한 모든 변경, 변형 및 대안적 실시예를 포함하는 것으로 폭넓게 해석되어야 한다.

Claims (20)

  1. 0.01 내지 10 중량%의 하나 이상의 유기 아민, 61 내지 99.96 중량%의 하나 이상의 용매, 0.01 내지 20 중량%의 하나 이상의 4차 염기, 0.00005 내지 1 중량%의 하나 이상의 착화제, 0.01 내지 8 중량%의 하나 이상의 환원제, 선택적으로 하나 이상의 추가의 에칭제 및 선택적으로 하나 이상의 세정 첨가제를 포함하는 세정 조성물로서,
    상기 세정 조성물은 알칼리 하이드록사이드, 알칼리 토금속 하이드록사이드 또는 테트라메틸암모늄 하이드록사이드를 2 중량% 미만 포함하고, pH가 10 내지 14이며,
    하나 이상의 착화제는 시스테인, 디메틸 글리옥심, 타르타르산, 옥살산 또는 이들의 임의의 조합으로 이루어지는 군으로부터 선택된 화학종을 포함하고,
    하나 이상의 환원제는 아스코르브산, L(+)-아스코르브산, 이소아스코르브산, 아스코르브산 유도체, 갈산, 포름아미딘술핀산, 요산, 타르타르산, 시스테인 및 이들의 임의의 조합으로 이루어지는 군으로부터 선택된 화학종을 포함하는 세정 조성물.
  2. 제1항에 있어서, 하나 이상의 유기 아민이 화학식 NR1R2R3을 갖는 화학종을 포함하며, R1, R2 및 R3은 서로 동일하거나 또는 상이할 수 있고 수소, 직쇄형 또는 분지형 C1-C6 알킬, 직쇄형 또는 분지형 C1-C6 알코올, 및 화학식 R4―O―R5를 갖는 직쇄형 또는 분지형 에테르로 이루어지는 군으로부터 선택되며, R4 및 R5는 서로 동일하거나 또는 상이할 수 있고 C1-C6 알킬로 이루어지는 군으로부터 선택되는 세정 조성물.
  3. 제1항 또는 제2항에 있어서, 하나 이상의 4차 염기가 테트라에틸암모늄 하이드록사이드(TEAH), 테트라프로필암모늄 하이드록사이드(TPAH), 테트라부틸암모늄 하이드록사이드(TBAH), 트리부틸메틸암모늄 하이드록사이드(TBMAH), 벤질트리메틸암모늄 하이드록사이드(BTMAH), 에틸트리메틸암모늄 하이드록사이드, 콜린 하이드록사이드, 트리스(2-히드록시에틸)메틸 암모늄 하이드록사이드, 디에틸디메틸암모늄 하이드록사이드, 테트라부틸포스포늄 하이드록사이드(TBPH), 테트라메틸포스포늄 하이드록사이드, 테트라에틸포스포늄 하이드록사이드, 테트라프로필포스포늄 하이드록사이드, 벤질트리페닐포스포늄 하이드록사이드, 메틸 트리페닐포스포늄 하이드록사이드, 에틸 트리페닐포스포늄 하이드록사이드, N-프로필 트리페닐포스포늄 하이드록사이드 및 이들의 조합으로 이루어지는 군으로부터 선택된 화학종을 포함하는 세정 조성물.
  4. 삭제
  5. 제1항 또는 제2항에 있어서, 하나 이상의 착화제가 시스테인, 옥살산, 또는 시스테인과 옥살산의 조합을 포함하는 세정 조성물.
  6. 제1항 또는 제2항에 있어서, 하나 이상의 추가의 에칭제를 포함하고, 하나 이상의 추가의 에칭제가 모르폴린, 디글리콜아민, 3-부톡시프로필아민, 프로필렌 글리콜 모노부틸 에테르, 히드록시에틸모르폴린, 히드록시프로필모르폴린, 아미노에틸모르폴린, 아미노프로필모르폴린, 펜타메틸디에틸렌트리아민(PMDETA), 트리메틸아미노에틸에탄올아민, 트리메틸아미노프로필에탄올아민, 및 이들의 조합으로 이루어지는 군으로부터 선택되는 세정 조성물.
  7. 제1항 또는 제2항에 있어서, 하나 이상의 세정 첨가제를 포함하고, 하나 이상의 세정 첨가제가 히드록시프로필셀룰로오스, 히드록시에틸셀룰로오스, 카르복시메틸셀룰로오스, 나트륨 카르복시메틸셀룰로오스(Na CMC), 폴리비닐피롤리돈(PVP), N-비닐 피롤리돈 단량체를 사용하여 제조된 임의의 중합체, 폴리아크릴산 에스테르 및 폴리아크릴산 에스테르 유사체, 폴리알라닌, 폴리류신, 폴리글리신, 폴리아미도히드록시우레탄, 폴리락톤, 폴리아크릴아미드, 잔탄 검, 키토산, 폴리에틸렌 옥사이드, 폴리비닐 알코올, 폴리비닐 아세테이트, 폴리아크릴산, 폴리에틸렌이민, 소르비톨, 자일리톨, 무수소르비톨 에스테르, 2차 알코올 에톡실레이트, 및 이들의 조합으로 이루어지는 군으로부터 선택되는 세정 조성물.
  8. 제1항 또는 제2항에 있어서, 아데노신, 아데닌, 피라졸, 1,2,4-트리아졸, 1,2,3-트리아졸, 이미다졸, 1H-피라졸-4-카르복실산, 3-아미노-5-tert-부틸-1H-피라졸, 5-아미노-1H-테트라졸, 4-메틸피라졸, 2-머캅토벤즈이미다졸, 2-아미노-5-(에틸티오)-1,3,4-티아디아졸, 2-아미노-5-에틸-1,3,4-티아디아졸, 이들의 유도체, 및 이들의 조합으로 이루어지는 군으로부터 선택되는 하나 이상의 금속 부식 억제제를 더 포함하는 세정 조성물.
  9. 제1항 또는 제2항에 있어서, 조성물의 총 중량을 기준으로 0.00005 중량% 내지 0.2 중량% 범위의 시스테인을 포함하는 세정 조성물.
  10. 위에 잔류물 및 오염물을 갖는 마이크로전자 장치로부터 상기 잔류물 및 오염물을 제거하는 방법으로서, 마이크로전자 장치로부터 상기 잔류물 및 오염물을 적어도 부분적으로 세정하기에 충분한 시간 동안 마이크로전자 장치를 제1항 또는 제2항의 세정 조성물과 접촉시키는 것을 포함하는 방법.
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
KR1020177021631A 2015-01-05 2016-01-05 화학적 기계적 연마 후 제제 및 사용 방법 KR102058426B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562099844P 2015-01-05 2015-01-05
US62/099,844 2015-01-05
US201562236116P 2015-10-01 2015-10-01
US62/236,116 2015-10-01
PCT/US2016/012152 WO2016111990A1 (en) 2015-01-05 2016-01-05 Post chemical mechanical polishing formulations and method of use

Publications (2)

Publication Number Publication Date
KR20170137702A KR20170137702A (ko) 2017-12-13
KR102058426B1 true KR102058426B1 (ko) 2019-12-24

Family

ID=56356345

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177021631A KR102058426B1 (ko) 2015-01-05 2016-01-05 화학적 기계적 연마 후 제제 및 사용 방법

Country Status (7)

Country Link
US (1) US10351809B2 (ko)
EP (1) EP3243213A4 (ko)
JP (3) JP6599464B2 (ko)
KR (1) KR102058426B1 (ko)
CN (2) CN107208007A (ko)
TW (1) TWI726859B (ko)
WO (1) WO2016111990A1 (ko)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107208007A (zh) 2015-01-05 2017-09-26 恩特格里斯公司 化学机械抛光后调配物及其使用方法
TWI796289B (zh) 2016-03-09 2023-03-21 美商恩特葛瑞斯股份有限公司 化學機械研磨後清洗組合物及清洗方法
JP6991711B2 (ja) * 2016-12-27 2022-01-12 関東化学株式会社 洗浄液組成物
US11035044B2 (en) * 2017-01-23 2021-06-15 Versum Materials Us, Llc Etching solution for tungsten and GST films
KR101789251B1 (ko) * 2017-03-17 2017-10-26 영창케미칼 주식회사 화학적 기계적 연마 후 세정용 조성물
JP7156266B2 (ja) * 2017-03-17 2022-10-19 三菱ケミカル株式会社 半導体デバイス用基板の洗浄剤組成物、半導体デバイス用基板の洗浄方法、半導体デバイス用基板の製造方法及び半導体デバイス用基板
KR102355690B1 (ko) * 2017-04-11 2022-01-26 엔테그리스, 아이엔씨. 화학 기계적 연마 후 제제 및 사용 방법
EP3631045A4 (en) * 2017-05-25 2021-01-27 Fujifilm Electronic Materials U.S.A., Inc. MECHANICAL-CHEMICAL POLISHING CONCENTRATE SUSPENSION FOR COBALT APPLICATIONS
US11446708B2 (en) * 2017-12-04 2022-09-20 Entegris, Inc. Compositions and methods for reducing interaction between abrasive particles and a cleaning brush
IL268216B2 (en) * 2018-07-24 2023-02-01 Versum Mat Us Llc Cleaning products for residues after burning and methods of using them
US11091727B2 (en) * 2018-07-24 2021-08-17 Versum Materials Us, Llc Post etch residue cleaning compositions and methods of using the same
US11085011B2 (en) * 2018-08-28 2021-08-10 Entegris, Inc. Post CMP cleaning compositions for ceria particles
US11060051B2 (en) 2018-10-12 2021-07-13 Fujimi Incorporated Composition for rinsing or cleaning a surface with ceria particles adhered
CN112996893A (zh) * 2018-11-08 2021-06-18 恩特格里斯公司 化学机械研磨后(post cmp)清洁组合物
TWI821455B (zh) 2018-12-10 2023-11-11 美商恩特葛瑞斯股份有限公司 化學機械研磨後清潔組合物
US11845917B2 (en) * 2018-12-21 2023-12-19 Entegris, Inc. Compositions and methods for post-CMP cleaning of cobalt substrates
KR102612416B1 (ko) 2018-12-24 2023-12-08 삼성전자주식회사 세정 장치 및 세정 장치의 구동 방법
KR102659845B1 (ko) * 2019-02-08 2024-04-24 엔테그리스, 아이엔씨. 세리아 제거 조성물
KR101988481B1 (ko) * 2019-03-19 2019-06-12 제이엘켐 주식회사 반도체 기판용 세정액
CN110004449A (zh) * 2019-04-24 2019-07-12 上海新阳半导体材料股份有限公司 稳定型化学机械抛光后清洗液、其制备方法和应用
CN114846573A (zh) 2019-12-03 2022-08-02 Nec网络和传感器系统株式会社 微波管及控制微波管的方法
CN111041539B (zh) * 2020-01-02 2021-07-30 杭州和韵科技有限公司 一种铝阳极氧化染色前双步表调剂及其制备与应用
CN113430064B (zh) * 2020-03-23 2024-04-26 上海新阳半导体材料股份有限公司 一种无羟胺水基清洗液、其制备方法及应用
CN111621369A (zh) * 2020-06-11 2020-09-04 中山翰华锡业有限公司 高端集成电路板用中性环保水基清洗剂及其制备方法
JP7509883B2 (ja) * 2020-07-14 2024-07-02 富士フイルム株式会社 半導体基板用洗浄液
KR102562321B1 (ko) * 2020-11-13 2023-08-01 인하대학교 산학협력단 구리 박막의 건식 식각방법
CN117015845A (zh) * 2021-03-08 2023-11-07 三菱瓦斯化学株式会社 半导体基板清洗用组合物及清洗方法
WO2022190903A1 (ja) 2021-03-11 2022-09-15 富士フイルム株式会社 半導体処理用組成物、被処理物の処理方法
CN113462491A (zh) * 2021-05-21 2021-10-01 万华化学集团电子材料有限公司 一种化学机械抛光清洗液及其使用方法
WO2022255220A1 (ja) * 2021-06-02 2022-12-08 富士フイルム株式会社 処理液、半導体基板の洗浄方法、半導体素子の製造方法
WO2023282287A1 (ja) * 2021-07-08 2023-01-12 株式会社日本触媒 Cmp工程用後洗浄剤組成物
CN113652317A (zh) * 2021-07-16 2021-11-16 张家港安储科技有限公司 一种用于在半导体晶圆清洗过程中的化学机械研磨后的清洗组合物
JP2024529032A (ja) * 2021-08-05 2024-08-01 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 組成物及びその使用方法
WO2023177541A1 (en) * 2022-03-15 2023-09-21 Entegris, Inc. Microelectronic device cleaning composition
CN116042099B (zh) * 2023-02-14 2024-04-09 大连奥首科技有限公司 一种高润湿、高分散、高悬浮、易清洗的研磨助剂、制备方法、用途及包含其的研磨液
CN116695122B (zh) * 2023-08-02 2024-08-09 深圳市板明科技股份有限公司 一种ic载板用超粗化微蚀液及超粗化微蚀方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060166847A1 (en) 2005-01-27 2006-07-27 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
WO2013142250A1 (en) 2012-03-18 2013-09-26 Advanced Technology Materials, Inc. Post-cmp formulation having improved barrier layer compatibility and cleaning performance
JP2014212262A (ja) * 2013-04-19 2014-11-13 関東化学株式会社 洗浄液組成物

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7144848B2 (en) 1992-07-09 2006-12-05 Ekc Technology, Inc. Cleaning compositions containing hydroxylamine derivatives and processes using same for residue removal
US8003587B2 (en) * 2002-06-06 2011-08-23 Ekc Technology, Inc. Semiconductor process residue removal composition and process
US20050205835A1 (en) * 2004-03-19 2005-09-22 Tamboli Dnyanesh C Alkaline post-chemical mechanical planarization cleaning compositions
JP2008060377A (ja) 2006-08-31 2008-03-13 Sanyo Chem Ind Ltd 半導体洗浄用洗浄剤
US9074170B2 (en) * 2008-10-21 2015-07-07 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
JP5858597B2 (ja) 2010-01-29 2016-02-10 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド タングステン配線半導体用洗浄剤
JP5508130B2 (ja) * 2010-05-14 2014-05-28 富士フイルム株式会社 洗浄組成物、半導体装置の製造方法及び洗浄方法
JP5864569B2 (ja) * 2010-07-19 2016-02-17 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se 水性アルカリ性洗浄組成物およびそれらを使用する方法
JP2013157516A (ja) 2012-01-31 2013-08-15 Advanced Technology Materials Inc 銅配線半導体用洗浄剤
EP2850651A4 (en) * 2012-05-18 2016-03-09 Entegris Inc AQUEOUS CLEANING SOLUTION HAVING LOW COPPER ATTACK SPEED FOR MORE EFFICIENT REMOVAL OF ORGANIC RESIDUES
WO2014123126A1 (ja) * 2013-02-06 2014-08-14 三菱化学株式会社 半導体デバイス用基板洗浄液及び半導体デバイス用基板の洗浄方法
WO2014151361A1 (en) 2013-03-15 2014-09-25 Cabot Microelectronics Corporation Aqueous cleaning composition for post copper chemical mechanical planarization
US20160122696A1 (en) 2013-05-17 2016-05-05 Advanced Technology Materials, Inc. Compositions and methods for removing ceria particles from a surface
WO2015116818A1 (en) * 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
CN107208007A (zh) 2015-01-05 2017-09-26 恩特格里斯公司 化学机械抛光后调配物及其使用方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060166847A1 (en) 2005-01-27 2006-07-27 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
WO2013142250A1 (en) 2012-03-18 2013-09-26 Advanced Technology Materials, Inc. Post-cmp formulation having improved barrier layer compatibility and cleaning performance
JP2014212262A (ja) * 2013-04-19 2014-11-13 関東化学株式会社 洗浄液組成物

Also Published As

Publication number Publication date
JP2022003127A (ja) 2022-01-11
TWI726859B (zh) 2021-05-11
JP6599464B2 (ja) 2019-10-30
JP2018503723A (ja) 2018-02-08
JP2019218548A (ja) 2019-12-26
WO2016111990A1 (en) 2016-07-14
JP7005562B2 (ja) 2022-02-10
EP3243213A4 (en) 2018-08-08
EP3243213A1 (en) 2017-11-15
KR20170137702A (ko) 2017-12-13
CN107208007A (zh) 2017-09-26
CN118085973A (zh) 2024-05-28
US10351809B2 (en) 2019-07-16
TW201634683A (zh) 2016-10-01
US20180037852A1 (en) 2018-02-08

Similar Documents

Publication Publication Date Title
KR102058426B1 (ko) 화학적 기계적 연마 후 제제 및 사용 방법
US10557107B2 (en) Post chemical mechanical polishing formulations and method of use
KR102355690B1 (ko) 화학 기계적 연마 후 제제 및 사용 방법
KR102625498B1 (ko) 코발트 기판의 cmp-후 세정을 위한 조성물 및 방법
US9074170B2 (en) Copper cleaning and protection formulations
JP5647517B2 (ja) Cmp後洗浄配合物用の新規な酸化防止剤
JP2021192429A (ja) セリア粒子を表面から除去するための組成物及び方法
EP2768920A1 (en) Non-amine post-cmp composition and method of use
WO2016069576A1 (en) Non-amine post-cmp compositions and method of use

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
N231 Notification of change of applicant
E902 Notification of reason for refusal
AMND Amendment
E90F Notification of reason for final refusal
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant