JP2024517606A - 洗浄組成物 - Google Patents

洗浄組成物 Download PDF

Info

Publication number
JP2024517606A
JP2024517606A JP2023562922A JP2023562922A JP2024517606A JP 2024517606 A JP2024517606 A JP 2024517606A JP 2023562922 A JP2023562922 A JP 2023562922A JP 2023562922 A JP2023562922 A JP 2023562922A JP 2024517606 A JP2024517606 A JP 2024517606A
Authority
JP
Japan
Prior art keywords
acid
composition
ether
poly
hydroxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023562922A
Other languages
English (en)
Inventor
チュン リウ,
マイケル エル. ホワイト,
ダニエラ ホワイト,
エマニュエル アイ. クーパー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Entegris Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Entegris Inc filed Critical Entegris Inc
Publication of JP2024517606A publication Critical patent/JP2024517606A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02065Cleaning during device manufacture during, before or after processing of insulating layers the processing being a planarization of insulating layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/36Organic compounds containing phosphorus
    • C11D3/361Phosphonates, phosphinates or phosphonites
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/02Anionic compounds
    • C11D1/34Derivatives of acids of phosphorus
    • C11D1/342Phosphonates; Phosphinates or phosphonites
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D17/00Detergent materials or soaps characterised by their shape or physical properties
    • C11D17/0008Detergent materials or soaps characterised by their shape or physical properties aqueous liquid non soap compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0042Reducing agents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/042Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/044Hydroxides or bases
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • C11D3/2086Hydroxy carboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/36Organic compounds containing phosphorus
    • C11D3/362Phosphates or phosphites
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/36Organic compounds containing phosphorus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Organic Chemistry (AREA)
  • Wood Science & Technology (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Detergent Compositions (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

マイクロ電子デバイス構造体の洗浄に有用な組成物が提供される。残留物は、CMP後、エッチング後、アッシュ後残留物、パッドおよびブラシのデブリ、金属および金属酸化物粒子、ならびに銅-ベンゾトリアゾール錯体などの析出金属有機錯体を含み得る。有利には、本明細書に記載の組成物は、改善されたアルミニウム、コバルトおよび銅適合性を示す。【選択図】なし

Description

本発明は、一般に、マイクロ電子デバイス製造の分野に関する。特に、本発明は、CMP後洗浄組成物に関する。
マイクロ電子デバイスウエハは、集積回路を形成するために使用され、絶縁性、導電性または半導電性を有する異なる材料を堆積するために領域がパターニングされたケイ素などの基板を含む。
正しいパターニングを得るために、基板上に層を形成する際に使用される余分な材料を除去しなければならない。さらに、機能的で信頼性の高い回路を製作するためには、後続の処理の前に平坦または平面のマイクロ電子ウエハ表面を調製することが重要である。したがって、マイクロ電子デバイスウエハの特定の表面を除去および/または研磨する必要がある。
化学機械研磨または平面化(「CMP」)は、マイクロ電子デバイスウエハの表面から材料を除去し、摩耗などの物理的プロセスと酸化またはキレート化などの化学的プロセスとを組み合わせることによって表面を研磨する(より具体的には、平面化する)プロセスである。基本的に、CMPは、除去、平面化および研磨プロセスを達成するために、マイクロ電子デバイスウエハの表面をバフ研磨する研磨パッドにスラリー、例えば活性化学物質を含む水溶液中の研磨剤の組み合わせなどのスラリーを塗布することを含む。物理的および化学的作用の相乗的な組み合わせは、迅速で均一な除去を達成する。集積回路の製作において、CMPスラリーはまた、後続のフォトリソグラフィー、またはパターニング、エッチングおよび薄膜処理のために高度に平面の表面を生成することができるように、金属および他の材料の複合層を含む膜を優先的に除去することができなければならない。研磨後にウエハ表面全体にわたって良好な均一性を得るための1つの鍵は、存在する材料の各々に対して正しい除去選択性を有するCMPスラリーを使用することである。
ウエハ基板表面の調製、堆積、めっき、エッチングおよび化学機械研磨を含む処理動作の大部分は、そうでなければ製品の機能に有害な影響を及ぼす、または製品の意図された機能にとって製品を無用なものにさえする汚染物質がマイクロ電子デバイス製品にないことを保証するために洗浄動作を必要とする。多くの場合、これらの汚染物質の粒子は0.3μmより小さい。除去されない場合、これらの残留物は、例えば、銅線に損傷を与えるか、または銅メタライゼーションを著しく粗面化する可能性があり、さらにデバイス基板上のCMP後塗布層の接着不良を引き起こす可能性がある。
特に、デバイス性能の改善ならびにデバイスサイズの縮小およびデバイスフィーチャサイズの縮小に対する要求が増大するにつれて、基板から様々な残留物、例えば、CMP後残留物、エッチング後残留物およびアッシュ後残留物を効果的かつ選択的に除去する組成物および方法を提供することが業界において継続的に必要とされている。組成物および方法は、粒子および他の汚染物質を排除するだけでなく、アルミニウム、コバルトおよび銅などの成分を著しく腐食または損傷しないことが必要である。
アルミニウムは、銅、コバルト、ルテニウム、マンガン、モリブデン、レニウム、窒化チタン、窒化タンタル、窒化タングステン、二酸化ケイ素、窒化ケイ素、炭化ケイ素、炭窒化ケイ素、低k誘電体、ポリシリコン、およびシルセキオキサンまたはシロキサン系誘電体などの材料と共に、配線相互接続のバックエンド(BEOL)として使用される。
要約すると、本発明は、残留物および汚染物質をその上に有するマイクロ電子デバイスから前記残留物および/または汚染物質を洗浄するための組成物および方法に関する。残留物は、CMP後、エッチング後、アッシュ後残留物、パッドおよびブラシのデブリ、金属および金属酸化物粒子、ならびに銅-ベンゾトリアゾール錯体などの析出金属有機錯体を含み得る。
有利には、本明細書に記載の組成物は、アルミニウム、コバルトおよび銅などの特定の金属との改善された適合性を示す。
一態様では、本発明は、水;エッチャント化合物;pH調整剤;および特定のリン含有化合物から選択される腐食防止剤を含む組成物を提供する。
本明細書および添付の特許請求の範囲で使用される場合、単数形「a」、「an」、および「the」は、内容が明らかにそうでないことを指示しない限り、複数の指示対象を含む。本明細書および添付の特許請求の範囲で使用される場合、「または」という用語は、一般に、内容が明らかにそうでないことを指示しない限り、「および/または」を含む意味で使用される。
「約」という用語は、一般に、列挙された値と等価である(例えば、同じ機能または結果を有する)と考えられる数の範囲を指す。多くの場合、「約」という用語は、最も近い有効数字に丸められた数字を含むことができる。
端点を使用して表される数値範囲は、その範囲内に包含されるすべての数を含む(例えば、1~5は、1、1.5、2、2.75、3、3.80、4および5を含む)。
本発明は、一般に、残留物および汚染物質をその上に有するマイクロ電子デバイス基板からそのような材料を除去するのに有用な組成物に関する。組成物は、その上のバルクアルミニウムを著しく損傷することなく、アルミニウム含有基板からCMP後、エッチング後、またはアッシュ後残留物を除去するのに特に有用である。
「マイクロ電子デバイス」という用語は、半導体基板、フラットパネルディスプレイ、相変化メモリデバイス、ソーラーパネル、ならびにマイクロ電子集積回路、またはコンピュータチップ用途で使用するために製造されたソーラー基板、光起電力および微小電気機械システム(MEMS)を含む他の製品に対応する。ソーラー基板は、ケイ素、アモルファスケイ素、多結晶ケイ素、単結晶ケイ素、CdTe、セレン化銅インジウム、硫化銅インジウム、およびガリウム上のヒ化ガリウムを含むが、これらに限定されない。ソーラー基板は、ドープされていてもよく、ドープされていなくてもよい。「マイクロ電子デバイス」という用語は、決して限定することを意味するものではなく、最終的にマイクロ電子デバイスまたはマイクロ電子アセンブリになる任意の基板を含むことを理解されたい。
本明細書で使用される場合、「残留物」は、プラズマエッチング、アッシング、化学機械研磨、ウェットエッチング、およびそれらの組み合わせを含むがこれらに限定されないマイクロ電子デバイスの製造中に生成される粒子に対応する。
本明細書で使用される場合、「汚染物質」は、CMPスラリー中に存在する化学物質、研磨スラリーの反応副生成物、ウェットエッチング組成物中に存在する化学物質、ウェットエッチング組成物の生成物による反応、ならびにCMPプロセス、ウェットエッチング、プラズマエッチングまたはプラズマアッシングプロセスの副生成物である任意の他の材料、例えばパッドおよびブラシのデブリ、金属および金属酸化物粒子、ならびに銅-ベンゾトリアゾール錯体などの析出金属有機錯体に対応する。
本明細書で使用される場合、「エッチング後残留物」は、気相プラズマエッチングプロセス、例えばBEOLデュアルダマシン処理、またはウェットエッチングプロセスの後に残存する材料に対応する。エッチング後残留物は、本質的に有機、有機金属、有機ケイ素、または無機であり得、例えばケイ素含有材料、炭素系有機材料、ならびに酸素およびフッ素などのエッチングガス残留物であり得る。
本明細書で使用される場合、「アッシュ後残留物」は、硬化したフォトレジストおよび/または底部反射防止コーティング(BARC)材料を除去するための酸化的または還元的プラズマアッシング後に残存する材料に対応する。アッシュ後残留物は、本質的に有機、有機金属、有機ケイ素、または無機であり得る。
本明細書で使用される場合、「CMP後残留物」は、研磨スラリーからの粒子、例えばシリカ含有粒子、スラリー中に存在する化学物質、研磨スラリーの反応副生成物、炭素に富む粒子、研磨パッド粒子、ブラシ脱落粒子、機器材料の構造粒子、金属、金属酸化物、有機残留物、バリア層残留物、およびCMPプロセスの副生成物である任意の他の材料に対応する。本明細書で定義されるように、典型的に研磨される「金属」は、銅、アルミニウムおよびコバルトを含む。
本明細書で使用される場合、「低k誘電体材料」という用語は、層状マイクロ電子デバイスにおいて誘電体材料として使用される任意の材料に対応し、材料は、約3.5未満の誘電率を有する。特定の実施形態では、低k誘電体材料は、ケイ素含有有機ポリマー、ケイ素含有ハイブリッド有機/無機材料、有機ケイ酸塩ガラス(OSG)、TEOS、フッ素化ケイ酸塩ガラス(FSG)、二酸化ケイ素、および炭素ドープ酸化物(CDO)ガラスなどの低極性材料を含む。低k誘電体材料は、様々な密度および様々な多孔度を有し得ることも理解されたい。
本明細書で使用される場合、「バリア材料」という用語は、金属線、例えば銅相互接続を封止して、誘電体材料への前記金属、例えば銅の拡散を最小限に抑えるために当技術分野で使用される任意の材料に対応する。バリア層材料は、タンタル、チタン、ルテニウム、ハフニウム、タングステン、コバルト、ならびに前述の金属のいずれかの窒化物、炭化物およびケイ化物を含む。
本明細書で使用される場合、「反応生成物または分解生成物」は、表面での触媒作用、酸化、還元、組成物成分との反応、または重合の結果として形成される生成物または副生成物;物質または材料(例えば、分子、化合物など)が他の物質または材料と結合したか、構成成分を他の物質または材料と交換したか、分解したか、再配列したか、あるいは化学的および/または物理的に変性した変化または変換の結果として形成された生成物または副生成物、例えば、上記のいずれかの中間生成物または副生成物、あるいは上記の反応、変化および/または変換の任意の組み合わせなどを含むが、これらに限定されない。
本明細書で使用される場合、「錯化剤」は、錯化剤、キレート剤および/または金属イオン封鎖剤であると当業者によって理解される化合物を含む。錯化剤は、本明細書に記載の組成物を使用して除去される金属原子および/または金属イオンと化学的に結合し、配位し、または物理的に保持する。
本明細書で使用される場合、「フッ化物含有化合物」は、別の原子にイオン結合したフッ化物イオン(F-)を含む塩または酸化合物に対応する。
「実質的に欠く」は、本明細書では2重量%未満、1重量%未満、0.5重量%未満、または0.1重量%未満と定義される。一実施形態では、「実質的に欠く」は、0%に相当し、組成物が特定の成分を含まないことを示す。
本明細書で使用される場合、残留物および汚染物質をその上に有するマイクロ電子デバイスから前記残留物および汚染物質を洗浄するための「適性」は、マイクロ電子デバイスから前記残留物/汚染物質を少なくとも部分的に除去することに対応する。洗浄有効性は、マイクロ電子デバイス上の対象物の減少によって評価される。例えば、洗浄前および洗浄後の分析は、原子間力顕微鏡を使用して行ってもよい。試料上の粒子は、ピクセルの範囲として記録され得る。ヒストグラム(例えば、Sigma Scan Pro)を適用して、特定の強度、例えば231~235のピクセル、およびカウントされた粒子の数をフィルタリングすることができる。粒子減少は、以下を使用して計算することができる。
洗浄有効性=(洗浄前対象物の数-洗浄後対象物の数)×100
洗浄前対象物の数
特に、洗浄有効性の決定方法は、例としてのみ提供され、これに限定されることは意図されていない。代替的に、洗浄有効性は、粒子状物質によって覆われている、全表面のうちの割合として考えることもできる。例えば、原子間力顕微鏡デバイスは、z平面走査を実行して、特定の高さ閾値を超える関心トポグラフィ領域を識別し、次いで、前記関心領域によって覆われた、全表面のうちの領域を計算するようにプログラムされてもよい。当業者は、洗浄後に前記関心領域によって覆われる領域が少ないほど、洗浄組成物がより有効であることを容易に理解するであろう。特定の実施形態では、本明細書に記載の組成物を使用して、残留物/汚染物質の少なくとも75%、少なくとも90%、少なくとも95%、または少なくとも99%がマイクロ電子デバイスから除去される。
本明細書に記載の組成物は、以下により詳細に記載されるように、多種多様な特定の配合物で具体化され得る。
本発明の組成物は、特定の実施形態では、マイクロ電子デバイスからの残留物材料の除去に使用する前に、フッ化物含有源、研磨材料、テトラメチルアンモニウム水酸化物(TMAH)、およびそれらの組み合わせを実質的に欠くか、または欠く。
本発明の組成物は、水、還元剤、エッチャント化合物、ならびに特定のリン含有化合物から選択されるアルミニウム、銅および/またはコバルト腐食防止剤を含むか、これらからなるか、またはこれらから本質的になる。有利には、組成物は、マイクロ電子デバイス上の曝露されたアルミニウム含有表面に残存する汚染物質および残留物(例えば、ベンゾトリアゾール、スラリー粒子および他のCMP後残留物)の除去を増加させ、同時に曝露されたアルミニウム、銅またはコバルト表面の腐食速度を低下させる。
第1の態様では、本発明は、
a)水;
b)エッチャント化合物;
c)還元剤;および
d)一般式
Figure 2024517606000001
(式中、各xは、独立して0または1であり、Rは、アリール基もしくはアリーレン基または一価もしくは二価のC~C20ヒドロカルビル基であり、Mは、水素、C~Cアルキル基、アンモニウムまたはアルカリ金属カチオンから選択される)
を有する化合物から選択されるアルミニウム、コバルトまたは銅腐食防止剤
を含む組成物であって、
組成物のpHが約8超である、
組成物を提供する。
本明細書で使用される場合、「C~C20ヒドロカルビル基」という用語は、C~Cアルキル、C~Cアルコキシ、C~Cアルケニル、C~Cシクロアルキル、C~Cカルボキシ、C~Cアルコキシカルボニル、C~Cアルカノイルオキシ、ヒドロキシ、ハロ、シアノ、アリールおよびヘテロアリールから選択される1つ以上の基によって置換されていてもよい、1~20個の炭素またはポリマー炭素骨格を有するアルキル基、アルキレン基、アルケニル基、アルキリデン基、アリール基またはアリーレン基を指す。具体例としては、直鎖状、分岐状または環状のアルキル基またはアルキレン基、ならびにフェニル基またはフェニレン基が挙げられる。さらに、一般式(I)および(II)の化合物は、一般式(I)および/または(II)の2つ以上の化合物の混合物として存在してもよい。
一実施形態では、一般式(I)および(II)の化合物は、
フェニルホスホン酸;
フィチン酸;
(12-ホスホノドデシル)ホスホン酸;
n-ドデシルホスホン酸;
6-ホスホノヘキサン酸;
1,4-フェニレンビス(ホスホン酸);
ポリ(ビニルホスホン酸);
オクチルホスホン酸;
テトライソプロピルビニリデンジホスホネート;
ジ-tert-ブチルリン酸カリウム;
エチルホスホン酸;
メチレンジホスホン酸;
1,10-デシルジホスホン酸;
オクチルホスホン酸;
ヘキシルホスホン酸;
オクタデシルホスホン酸;
n-ドデシルホスホン酸;および
モノ-N-ドデシルホスフェート
から選択される。
組成物において、エッチャント化合物(または「エッチャント」)は錯化剤として機能すると考えられている。いくつかの実施形態では、組成物は、複数のエッチャントを含むエッチャント成分を含み得る。例示的なエッチャント剤は、一般式NRを有する種を含み得、式中、R、RおよびRは、同じであってもよく、または互いに異なっていてもよく、水素、直鎖または分岐C~Cアルキル(例えば、メチル、エチル、プロピル、ブチル、ペンチルおよびヘキシル)基、直鎖または分岐C~Cヒドロキシアルキル(例えば、ヒドロキシメチル、ヒドロキシエチル、ヒドロキシプロピル、ヒドロキシブチル、ヒドロキシペンチルおよびヒドロキシヘキシル)基、ならびに上記で定義した直鎖または分岐C~Cヒドロキシアルキル基のC~Cアルキルエーテルから選択される。特定の実施形態では、R、RおよびRの少なくとも1つは、直鎖または分岐C~Cヒドロキシアルキル基である。例としては、限定されないが、アルカノールアミン、例えばアミノエチルエタノールアミン、N-メチルアミノエタノール、アミノエトキシエタノール、ジメチルアミノエトキシエタノール、ジエタノールアミン、N-メチルジエタノールアミン、モノエタノールアミン(MEA)、トリエタノールアミン(TEA)、イソプロパノールアミン、ジイソプロパノールアミン、アミノプロピルジエタノールアミン、N,N-ジメチルプロパノールアミン、N-メチルプロパノールアミン、1-アミノ-2-プロパノール、2-アミノ-1-ブタノール、3-アミノ-4-オクタノール、イソブタノールアミン、トリエチレンジアミン、ジグリコールアミン、他のC~Cアルカノールアミン、およびエチレンオキシドまたはプロピレンオキシド系ポリマーJeffamine、ならびにそれらの組み合わせが挙げられる。アミンがアルキルエーテル成分を含む場合、アミンは、アルコキシアミン、例えば1-メトキシ-2-アミノエタンと考えられ得る。
代替的に、またはNRアミンに加えて、エッチャント化合物剤は、4-(2-ヒドロキシエチル)モルホリン(HEM)、1,2-シクロヘキサンジアミン-N,N,N’,N’-四酢酸(CDTA)、エチレンジアミン四酢酸(EDTA)、m-キシレンジアミン(MXDA)、イミノ二酢酸(IDA)、2-(ヒドロキシエチル)イミノ二酢酸(HIDA)、ニトリロ三酢酸、チオウレア、1,1,3,3-テトラメチル尿素、尿素、尿素誘導体、尿酸、アラニン、アルギニン、アスパラギン、アスパラギン酸、システイン、グルタミン酸、グルタミン、ヒスチジン、イソロイシン、ロイシン、リジン、メチオニン、フェニルアラニン、プロリン、セリン、スレオニン、トリプトファン、チロシン、バリン、およびそれらの組み合わせを含むが、それらに限定されない多官能アミンであり得る。
代替的に、または上述のエッチャントに加えて、追加のエッチャント化合物は、1,5,9-トリアザシクロドデカン-N,N’,N”-トリス(メチレンホスホン酸)(DOTRP)、1,4,7,10-テトラアザシクロドデカン-N,N’,N”,N”’-テトラキス(メチレンホスホン酸)(DOTP)、ニトリロトリス(メチレン)トリホスホン酸、ジエチレントリアミンペンタキス(メチレンホスホン酸)(DETAP)、アミノトリ(メチレンホスホン酸)、ビス(ヘキサメチレン)トリアミンペンタメチレンホスホン酸、1,4,7-トリアザシクロノナン-N,N’,N”-トリス(メチレンホスホン酸(NOTP)、ヒドロキシエチルジホスホネート、ニトリロトリス(メチレン)ホスホン酸、2-ホスホノ-ブタン-1,2,3,4-テトラカルボン酸、カルボキシエチルホスホン酸、アミノエチルホスホン酸、グリホサート、エチレンジアミンテトラ(メチレンホスホン酸)フェニルホスホン酸、これらの塩およびこれらの誘導体)ならびに/またはカルボン酸(例えば、シュウ酸、コハク酸、マレイン酸、リンゴ酸、マロン酸、アジピン酸、フタル酸、クエン酸、クエン酸ナトリウム、クエン酸カリウム、クエン酸アンモニウム、トリカルバリル酸、ジメチロールプロピオン酸、トリメチロールプロピオン酸、酒石酸、アセチルアセトン、グルタミン酸、アジピン酸、アミノトリス(メチレンホスホン)酸;チオウレア、1,1,3,3-テトラメチル尿素、尿素、尿素誘導体、尿酸、アラニン、アルギニン、タウリン、およびそれらの組み合わせを含み得る。グルクロン酸、2-カルボキシピリジン)および/またはスルホン酸、例えば4,5-ジヒドロキシ-1,3-ベンゼンジスルホン酸二ナトリウム塩。一実施形態では、エッチャントは、モノエタノールアミン、トリエタノールアミン、硫酸、クエン酸およびそれらの組み合わせから選択され得る。
組成物中のエッチャント化合物の量は、一実施形態では、洗浄組成物の総重量に基づいて、約0.005重量%~約20重量%の範囲である。別の実施形態では、エッチャントは、洗浄組成物の総重量に基づいて、約0.01重量%~約10重量%の量で存在し、別の実施形態では、約0.01重量%~約25重量%の量で存在する。
組成物は、1つ以上の還元剤を含む。例示的な還元剤としては、アスコルビン酸、L(+)-アスコルビン酸、イソアスコルビン酸、アスコルビン酸誘導体、次亜リン酸(HPO)、ヒドロキシルアミン、例えばDEHA(ジエチルヒドロキシルアミン)、還元糖類(例えば、ガラクトース)、キシロース、ソルビトール、亜硫酸、亜硫酸アンモニウム、亜硫酸カリウム、亜硫酸ナトリウム、ドーパミンHCl、亜リン酸、ホスフィン酸、次亜リン酸、メタ重亜硫酸カリウム、メタ重亜硫酸ナトリウム、メタ重亜硫酸アンモニウム、ピルビン酸カリウム、ピルビン酸ナトリウム、ピルビン酸アンモニウム、ギ酸、ギ酸ナトリウム、ギ酸カリウム、ギ酸アンモニウム、ドーパミン、二酸化硫黄溶液、およびそれらの任意の組み合わせが挙げられるが、これらに限定されない。例えば、還元剤は、少なくとも1つの亜硫酸イオンおよび少なくとも1つの他の列挙された還元剤、例えば亜硫酸、亜硫酸カリウム、亜硫酸アンモニウム、ホスフィン酸、レゾルシノール、すなわち1,1-ジヒドロキシベンゼン、1,3-ジヒドロキシベンゼン、および1,4-ジヒドロキシベンゼン、ならびにそれらの任意の組み合わせを含み得る。亜硫酸アンモニウムが存在する場合、亜硫酸アンモニウムはin situで製造することができ、特定の成分の組み合わせは、残留物、例えばシリカ粒子などの研磨粒子および他のCMP後残留物の除去を助ける亜硫酸アンモニウムの形成をもたらす。
別の実施形態では、還元剤は、存在する場合、N-アミノモルホリン、N-アミノピペラジン、ヒドロキノン、カテコール、テトラヒドロフルバレン、N,N-ジメチルアニリンベンジルアミン、ヒドロキシルアミンおよび他の硫黄系還元剤から選択される。
別の実施形態では、還元剤は、ヒドロ亜リン酸、亜リン酸、亜硫酸、メタ重亜硫酸ナトリウム、メタ重亜硫酸アンモニウム、メタ重亜硫酸カリウム、アスコルビン酸、L(+)-アスコルビン酸、イソアスコルビン酸、ヒドロキシルアミン、ヒドロキシルアミン塩、ジメチルヒドロキシルアミン、ジエチルヒドロキシルアミン、ガラクトース、キシロース、グルコース、フルクトース、ラクトースおよびマルトースから選択される還元糖類、ヒドロキノン、カテコール、テトラヒドロフルバレン、N,N-ジメチルアニリンベンジルアミン、ならびにそれらの組み合わせから選択される。
様々な実施形態では、組成物中の還元剤の量は、組成物の総重量に基づいて、約0.0001重量%~約20重量%の範囲である。別の実施形態では、還元剤は、組成物の総重量に基づいて、約0.0001重量%~約10重量%の量で存在し、さらに他の実施形態では、0.01重量%~6重量%、または0.005重量%~5重量%の量で存在する。一実施形態では、還元剤はアスコルビン酸である。様々な実施形態では、洗浄組成物は還元剤を含有しない。
上記のように、組成物のpHは約8超である。別の実施形態では、pHは約14未満である。別の実施形態では、pHは約8.5~約12である。別の実施形態では、成分d)はアルミニウム腐食防止剤であり、pHは約8~11である。別の実施形態では、成分d)はコバルト腐食防止剤であり、pHは約8~約13.5である。所望の目標pHに到達するために、組成物は、1つ以上のpH調整剤をさらに含んでもよい。一実施形態では、pH調整剤は塩基であり、洗浄組成物のpHを上昇させるために使用される。例示的な塩基としては、アルカリ金属水酸化物(例えば、LiOH、KOH、RbOH、CsOH)、アルカリ土類金属水酸化物(例えば、Be(OH)、Mg(OH)、Ca(OH)、Sr(OH)、Ba(OH))、水酸化アンモニウム(すなわち、アンモニア)、および一般式NROHを有するテトラアルキルアンモニウム水酸化物化合物が含まれるが、これらに限定されず、式中、R、R、RおよびRは、同じであってもよく、または互いに異なっていてもよく、水素、直鎖または分岐C~Cアルキル(例えば、メチル、エチル、プロピル、ブチル、ペンチルおよびヘキシル)基、C~Cヒドロキシアルキル(例えば、ヒドロキシメチル、ヒドロキシエチル、ヒドロキシプロピル、ヒドロキシブチル、ヒドロキシペンチルおよびヒドロキシヘキシル)基、ならびに置換または非置換C~C10アリール基(例えば、ベンジル基)からなる群から選択される。市販のテトラアルキルアンモニウム水酸化物としては、テトラメチルアンモニウム水酸化物(TMAH)、テトラエチルアンモニウム水酸化物(TEAH)、テトラプロピルアンモニウム水酸化物(TPAH)、テトラブチルアンモニウム水酸化物(TBAH)、トリブチルメチルアンモニウム水酸化物(TBMAH)、ベンジルトリメチルアンモニウム水酸化物(BTMAH)、水酸化コリン、エチルトリメチルアンモニウム水酸化物、トリス(2-ヒドロキシエチル)メチルアンモニウム水酸化物、ジエチルジメチルアンモニウム水酸化物、およびそれらの組み合わせが挙げられ、使用され得る。
代替的または追加的に、pH調整剤は、一般式(PR)OHを有する四級塩基であってもよく、式中、R、R、RおよびRは、同じであってもよく、または互いに異なっていてもよく、水素、直鎖C~Cアルキル(例えば、メチル、エチル、プロピル、ブチル、ペンチルおよびヘキシル)基、分岐C~Cアルキル基、C~Cヒドロキシアルキル(例えば、ヒドロキシメチル、ヒドロキシエチル、ヒドロキシプロピル、ヒドロキシブチル、ヒドロキシペンチルおよびヒドロキシヘキシル)基、置換C~C10アリール基、非置換C~C10アリール基(例えば、ベンジル基)ならびにそれらの任意の組み合わせ、例えばテトラブチルホスホニウム水酸化物(TBPH)、テトラメチルホスホニウム水酸化物、テトラエチルホスホニウム水酸化物、テトラプロピルホスホニウム水酸化物、ベンジルトリフェニルホスホニウム水酸化物、メチルトリフェニルホスホニウム水酸化物、エチルトリフェニルホスホニウム水酸化物、N-プロピルトリフェニルホスホニウム水酸化物から選択される。
一実施形態では、pH調整剤は、TMAH、TEAH、水酸化コリン、水酸化アンモニウムおよび水酸化カリウムから選択される。
添加されるpH調整化合物の量は、本明細書に開示され、当業者によって理解されるように、所望のpHに依存する。例えば、pH調整剤は、洗浄組成物の総重量に基づいて、約0.1重量%~約10重量%の量で存在してもよく、または約1重量%~約5重量%の量で存在してもよい。
特定の実施形態では、組成物は、溶媒、水溶性ポリマーおよび/または界面活性剤から選択される特定の洗浄添加剤成分をさらに含んでもよい。
例示的な洗浄添加剤としては、2-ピロリジノン、1-(2-ヒドロキシエチル)-2-ピロリジノン(HEP)、メタノール、エタノール、イソプロパノール、ブタノール、および高級アルコール(例えば、C~CジオールおよびC~Cトリオール)、テトラヒドロフルフリルアルコール(THFA)、ハロゲン化アルコール(例えば、3-クロロ-1,2-プロパンジオール、3-クロロ-1-プロパンチオール、1-クロロ-2-プロパノール、2-クロロ-1-プロパノール、3-クロロ-1-プロパノール、3-ブロモ-1,2-プロパンジオール、1-ブロモ-2-プロパノール、3-ブロモ-1-プロパノール、3-ヨード-1-プロパノール、4-クロロ-1-ブタノール、2-クロロエタノール)、ジクロロメタン、クロロホルム、グリセロール、1,4-ブタンジオール、テトラメチレンスルホン(スルホラン)、ジメチルスルホン、エチレングリコール、プロピレングリコール、ジプロピレングリコール、テトラグライム、ジグライム、メチルイソブチルケトン、メチルエチルケトン、アセトン、イソプロパノール、オクタノール、エタノール、ブタノール、メタノール、イソホロン、ジエチレングリコールモノメチルエーテル、トリエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、トリエチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノブチルエーテル、ジエチレングリコールモノブチルエーテル(DEGBE)、トリエチレングリコールモノブチルエーテル(TEGBE)、エチレングリコールモノヘキシルエーテル(EGHE)、ジエチレングリコールモノヘキシルエーテル(DEGHE)、エチレングリコールフェニルエーテル、ジエチレングリコールフェニルエーテル、ヘキサエチレングリコールモノフェニルエーテル、プロピレングリコールメチルエーテル、ジプロピレングリコールメチルエーテル(DPGME)、トリプロピレングリコールメチルエーテル(TPGME)、ジプロピレングリコールジメチルエーテル、ジプロピレングリコールエチルエーテル、プロピレングリコールn-プロピルエーテル、ジプロピレングリコールn-プロピルエーテル(DPGPE)、トリプロピレングリコールn-プロピルエーテル、プロピレングリコールn-ブチルエーテル(例えば、DOWANOL PnB)、ジプロピレングリコールn-ブチルエーテル、トリプロピレングリコールn-ブチルエーテル、ジプロピレングリコールフェニルエーテル、プロピレングリコールフェニルエーテル(PPh、例えばDOWANOL(商標)PPh)、4-メチル-2-ペンタノン、2,4-ジメチル-3-ペンタノン、シクロヘキサノン、5-メチル-3-ヘプタノン、3-ペンタノン、5-ヒドロキシ-2-ペンタノン、2,5-ヘキサンジオン、4-ヒドロキシ-4-メチル-2-ペンタノン、アセトン、ブタノン、2-メチル-2-ブタノン、3,3-ジメチル-2-ブタノン、4-ヒドロキシ-2-ブタノン、シクロペンタノン、2-ペンタノン、3-ペンタノン、1-フェニルエタノン、アセトフェノン、ベンゾフェノン、2-ヘキサノン、3-ヘキサノン、2-ヘプタノン、3-ヘプタノン、4-ヘプタノン、2,6-ジメチル-4-ヘプタノン、2-オクタノン、3-オクタノン、4-オクタノン、ジシクロヘキシルケトン、2,6-ジメチルシクロヘキサノン、2-アセチルシクロヘキサノン、2,4-ペンタンジオン、メントン、ジメチルスルホキシド(DMSO)、ジメチルホルムアミド(DMF)、N-メチルピロリジノン(NMP)、プロピレン尿素、N,N’-ジメチルプロピレン尿素、シクロヘキシルピロリジノン、N-オクチルピロリジノン、N-フェニルピロリジノン、エチレンカーボネート、プロピレンカーボネート、シレン、ジメチルエチレン尿素、プロピオフェノン、乳酸エチル、酢酸エチル、安息香酸エチル、アセトニトリル、アセトン、エチレングリコール、プロピレングリコール(PG)、1,3-プロパンジオール、ジオキサン、ブチリルラクトン、ブチレンカーボネート、エチレンカーボネート、プロピレンカーボネート、ジプロピレングリコール、ジエチレングリコールモノメチルエーテル、トリエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、トリエチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノブチルエーテル、ジエチレングリコールモノブチルエーテル(すなわち、ブチルカルビトール)、トリエチレングリコールモノブチルエーテル、エチレングリコールモノヘキシルエーテル、ジエチレングリコールモノヘキシルエーテル、エチレングリコールフェニルエーテル、プロピレングリコールメチルエーテル、ジプロピレングリコールメチルエーテル(DPGME)、トリプロピレングリコールメチルエーテル(TPGME)、ジプロピレングリコールジメチルエーテル、ジプロピレングリコールエチルエーテル、プロピレングリコールn-プロピルエーテル、ジプロピレングリコールn-プロピルエーテル(DPGPE)、トリプロピレングリコールn-プロピルエーテル、プロピレングリコールn-ブチルエーテル、ジプロピレングリコールn-ブチルエーテル、トリプロピレングリコールn-ブチルエーテル、プロピレングリコールフェニルエーテル、エチレングリコールモノフェニルエーテル、ジエチレングリコールモノフェニルエーテルヘキサエチレングリコールモノフェニルエーテル、ジプロピレングリコールメチルエーテルアセテート、テトラエチレングリコールジメチルエーテル(TEGDE)、二塩基性エステル、グリセリンカーボネート、N-ホルミルモルホリン、リン酸トリエチル、およびこれらの組み合わせなどの溶媒が挙げられるが、これらに限定されない。
代替的または追加的に、洗浄添加剤は、例えば、アクリル酸またはメタクリル酸の、例えば、アクリルアミドメチルプロパンスルホン酸およびマレイン酸ならびにそれらの塩との単独重合体および共重合体を含む水溶性ポリマー;マレイン酸/ビニルエーテル共重合体;ポリ(ビニルピロリドン)/酢酸ビニル;ホスホン化ポリエチレングリコールオリゴマー、ポリ(アクリル酸)(PAA)、ポリ(アクリルアミド)、ポリ(酢酸ビニル)、ポリ(エチレングリコール)(PEG)、ポリ(プロピレングリコール)(PPG)、ポリ(スチレンスルホン酸)、ポリ(ビニルスルホン酸)、ポリ(ビニルホスホン酸)、ポリ(ビニルリン酸)、ポリ(エチレンイミン)、ポリ(プロピレンイミン)、ポリアリルアミン、ポリエチレンオキシド(PEO)、ポリビニルピロリドン(PVP)、ポリ(ビニルアルコール)、親水性水溶性または分散性ポリウレタン、ポリ(エチレングリコールアクリレート)、ポリ(エチレングリコールメタクリレート)、PPG-PEG-PPGブロック共重合体、PEG-PPG-PEGブロック共重合体、およびそれらの組み合わせなどの単独重合体を含み得る。
代替的または追加的に、存在する場合、洗浄添加剤は、アニオン性、非イオン性、カチオン性および/または双性イオン性界面活性剤を含むがこれらに限定されない界面活性剤であってもよい。
そのような界面活性剤の例としては、アルギン酸およびその塩;ヒドロキシルまたはカルボキシアルキルセルロース;硫酸デキストランおよびその塩;ポリ(ガラクツロン酸)およびその塩;(メタ)アクリル酸およびその塩、マレイン酸、無水マレイン酸、スチレンスルホン酸およびその塩、ビニルスルホン酸およびその塩、アリルスルホン酸およびその塩、アクリルアミドプロピルスルホン酸およびその塩の単独重合体;(メタ)アクリル酸およびその塩、マレイン酸、無水マレイン酸、スチレンスルホン酸およびその塩、ビニルスルホン酸およびその塩、アリルスルホン酸およびその塩、アクリルアミドプロピルスルホン酸およびその塩の共重合体;キトサン;カチオン性デンプン;ポリリジンおよびその塩;ジアリルジメチルアンモニウムクロライド(DADMAC)、ジアリルジメチルアンモニウムブロミド、ジアリルジメチルアンモニウムサルフェート、ジアリルジメチルアンモニウムホスフェート、ジメタリルジメチルアンモニウムクロライド、ジエチルアリルジメチルアンモニウムクロライド、ジアリルジ(ベータ-ヒドロキシエチル)アンモニウムクロライド、ジアリルジ(ベータ-エトキシエチル)アンモニウムクロライド、ジメチルアミノエチル(メタ)アクリレート酸付加塩および第四級塩、ジエチルアミノエチル(メタ)アクリレート酸付加塩および第四級塩、7-アミノ-3,7-ジメチルオクチル(メタ)アクリレート酸付加塩および第四級塩、N,N’-ジメチルアミノプロピルアクリルアミド酸付加塩および第四級塩、アリルアミン、ジアリルアミン、ビニルアミン、ビニルピリジンの単独重合体;ならびにジアリルジメチルアンモニウムクロライド(DADMAC)、ジアリルジメチルアンモニウムブロミド、ジアリルジメチルアンモニウムサルフェート、ジアリルジメチルアンモニウムホスフェート、ジメタリルジメチルアンモニウムクロライド、ジエチルアリルジメチルアンモニウムクロライド、ジアリルジ(ベータ-ヒドロキシエチル)アンモニウムクロライド、ジアリルジ(ベータ-エトキシエチル)アンモニウムクロライド、ジメチルアミノエチル(メタ)アクリレート酸付加塩および第四級塩、ジエチルアミノエチル(メタ)アクリレート酸付加塩および第四級塩の共重合体;ココジメチルカルボキシメチルベタイン;ラウリルジメチルカルボキシメチルベタイン;ラウリルジメチル-アルファ-カルボキシエチルベタイン;セチルジメチルカルボキシメチルベタイン;ラウリル-ビス-(2-ヒドロキシエチル)カルボキシメチルベタイン;ステアリル-ビス-(2-ヒドロキシプロピル)カルボキシメチルベタイン;オレイルジメチル-ガンマ-カルボキシプロピルベタイン;ラウリル-ビス-(2-ヒドロキシプロピル)アルファ-カルボキシエチルベタイン;ココジメチルスルホプロピルベタイン;ステアリルジメチルスルホプロピルベタイン;ラウリル-ビス-(2-ヒドロキシエチル)スルホプロピルベタイン;ドデシル硫酸ナトリウム(SDS);Surfynol 104、ジオクチルスルホスクシネートナトリウム塩;ラウリルエーテル硫酸ナトリウム;ポリエチレングリコール分岐ノニルフェニルエーテル硫酸アンモニウム塩;2-ドデシル-3-(2-スルホナトフェノキシ)二ナトリウム;PEG25-PABA;ポリエチレングリコールモノ-C1016-アルキルエーテル硫酸ナトリウム塩;(2-N-ブトキシエトキシ)酢酸;ヘキサデシルベンゼンスルホン酸;セチルトリメチルアンモニウム水酸化物;ドデシルトリメチルアンモニウム水酸化物;ドデシルトリメチルアンモニウムクロライド;セチルトリメチルアンモニウムクロライド;N-アルキル-N-ベンジル-N,N-ジメチルアンモニウムクロライド;ドデシルアミン;ポリオキシエチレンラウリルエーテル;ドデセニルコハク酸モノジエタノールアミド;エチレンジアミンテトラキス(エトキシレート-ブロック-プロポキシレート);PolyFox PF-159(OMNOVA Solutions)、ポリエチレングリコール)(「PEG」)、ポリ(プロピレングリコール)(「PPG」)、エチレンオキシド/プロピレンオキシドブロック共重合体、例えばPluronic F-127(BASF)、ポリソルベートポリオキシエチレン(20)ソルビタンモノオレエート(Tween 80)、ポリオキシエチレン(20)ソルビタンモノステアレート(Tween 60)、ポリオキシエチレン(20)ソルビタンモノパルミテート(Tween 40)、ポリオキシエチレン(20)ソルビタンモノラウレート(Tween 20)、ポリオキシプロピレン/ポリオキシエチレンブロック共重合体(例えば、Pluronic L31、Plutonic 31R1、Pluronic 25R2およびPluronic 25R4)、デシルホスホン酸、ドデシルホスホン酸(DDPA)、テトラデシルホスホン酸、ヘキサデシルホスホン酸、ビス(2-エチルヘキシル)ホスフェート、オクタデシルホスホン酸、ペルフルオロヘプタン酸、プレフルオロデカン酸、トリフルオロメタンスルホン酸、ホスホノ酢酸、ドデシルベンゼンスルホン酸(DDBSA)、他のRベンゼンスルホン酸またはその塩(Rは直鎖または分岐C~C18アルキル基である)、リン酸水素ジオクタデシル、リン酸二水素オクタデシル、ドデシルアミン、ドデセニルコハク酸モノジエタノールアミド、ラウリン酸、パルミチン酸、オレイン酸、ジュニペリン酸、12-ヒドロキシステアリン酸、オクタデシルホスホン酸(ODPA)、リン酸ドデシルが挙げられる。
例示的な非イオン性界面活性剤としては、エトキシル化疎水性アルコール、例えばC~C20アルキルエーテル、例えばポリオキシエチレンラウリルエーテル、モノジエタノールアミド、エチレンジアミンテトラキス(エトキシレート-ブロック-プロポキシレート)テトロール、ポリエチレングリコール、ポリプロピレングリコール、ポリエチレンまたはポリプロピレングリコールエーテル、エチレンオキシドおよびプロピレンオキシドに基づくブロック共重合体、ポリオキシプロピレンスクロースエーテル、t-オクチルフェノキシポリエトキシエタノール、10-エトキシ-9,9-ジメチルデカン-1-アミン、ポリオキシエチレン(9)ノニルフェニルエーテル、分岐、ポリオキシエチレン(40)ノニルフェニルエーテル、分岐、ジノニルフェニルポリオキシエチレン、ノニルフェノールアルコキシレート、ポリオキシエチレンソルビトールヘキサオレエート、ポリオキシエチレンソルビトールテトラオレエート、ポリエチレングリコールソルビタンモノオレエート、ソルビタンモノオレエート、アルコールアルコキシレート、アルキル-ポリグルコシド、ペルフルオロ酪酸エチル、1,1,3,3,5,5-ヘキサメチル-1,5-ビス[2-(5-ノルボルネン-2-イル)エチル]トリシロキサン、モノマー性オクタデシルシラン誘導体、シロキサン修飾ポリシラザン、シリコーン-ポリエーテル共重合体、ならびにエトキシル化フルオロ界面活性剤が挙げられるが、これらに限定されない。
企図されるカチオン性界面活性剤としては、セチルトリメチルアンモニウムブロミド(CTAB)、ヘプタデカンフルオロオクタンスルホン酸、テトラエチルアンモニウム、ステアリルトリメチルアンモニウムクロライド、4-(4-ジエチルアミノフェニルアゾ)-1-(4-ニトロベンジル)ピリジウムブロミド、セチルピリジニウムクロライド一水和物、ベンザルコニウムクロライド、ベンゼトニウムクロライド、ベンジルジメチルドデシルアンモニウムクロライド、ベンジルジメチルヘキサデシルアンモニウムクロライド、ヘキサデシルトリメチルアンモニウムブロミド、ジメチルジオクタデシルアンモニウムクロライド、ドデシルトリメチルアンモニウムクロライド、ヘキサデシルトリメチルアンモニウムp-トルエンスルホネート、ジドデシルジメチルアンモニウムブロミド、ジ(水素化獣脂)ジメチルアンモニウムクロライド、テトラヘプチルアンモニウムブロミド、テトラキス(デシル)アンモニウムブロミド、およびオキシフェノニウムブロミド、グアニジンヒドロクロライド(C(NHCl)またはトリフレート塩、例えば、トリフルオロメタンスルホン酸テトラブチルアンモニウム、ジメチルジオクタデシルアンモニウムクロライド、ジメチルジヘキサデシルアンモニウムブロミド、ジ(水素化獣脂)ジメチルアンモニウムクロライド、およびポリオキシエチレン(16)獣脂エチルモニウムエトサルフェートが挙げられるが、これらに限定されない。
企図されるアニオン性界面活性剤としては、ポリ(アクリル酸ナトリウム塩)、ポリアクリル酸アンモニウム、ポリオキシエチレンラウリルエーテルナトリウム、ジヘキシルスルホコハク酸ナトリウム、ドデシル硫酸ナトリウム、ジオクチルスルホコハク酸塩、2-スルホコハク酸塩、2,3-ジメルカプト-1-プロパンスルホン酸塩、ジシクロヘキシルスルホコハク酸ナトリウム塩、7-エチル-2-メチル-4-ウンデシル硫酸ナトリウム、ホスフェートフルオロ界面活性剤、フルオロ界面活性剤、およびポリアクリレートが挙げられるが、これらに限定されない。
双性イオン性界面活性剤としては、アセチレンジオールまたは修飾アセチレンジオール、エチレンオキシドアルキルアミン、N,N-ジメチルドデシルアミンN-オキシド、ナトリウムコカミンプロピネート、3-(N,N-ジメチルミリスチルアンモニオ)プロパンスルホネートおよび(3-(4-ヘプチル)フェニル-3-ヒドロキシプロピル)ジメチルアンモニオプロパンスルホネート、デシルホスホン酸、ドデシルホスホン酸(DDPA)、テトラデシルホスホン酸、ヘキサデシルホスホン酸、ビス(2-エチルヘキシル)ホスフェート、オクタデシルホスホン酸、ペルフルオロヘプタン酸、プレフルオロデカン酸、トリフルオロメタンスルホン酸、およびホスホノ酢酸が挙げられるが、これらに限定されない。
別の実施形態では、洗浄添加剤は、エチレングリコールモノブチルエーテル、ジエチレングリコールモノブチルエーテル、プロピレングリコールモノブチルエーテル、ジプロピレングリコールモノブチルエーテル、エチレングリコールモノヘキシルエーテル、ジエチレングリコールモノヘキシルエーテル、トリエチレングリコールモノブチルエーテル、エチレングリコールモノフェニルエーテル、ジエチレングリコールモノフェニルエーテル、プロピレングリコールモノフェニルエーテル、ジメチルスルホキシド、スルホラン、ポリ(スチレンスルホン酸)またはその塩、ポリ(ビニルピロリドン)、ポリ(ビニルアルコール)、ポリ(アクリル酸)およびその塩、ポリアクリル酸共重合体およびその塩、ポリ(エチレングリコール)、ポリエチレングリコール-コ-ポリプロピレングリコール、ヒドロキシエチルセルロース、ポリ(2-アクリルアミド-2-メチル-1-プロパンスルホン酸)およびその共重合体、ポリジアリルジメチルアンモニウムクロライド、ポリ(ジメチルアミノエチルメタクリレート)およびその共重合体、ポリ(トリメチルアミノエチルメタクリレート)塩およびその共重合体、エトキシル化アルコールまたはフェノール類、エトキシル化脂肪酸糖類、ドデシルベンゼンスルホン酸、ラウリルスルホン酸、オクタン酸、ノナン酸、デカン酸、ウンデシル酸、ドデカン酸、ベンジルジメチルドセシルアンモニウムクロライド、ベンジルジメチルドセシルアンモニウム水酸化物、ならびにトリメチルドデシルアンモニウムクロライドから選択される。
存在する場合、組成物中の洗浄化合物の量は、一実施形態では、組成物の総重量に基づいて、約0.001重量%~約20重量%の範囲である。別の実施形態では、洗浄添加剤は、組成物の総重量に基づいて、約0.1重量%~約10重量%の量で存在し、別の実施形態では、約0.5重量%~約5重量%の量で存在する。
別の実施形態では、組成物は、本明細書の成分d)とは異なる追加の腐食防止剤をさらに含む。そのような任意の追加の腐食防止剤は、5-アミノテトラゾール、5-フェニル-ベンゾトリアゾール、1H-テトラゾール-5-酢酸、1-フェニル-2-テトラゾリン-5-チオン、ベンズイミダゾール、メチルテトラゾール、Bismuthiol I、シトシン、グアニン、チミン、ピラゾール、イミノ二酢酸(IDA)、プロパンチオール、ベンゾヒドロキサム酸、クエン酸、アスコルビン酸、5-アミノ-1,3,4-チアジアゾール-2-チオール(ATDT)、ベンゾトリアゾール(BTA)、1,2,4-トリアゾール(TAZ)、トリルトリアゾール、5-メチル-ベンゾトリアゾール(mBTA)、5-フェニル-ベンゾトリアゾール、5-ニトロ-ベンゾトリアゾール、ベンゾトリアゾールカルボン酸、3-アミノ-5-メルカプト-1,2,4-トリアゾール、1-アミノ-1,2,4-トリアゾール、ヒドロキシベンゾトリアゾール、2-(5-アミノ-ペンチル)-ベンゾトリアゾール、1-アミノ-1,2,3-トリアゾール、1-アミノ-5-メチル-1,2,3-トリアゾール、3-アミノ-1,2,4-トリアゾール(3-ATA)、3-メルカプト-1,2,4-トリアゾール、3-イソプロピル-1,2,4-トリアゾール、5-フェニルチオール-ベンゾトリアゾール、ハロ-ベンゾトリアゾール(ハロ=F、Cl、BrまたはI)、ナフトトリアゾール、2-メルカプトベンズイミダゾール(MBI)、2-メルカプトベンゾチアゾール、4-メチル-2-フェニルイミダゾール、2-メルカプトチアゾリン、5-アミノ-1,2,4-トリアゾール(5-ATA)、硫酸デデシルナトリウム(sodium dedecyl sulfate)(SDS)、ATA-SDS、3-アミノ-5-メルカプト-1,2,4-トリアゾール、ペンチレンテトラゾール、5-フェニル-1H-テトラゾール、5-ベンジル-1H-テトラゾール、Ablumine O、2-ベンジルピリジン、スクシンイミド、2,4-ジアミノ-6-メチル-1,3,5-トリアジン、チアゾール、トリアジン、メチルテトラゾール、1,3-ジメチル-2-イミダゾリジノン、1,5-ペンタメチレンテトラゾール、1-フェニル-5-メルカプトテトラゾール、ジアミノメチルトリアジン、イミダゾリンチオン、4-メチル-4H-1,2,4-トリアゾール-3-チオール、4-アミノ-4H-1,2,4-トリアゾール、3-アミノ-5-メチルチオ-1H-1,2,4-トリアゾール、ベンゾチアゾール、イミダゾール、インジアゾール、アデニン、スクシンイミド、アデノシン、カルバゾール、サッカリン、尿酸、ベンゾイノキシム、カチオン性第四級塩(例えば、ベンザルコニウムクロライド、ベンジルジメチルドデシルアンモニウムクロライド、ミリスチルトリメチルアンモニウムブロミド、ドデシルトリメチルアンモニウムブロミド、ヘキサデシルピリジニウムクロライド、Aliquat 336(Cognis)、ベンジルジメチルフェニルアンモニウムクロライド、Crodaquat TES(Croda.Inc.)、Rewoquat CPEM(Witco)、p-トルエンスルホン酸ヘキサデシルトリメチルアンモニウム、ヘキサデシルトリメチルアンモニウム水酸化物、1-メチル-1’-テトラデシル-4,4’-ビピリジウムジクロライド、アルキルトリメチルアンモニウムブロミド、塩酸アンプロリウム、ベンゼトニウム水酸化物、ベンゼトニウムクロライド、ベンジルジメチルヘキサデシルアンモニウムクロライド、ベンジルジメチルテトラデシルアンモニウムクロライド、ベンジルドデシルジメチルアンモニウムブロミド、ベンジルドデシルジメチルアンモニウムクロライド、セチルピリジニウムクロライド、コリンp-トルエンスルホン酸塩、ジメチルジオクタデシルアンモニウムブロミド、ドデシルエチルジメチルアンモニウムブロミド、ドデシルトリメチルアンモニウムクロライド、デシルトリメチルアンモニウムクロライド(DTAC)、エチルヘキサデシルジメチルアンモニウムブロミド、ジラード試薬、ヘキサデシル(2-ヒドロキシエチル)ジメチルアンモニウム二水素ホスフェート、デキサデシルピリジニウムブロミド、ヘキサデシルトリメチルアンモニウムブロミド、ヘキサデシルトリメチルアンモニウムクロライド、メチルベンゼトニウムクロライド、Hyamine(登録商標)1622、Luviquat(商標)、N,N’,N’-ポリオキシエチレン(10)-N-獣脂-1,3-ジアミノプロパン液、オキシフェノニウムブロミド、テトラヘプチルアンモニウムブロミド、テトラキス(デシル)アンモニウムブロミド、トンゾニウムブロミド、トリドデシルアンモニウムクロライド、トリメチルオクタデシルアンモニウムブロミド、1-メチル-3-n-オクチルイミダゾリウムテトラフルオロボラート、1-デシル-3-メチルイミダゾリウムテトラフルオロボラート、1-デシル-3-メチルイミダゾリウムクロライド、トリドデシルメチルアンモニウムブロミド、ジメチルジステアリルアンモニウムクロライド、セチルトリメチルアンモニウムブロミド、ミリスチルトリメチルアンモニウムブロミド、およびヘキサメトニウムクロライド、ならびにこれらの組み合わせ、ヘキサデシルトリメチルアンモニウムp-トルエンスルホネート、ヘキサデシルトリメチルアンモニウム水酸化物、1-メチル-1’-テトラデシル-4,4’-ビピリジウムジクロライド、アルキルトリメチルアンモニウムブロミド、塩酸アンプロリウム、ベンゼトニウム水酸化物、ベンゼトニウムクロライド、ベンジルジネチルヘキサデシルアンモニウムクロライド(benzylditnethylhexadecylammonium chloride)、ベンジルジメチルテトラデシルアンモニウムクロライド、ベンジルドデシルジメチルアンモニウムブロミド、ベンジルドデシルジメチルアンモニウムクロライド、セチルピリジニウムクロライド、コリンp-トルエンスルホン酸塩、ジメチルジオクタデシルアンモニウムブロミド、ドデシルエチルジメチルアンモニウムブロミド、ドデシルトリメチルアンモニウムクロライド、エチルヘキサデシルジメチルアンモニウムブロミド、ジラード試薬、ヘキサデシル(2-ヒドロキシエチル)ジメチルアンモニウム二水素ホスフェート、デキサデシルピリジニウムブロミド、ヘキサデシルトリメチルアンモニウムブロミド、ヘキサデシルトリメチルアンモニウムクロライド、メチルベンゼトニウムクロライド、Hyamine(登録商標)1622、Luviquat(商標)、N,N’,N’-ポリオキシエチレン(10)-N-獣脂-1,3-ジアミノプロパン液、オキシフェノニウムブロミド、テトラヘプチルアンモニウムブロミド、テトラキス(デシル)アンモニウムブロミド、トンゾニウムブロミド、トリドデシルアンモニウムクロライド、トリメチルオクタデシルアンモニウムブロミド、1-メチル-3-n-オクチルイミダゾリウムテトラフルオロボラート、1-デシル-3-メチルイミダゾリウムテトラフルオロボラートから選択される。1-デシル-3-メチルイミダゾリウムクロライド、トリドデシルメチルアンモニウムブロミド、ジメチルジステアリルアンモニウムクロライド、セチルトリメチルアンモニウムブロミド、ミリスチルトリメチルアンモニウムブロミドおよびヘキサメトニウムクロライド)、アニオン性界面活性剤(例えば、ドデシルベンゼンスルホン酸、ドデシルベンゼンスルホン酸ナトリウム、ドデシルホスホン酸(DDPA)、酢酸、アセトンオキシム、アクリル酸、アジピン酸、アラニン、アルギニン、アスパラギン、アスパラギン酸、ベタイン、ジメチルグリオキシム、ギ酸、フマル酸、グルコン酸、グルタミン酸、グルタミン、グルタル酸、グリセリン酸、グリセロール、グリコール酸、グリオキシル酸、ヒスチジン、イミノ二酢酸、イソフタル酸、イタコン酸、乳酸、ロイシン、リジン、マレイン酸、無水マレイン酸、リンゴ酸、マロン酸、マンデル酸、2,4-ペンタンジオン、フェニル酢酸、フェニルアラニン、フタル酸、プロリン、プロピオン酸、ピロカテコール、ピロメリット酸、キナ酸、セリン、ソルビトール、コハク酸、酒石酸、テレフタル酸、トリメリット酸、トリメシン酸、チロシン、バリン、キシリトール、シュウ酸、ピコリン酸、1,3-シクロペンタンジオン、カテコール、ピロガロール、レゾルシノール、ヒドロキノン、シアヌル酸、バルビツール酸、1,2-ジメチルバルビツール酸、ピルビン酸、プロパンチオール、ベンゾヒドロキサム酸、2,5-ジカルボキシプリジン、4-(2-ヒドロキシエチル)モルホリン(HEM)、N-アミノエチルピペラジン(N-AEP)、エチレンジアミン四酢酸(EDTA)、1,2-シクロヘキサンジアミン-N,N,N’,N’-四酢酸(CDTA)、N-(ヒドロキシエチル)-エチレンジアミン三酢酸(HEdTA)、イミノ二酢酸(IDA)、2-(ヒドロキシエチル)イミノ二酢酸(HIDA)、ニトリロ三酢酸、チオウレア、1,1,3,3-テトラメチル尿素、尿素、尿素誘導体、グリシン、システイン、グルタミン酸、イソロイシン、メチオニン、ピペリジン、N-(2-アミノエチル)ピペリジン、ピロリジン、スレオニン、トリプトファン、サリチル酸、p-トルエンスルホン酸、サリチルヒロキサム酸(salicylhyroxyamic)、5-スルホサリチル酸、トリアゾール、アミノトリアゾール、ジメチルプロパルギルアルコール、ラウロイルサルコシン、ステロイルサルコシン、サッカリン、ホウ酸、3-ヒドロキシ-2-ナフトエ酸、マロン酸、イミノ二酢酸、五ホウ酸アンモニウム、尿素、メチルトリエトキシシラン、4-メチルピラゾール、ピラゾール、2-アミノ-チアゾール、アデノシン、2-アミノ-1,3,4チアジアゾール、5-アミノ-1H-テトラゾール、アデニン、プテリン、ピリミジン、ピラジン、シトシン、ピリダジン、1H-ピラゾール-3-カルボン酸、1H-ピラゾール-4-カルボン酸、3-アミノ-5-ヒドロキシ-1H-ピラゾール、3-アミノ-5-メチル-1H-ピラゾール、3-アミノ-5-tert-ブチル-1H-ピラゾール、2-アミノ-メチルチアゾール、2-メルカプトチアゾール、2,5-ジメルカプト-1,3,4-チアジアゾール、2-メルカプト-5-メチル-1,3,4-チアジアゾール、2-アミノチアゾール-5-カルボニトリル、2-アミノチアゾール-5-カルボキシアルデヒド、エチル2-アミノチアゾール-4-カルボキシレート、1,2,3-トリアゾール、1,2,4-トリアゾール、イミダゾール、3-アミノ-1,2,4-トリアゾール、それらの誘導体、ならびにそれらの組み合わせ。
別の実施形態では、組成物は、イソチアゾリノンなどの殺生物剤をさらに含む。
別の実施形態では、組成物は、酸化剤およびフッ化物を実質的に含まない。
本明細書に記載の成分の重量パーセント比の範囲は、組成物のすべての可能な濃縮または希釈された実施形態を包含する。その目的のために、一実施形態では、洗浄溶液として使用するために希釈することができる濃縮除去組成物が提供される。濃縮組成物または「濃縮物」は、有利には、使用者(例えば、CMPプロセスエンジニア)が使用時点で濃縮物を所望の強度およびpHに希釈することを可能にする。濃縮された水性除去組成物の希釈は、約1:1~約2500:1、または約5:1~約200:1、または約20:1~約120:1の範囲であり得、水性除去組成物は、使用時または使用直前に溶媒、例えば脱イオン水で希釈される。希釈後、本明細書に開示される成分の重量パーセント比の範囲は不変のままであるべきであることが当業者には理解されよう。
したがって、本明細書に記載の組成物は、エッチング後残留物除去、アッシュ後残留物除去表面調製、めっき後洗浄およびCMP後残留物除去を含むがこれらに限定されない用途に有用である。アルミニウム含有成分の洗浄に加えて、本明細書に記載の洗浄組成物は、いくつかの実施形態では、他の金属(例えば、銅含有およびコバルト含有)マイクロ電子デバイス構造体の洗浄および保護に有用である。
洗浄組成物は、それぞれの成分の単純な添加および均一な状態への混合によって容易に配合される。さらに、組成物は、使用時点またはその前に混合される単一パッケージ配合物またはマルチパート配合物として容易に配合され得、例えば、マルチパート配合物の個々の部分は、ツールまたはCMPツールの上流の貯蔵タンク内で混合され得る。それぞれの成分の濃度は、組成物の特定の倍数で、すなわち、より希釈されているか、またはより濃縮されているかで広く変化してもよく、本明細書に記載の組成物は、本明細書の開示と一致する成分の任意の組み合わせを様々におよび代替的に含むか、それからなるか、または本質的にそれからなることができることが理解されよう。
したがって、別の態様は、本明細書に記載の洗浄組成物を形成するように適合された1つ以上の成分を1つ以上の容器に含むキットに関する。キットは、1つまたは複数の容器に、水;エッチャント化合物;pH調整剤;および製造工場または使用時点で追加の溶媒(例えば、水)と組み合わせるための、本明細書に記載の一般式(I)または(II)のうちの1つ以上の化合物を含み得る。当然のことながら、キットは、上記で列挙した任意選択の成分の1つ以上をさらに含んでもよい。キットの容器は、組成物の保管および輸送に適していなければならず、例えば、NOWPak(登録商標)容器(Entegris,Inc.、米国マサチューセッツ州ビレリカ)であり得る。
水性洗浄組成物の成分を含有する1つ以上の容器は、好ましくは、前記1つ以上の容器内の成分をブレンドおよび分配するために流体連通させるための手段を含む。例えば、NOWPak(登録商標)容器を参照すると、前記1つ以上の容器内のライナーの外側にガス圧を加えて、ライナーの内容物の少なくとも一部を排出させ、したがってブレンドおよび分配するための流体連通を可能にすることができる。代替的に、従来の加圧可能容器のヘッドスペースにガス圧を加えてもよく、またはポンプを使用して流体連通を可能にしてもよい。さらに、システムは、好ましくは、ブレンドされた除去組成物をプロセスツールに分配するための分配ポートを含む。
マイクロ電子製造作業に適用される場合、本明細書に記載の洗浄組成物は、マイクロ電子デバイスの表面から、粒子、CMP後残留物、アッシュ後残留物およびエッチング後残留物を含む残留物および汚染物質を除去するために有用に使用される。有利には、本明細書に記載される開示された洗浄組成物は、当技術分野で以前に記載された代替の洗浄組成物と比較して改善されたコバルト適合性を示す。したがって、本開示は、本明細書に記載の洗浄組成物を使用して、残留物および汚染物質をその上に有するマイクロ電子デバイスから前記残留物および汚染物質を除去する方法にさらに関する。
本方法は、マイクロ電子デバイスから残留物および汚染物質を少なくとも部分的に洗浄するのに十分な時間、マイクロ電子デバイスを開示された洗浄組成物と接触させることを含む。使用時に、組成物は、典型的には、約5秒~約10分間、好ましくは約1秒~20分間、好ましくは約15秒~約5分間、約20℃~約90℃、好ましくは約20℃~約50℃の範囲の温度でデバイスと接触する。そのような接触時間および温度は例示であり、本方法の広範な実施の範囲内で、デバイスから残留物および汚染物質を少なくとも部分的に除去するのに有効な任意の他の適切な時間および温度条件を使用することができる。したがって、別の態様では、本発明は、残留物および汚染物質をその上に有するマイクロ電子デバイス基板から残留物および汚染物質を除去するための方法であって、マイクロ電子デバイス基板から残留物および汚染物質を少なくとも部分的に洗浄するのに十分な時間、マイクロ電子デバイス基板を、上記で記載した第1の態様の組成物と接触させることを含む方法を提供する。
「少なくとも部分的に洗浄する」および「実質的な除去」は両方とも、粒子除去の前にデバイス上に存在するシリカ粒子の少なくとも85%、より好ましくは少なくとも90%、さらにより好ましくは少なくとも95%、最も好ましくは少なくとも99%の除去に対応する。
デバイスを接触させ、標的の所望の残留物および/または汚染物質を除去した後、洗浄組成物は、本明細書に記載の組成物の所与の最終使用用途において所望され、有効であり得るように、以前に適用されたデバイスから容易に除去され得る。例えば、すすぎ溶液を使用することができ、すすぎ溶液は脱イオン水を含む。その後、当技術分野で知られているように、窒素またはスピンドライサイクルを使用してデバイスを乾燥させることができる。
本発明のさらなる態様は、本明細書に記載の方法に従って作製された改善されたマイクロ電子デバイス、およびそのようなマイクロ電子デバイスを含む製品を提供する。別の態様は、当業者によって容易に決定されるように、粒子および/または汚染物質の負荷が組成物が収容し得る最大量に達するまで組成物がリサイクルされ得るリサイクルされた組成物に関する。したがって、本明細書に開示される組成物は、残留物および/または汚染物質を含み得る。残留物および汚染物質は、組成物に溶解され得る。代替的に、残留物および汚染物質を組成物中に懸濁させてもよい。特定の実施形態では、残留物は、CMP後残留物、エッチング後残留物、アッシュ後残留物、汚染物質、またはそれらの組み合わせを含む。さらなる態様は、マイクロ電子デバイスを含む物品を製造する方法であって、マイクロ電子デバイスから残留物および/または汚染物質を除去するのに十分な時間、マイクロ電子デバイスを本発明の組成物と接触させ、洗浄されたマイクロ電子デバイスを物品に組み込むことを含む方法に関する。別の態様は、この方法によって製造された物品に関する。
上記のように、本発明の組成物は、CMP後洗浄動作に有用である。以下の実施例および図は、マイクロ電子デバイス基板のCMP後洗浄への曝露を模倣する条件下で、組成物がアルミニウム、銅およびコバルト表面に公称エッチング効果を有することも見出されたことを示す。
ベース組成物:表1に記載のベース組成物を調製した:
Figure 2024517606000002
ベース組成物の一般手順:
50グラムの脱イオン水に、20.56グラムのトリエタノールアミンを添加した。この溶液に11.21グラムのアスコルビン酸を添加した後、水酸化コリンを添加してpHを約9に調整した。
次いで、このベース組成物を以下のアルミニウム腐食防止剤と組み合わせて、組成物1~14を形成した。
組成物1-ベース組成物+フェニルホスホン酸(CAS番号1571-33-1)
組成物2-ベース組成物+フィチン酸(CAS番号83-86-3)
組成物3-ベース組成物+オクチルホスホン酸(CAS番号4724-48-5)
組成物4-ベース組成物+テトライソプロピルビニリデンジホスホネート(CAS番号48074-47-1)
組成物5-ベース組成物+ジ-tert-ブチルリン酸カリウム(CAS番号33494-80-3)
組成物6-ベース組成物+フミン酸(CAS番号1415-93-6)
組成物7-ベース組成物+エチルホスホン酸(CAS番号6779-09-5)
組成物8-ベース組成物+メチレンジホスホン酸(CAS番号1984-15-2)
組成物9-ベース組成物+1,10-デシルジホスホン酸(CAS番号5943-21-5)
組成物10-ベース組成物+オクチルホスホン酸(CAS番号4724-48-5)
組成物11-ベース組成物+ヘキシルホスホン酸(CAS番号4721-24-8)
組成物12-ベース組成物+オクタデシルホスホン酸(CAS番号4724-47-4)
組成物13-ベース組成物+n-ドデシルホスホン酸(CAS番号5137-70-2)
組成物14-ベース組成物+モノ-N-ドデシルホスフェート(CAS番号2627-35-2)
すべての組成物を、pH=9で表面を上記組成物1~46に曝露したときに表面から除去されるAlCu金属の量の尺度としてAlCuエッチング速度について試験した。これらの実験について、AlCuウエハは99.5%のAlおよび0.5%のCuの合金であった。AlCu金属膜厚は、30℃、500rpmで撹拌しながら15分間の洗浄の前および後に蛍光X線(XRF)を使用して測定した。以下の表2に示すように、本発明の組成物は、ベース組成物と比較してより低いAlCu材料のエッチング速度を提供した。
Figure 2024517606000003
組成物1~14は、pH=12および14でAlCu表面の腐食防止を示さなかった。
第1の態様では、本発明は、
a)水;
b)エッチャント化合物;
c)還元剤;および
d)一般式
Figure 2024517606000004
(式中、各xは、独立して0または1であり、Rは、アリール基もしくはアリーレン基または一価もしくは二価のC~C20ヒドロカルビル基であり、Mは、水素、C~Cアルキル基、アンモニウムまたはアルカリ金属カチオンから選択される)
を有する化合物から選択されるアルミニウム、コバルトまたは銅腐食防止剤
を含む組成物であって、
組成物のpHが約8超である、
組成物を提供する。
第2の態様では、本発明は、C~C20ヒドロカルビル基が直鎖または分岐アルキル基またはアルキレン基から選択される、第1の態様の組成物を提供する。
第3の態様では、本発明は、C~C20ヒドロカルビル基がシクロアルキル基、シクロアルキレン基、フェニル基またはフェニレン基から選択される、第1の態様の組成物を提供する。
第4の態様では、本発明は、一般式(I)および(II)の化合物が、
フェニルホスホン酸;
フィチン酸;
(12-ホスホノドデシル)ホスホン酸;
n-ドデシルホスホン酸;
6-ホスホノヘキサン酸;
1,4-フェニレンビス(ホスホン酸);
ポリ(ビニルホスホン酸);
オクチルホスホン酸;
テトライソプロピルビニリデンジホスホネート;
ジ-tert-ブチルリン酸カリウム;
エチルホスホン酸;
メチレンジホスホン酸;
1,10-デシルジホスホン酸;
オクチルホスホン酸;
ヘキシルホスホン酸;
オクタデシルホスホン酸;
n-ドデシルホスホン酸;および
モノ-N-ドデシルホスフェート
から選択される、第1の態様の組成物を提供する。
第5の態様では、本発明は、エッチャント化合物がモノエタノールアミン、トリエタノールアミン、硫酸、クエン酸およびそれらの組み合わせから選択される、最初の4つの態様のいずれか1つの組成物を提供する。
第6の態様では、本発明は、エッチャント化合物がトリエタノールアミンである、最初の4つの態様のいずれか1つの組成物を提供する。
第7の態様では、本発明は、1つ以上のpH調整剤をさらに含む、最初の5つの態様のいずれか1つの組成物を提供する。
第8の態様では、本発明は、pH調整剤が、テトラメチルアンモニウム水酸化物、テトラエチルアンモニウム水酸化物、水酸化コリン、水酸化アンモニウムおよび水酸化カリウムから選択される、第7の態様の組成物を提供する。
第9の態様では、本発明は、pH調整剤が水酸化コリンである、第7または第8の態様の組成物を提供する。
第10の態様では、本発明は、pHが約14未満である、最初の9つの態様のいずれか1つの組成物を提供する。
第11の態様では、本発明は、pHが約8.5~約12である、第1の態様の組成物を提供する。
第12の態様では、本発明は、成分d)がアルミニウム腐食防止剤であり、pHが約8~約11である、第1の態様の組成物を提供する。
第13の態様では、本発明は、成分d)がコバルト腐食防止剤であり、pHが約8~約13.5である、第1の態様の組成物を提供する。
第14の態様では、本発明は、1つ以上の洗浄添加剤をさらに含む、最初の13個の態様のいずれか1つの組成物を提供する。
第15の態様では、本発明は、第2の腐食防止剤をさらに含む、最初の14個の態様のいずれか1つの組成物を提供する。
第16の態様では、本発明は、還元剤が、ヒドロ亜リン酸、亜リン酸、亜硫酸、メタ重亜硫酸ナトリウム、メタ重亜硫酸アンモニウム、メタ重亜硫酸カリウム、アスコルビン酸、L(+)-アスコルビン酸、イソアスコルビン酸、ヒドロキシルアミン、ヒドロキシルアミン塩、ジメチルヒドロキシルアミン、ジエチルヒドロキシルアミン、ガラクトース、キシロース、グルコース、フルクトース、ラクトースおよびマルトースから選択される還元糖類、ヒドロキノン、カテコール、テトラヒドロフルバレン、N,N-ジメチルアニリンベンジルアミン、ならびにそれらの組み合わせから選択される、最初の15個の態様のいずれか1つの組成物を提供する。
第17の態様では、本発明は、還元剤がアスコルビン酸である、最初の16個の態様のいずれか1つの組成物を提供する。
第18の態様では、本発明は、洗浄添加剤が、エチレングリコールモノブチルエーテル、ジエチレングリコールモノブチルエーテル、プロピレングリコールモノブチルエーテル、ジプロピレングリコールモノブチルエーテル、エチレングリコールモノヘキシルエーテル、ジエチレングリコールモノヘキシルエーテル、トリエチレングリコールモノブチルエーテル、エチレングリコールモノフェニルエーテル、ジエチレングリコールモノフェニルエーテル、プロピレングリコールモノフェニルエーテル、ジメチルスルホキシド、スルホラン、ポリ(スチレンスルホン酸)またはその塩、ポリ(ビニルピロリドン)、ポリ(ビニルアルコール)、ポリ(アクリル酸)およびその塩、ポリアクリル酸共重合体およびその塩、ポリ(エチレングリコール)、ポリエチレングリコール-コ-ポリプロピレングリコール、ヒドロキシエチルセルロース、ポリ(2-アクリルアミド-2-メチル-1-プロパンスルホン酸)およびその共重合体、ポリジアリルジメチルアンモニウムクロライド、ポリ(ジメチルアミノエチルメタクリレート)およびその共重合体、ポリ(トリメチルアミノエチルメタクリレート)塩およびその共重合体、エトキシル化アルコールまたはフェノール類、エトキシル化脂肪酸糖類、ドデシルベンゼンスルホン酸、ラウリルスルホン酸、オクタン酸、ノナン酸、デカン酸、ウンデシル酸、ドデカン酸、ベンジルジメチルドセシルアンモニウムクロライド、ベンジルジメチルドセシルアンモニウム水酸化物、ならびにトリメチルドデシルアンモニウムから選択される、第14の態様の組成物を提供する。
第19の態様では、本発明は、残留物および汚染物質をその上に有するマイクロ電子デバイス基板から残留物および汚染物質を除去するための方法であって、マイクロ電子デバイス基板から残留物および汚染物質を少なくとも部分的に洗浄するのに十分な時間、マイクロ電子デバイス基板を、第1~17の態様のいずれか1つの組成物と接触させることを含む方法を提供する。
第20の態様では、本発明は、最初の18個の態様のいずれか1つの成分a)、b)、c)およびd)のうちの2つ以上を2つ以上の容器に含むキットを提供する。
このように本開示のいくつかの例示的な実施形態を説明してきたが、当業者は、添付の特許請求の範囲内でさらに他の実施形態を作成および使用することができることを容易に理解するであろう。本文書によって網羅される本開示の多くの利点は、前述の説明に記載されている。しかしながら、本開示は、多くの点で例示にすぎないことが理解されよう。本開示の範囲は、当然のことながら、添付の特許請求の範囲が表現される言語で定義される。

Claims (20)

  1. a)水;
    b)エッチャント化合物;
    c)還元剤;および
    d)一般式
    Figure 2024517606000005
    (式中、各xは、独立して0または1であり、Rは、アリール基もしくはアリーレン基または一価もしくは二価のC~C20ヒドロカルビル基であり、Mは、水素、C~Cアルキル基、アンモニウムまたはアルカリ金属カチオンから選択される)
    を有する化合物から選択されるアルミニウム、コバルトまたは銅腐食防止剤
    を含む組成物であって、
    組成物のpHが約8超である、組成物。
  2. ~C20ヒドロカルビル基が直鎖または分岐アルキル基またはアルキレン基から選択される、請求項1に記載の組成物。
  3. ~C20ヒドロカルビル基が、シクロアルキル基、シクロアルキレン基、フェニル基またはフェニレン基から選択される、請求項1に記載の組成物。
  4. 一般式(I)および(II)の化合物が、
    フェニルホスホン酸;
    フィチン酸;
    (12-ホスホノドデシル)ホスホン酸;
    n-ドデシルホスホン酸;
    6-ホスホノヘキサン酸;
    1,4-フェニレンビス(ホスホン酸);
    ポリ(ビニルホスホン酸);
    オクチルホスホン酸;
    テトライソプロピルビニリデンジホスホネート;
    ジ-tert-ブチルリン酸カリウム;
    エチルホスホン酸;
    メチレンジホスホン酸;
    1,10-デシルジホスホン酸;
    オクチルホスホン酸;
    ヘキシルホスホン酸;
    オクタデシルホスホン酸;
    n-ドデシルホスホン酸;および
    モノ-N-ドデシルホスフェート
    から選択される、請求項1に記載の組成物。
  5. エッチャント化合物が、モノエタノールアミン、トリエタノールアミン、硫酸、クエン酸およびそれらの組み合わせから選択される、請求項1に記載の組成物。
  6. エッチャント化合物がトリエタノールアミンである、請求項1に記載の組成物。
  7. 1つ以上のpH調整剤をさらに含む、請求項1に記載の組成物。
  8. pH調整剤が、テトラメチルアンモニウム水酸化物、テトラエチルアンモニウム水酸化物、水酸化コリン、水酸化アンモニウムおよび水酸化カリウムから選択される、請求項7に記載の組成物。
  9. pH調整剤が水酸化コリンである、請求項7に記載の組成物。
  10. pHが約14未満である、請求項1に記載の組成物。
  11. pHが約8.5~約12である、請求項1に記載の組成物。
  12. 成分d)がアルミニウム腐食防止剤であり、pHが約8~約11である、請求項1に記載の組成物。
  13. 成分d)がコバルト腐食防止剤であり、pHが約8~約13.5である、請求項1に記載の組成物。
  14. 1つ以上の洗浄添加剤をさらに含む、請求項1に記載の組成物。
  15. 第2の腐食防止剤をさらに含む、請求項1に記載の組成物。
  16. 還元剤が、ヒドロ亜リン酸、亜リン酸、亜硫酸、メタ重亜硫酸ナトリウム、メタ重亜硫酸アンモニウム、メタ重亜硫酸カリウム、アスコルビン酸、L(+)-アスコルビン酸、イソアスコルビン酸、ヒドロキシルアミン、ヒドロキシルアミン塩、ジメチルヒドロキシルアミン、ジエチルヒドロキシルアミン、ガラクトース、キシロース、グルコース、フルクトース、ラクトースおよびマルトースから選択される還元糖類、ヒドロキノン、カテコール、テトラヒドロフルバレン、N,N-ジメチルアニリンベンジルアミン、ならびにそれらの組み合わせから選択される、請求項1に記載の組成物。
  17. 還元剤がアスコルビン酸である、請求項1に記載の組成物。
  18. 洗浄添加剤が、エチレングリコールモノブチルエーテル、ジエチレングリコールモノブチルエーテル、プロピレングリコールモノブチルエーテル、ジプロピレングリコールモノブチルエーテル、エチレングリコールモノヘキシルエーテル、ジエチレングリコールモノヘキシルエーテル、トリエチレングリコールモノブチルエーテル、エチレングリコールモノフェニルエーテル、ジエチレングリコールモノフェニルエーテル、プロピレングリコールモノフェニルエーテル、ジメチルスルホキシド、スルホラン、ポリ(スチレンスルホン酸)またはその塩、ポリ(ビニルピロリドン)、ポリ(ビニルアルコール)、ポリ(アクリル酸)およびその塩、ポリアクリル酸共重合体およびその塩、ポリ(エチレングリコール)、ポリエチレングリコール-コ-ポリプロピレングリコール、ヒドロキシエチルセルロース、ポリ(2-アクリルアミド-2-メチル-1-プロパンスルホン酸)およびその共重合体、ポリジアリルジメチルアンモニウムクロライド、ポリ(ジメチルアミノエチルメタクリレート)およびその共重合体、ポリ(トリメチルアミノエチルメタクリレート)塩およびその共重合体、エトキシル化アルコールまたはフェノール類、エトキシル化脂肪酸糖類、ドデシルベンゼンスルホン酸、ラウリルスルホン酸、オクタン酸、ノナン酸、デカン酸、ウンデシル酸、ドデカン酸、ベンジルジメチルドセシルアンモニウムクロライド、ベンジルジメチルドセシルアンモニウム水酸化物、ならびにトリメチルドデシルアンモニウムクロライドから選択される、請求項13に記載の組成物。
  19. 残留物および汚染物質をその上に有するマイクロ電子デバイス基板から残留物および汚染物質を除去するための方法であって、マイクロ電子デバイス基板から残留物および汚染物質を少なくとも部分的に洗浄するのに十分な時間、マイクロ電子デバイス基板を、請求項1に記載の組成物と接触させることを含む、方法。
  20. 請求項1に記載の成分a)、b)、c)およびd)のうちの2つ以上を2つ以上の容器に含むキット。
JP2023562922A 2021-04-16 2022-04-14 洗浄組成物 Pending JP2024517606A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202163175965P 2021-04-16 2021-04-16
US63/175,965 2021-04-16
PCT/US2022/024761 WO2022221497A1 (en) 2021-04-16 2022-04-14 Cleaning composition

Publications (1)

Publication Number Publication Date
JP2024517606A true JP2024517606A (ja) 2024-04-23

Family

ID=83601635

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023562922A Pending JP2024517606A (ja) 2021-04-16 2022-04-14 洗浄組成物

Country Status (7)

Country Link
US (1) US20220336210A1 (ja)
EP (1) EP4323491A1 (ja)
JP (1) JP2024517606A (ja)
KR (1) KR20230171453A (ja)
CN (1) CN117295811A (ja)
TW (1) TW202248413A (ja)
WO (1) WO2022221497A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023183316A1 (en) * 2022-03-23 2023-09-28 Entegris, Inc. Post cmp cleaning composition

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9691622B2 (en) * 2008-09-07 2017-06-27 Lam Research Corporation Pre-fill wafer cleaning formulation
CN102197124B (zh) * 2008-10-21 2013-12-18 高级技术材料公司 铜清洁及保护调配物
SG10201505535VA (en) * 2010-07-16 2015-09-29 Entegris Inc Aqueous cleaner for the removal of post-etch residues
KR102118964B1 (ko) * 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Iii-v 반도체 물질을 세척하기 위한 조성물 및 이를 사용하는 방법
KR102625498B1 (ko) * 2018-12-21 2024-01-17 엔테그리스, 아이엔씨. 코발트 기판의 cmp-후 세정을 위한 조성물 및 방법

Also Published As

Publication number Publication date
CN117295811A (zh) 2023-12-26
EP4323491A1 (en) 2024-02-21
US20220336210A1 (en) 2022-10-20
KR20230171453A (ko) 2023-12-20
TW202248413A (zh) 2022-12-16
WO2022221497A1 (en) 2022-10-20

Similar Documents

Publication Publication Date Title
TWI796289B (zh) 化學機械研磨後清洗組合物及清洗方法
TWI703210B (zh) 化學機械研磨後調配物及使用方法
JP7443300B2 (ja) セリア粒子を表面から除去するための組成物及び方法
KR102625498B1 (ko) 코발트 기판의 cmp-후 세정을 위한 조성물 및 방법
EP2989231A1 (en) Copper cleaning and protection formulations
US11124746B2 (en) Post CMP cleaning composition
JP7212764B2 (ja) セリア粒子向けのcmp後洗浄用組成物
JP7249414B2 (ja) 化学機械研磨後洗浄組成物
WO2020163506A1 (en) Ceria removal compositions
JP2024517606A (ja) 洗浄組成物
KR20240074891A (ko) 표면으로부터 세리아 입자를 제거하기 위한 조성물 및 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20231212