KR102625498B1 - 코발트 기판의 cmp-후 세정을 위한 조성물 및 방법 - Google Patents

코발트 기판의 cmp-후 세정을 위한 조성물 및 방법 Download PDF

Info

Publication number
KR102625498B1
KR102625498B1 KR1020217022497A KR20217022497A KR102625498B1 KR 102625498 B1 KR102625498 B1 KR 102625498B1 KR 1020217022497 A KR1020217022497 A KR 1020217022497A KR 20217022497 A KR20217022497 A KR 20217022497A KR 102625498 B1 KR102625498 B1 KR 102625498B1
Authority
KR
South Korea
Prior art keywords
acid
hydroxide
cleaning
methyl
ammonium
Prior art date
Application number
KR1020217022497A
Other languages
English (en)
Other versions
KR20210094124A (ko
Inventor
다니엘라 와이트
도널드 프라이
엘리자베스 토마스
준 리우
마이클 와이트
Original Assignee
엔테그리스, 아이엔씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엔테그리스, 아이엔씨. filed Critical 엔테그리스, 아이엔씨.
Publication of KR20210094124A publication Critical patent/KR20210094124A/ko
Application granted granted Critical
Publication of KR102625498B1 publication Critical patent/KR102625498B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0042Reducing agents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0047Other compounding ingredients characterised by their effect pH regulated compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/044Hydroxides or bases
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2068Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • C11D3/2086Hydroxy carboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/28Heterocyclic compounds containing nitrogen in the ring
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/33Amino carboxylic acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/34Organic compounds containing sulfur
    • C11D3/3445Organic compounds containing sulfur containing sulfino groups, e.g. dimethyl sulfoxide
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/36Organic compounds containing phosphorus
    • C11D3/361Phosphonates, phosphinates or phosphonites
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/06Hydroxides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)

Abstract

상부에 잔류물 및/또는 오염물을 갖는 마이크로전자 장치로부터 상기 잔류물 및/또는 오염물을 세정하기 위한 세정 조성물이 개시된다. 조성물은 적어도 하나의 착물화제, 적어도 하나의 세정 첨가제, 적어도 하나의 pH 조정제, 물, 및 적어도 하나의 옥실아민 화합물을 포함한다. 유리하게, 조성물은 코발트-함유 기판의 효과적인 세정 및 개선된 코발트 상용성을 나타낸다.

Description

코발트 기판의 CMP-후 세정을 위한 조성물 및 방법
본 발명은 일반적으로, 상부에 잔류물 및/또는 오염물을 갖는 마이크로전자 장치로부터 상기 잔류물 및/또는 오염물을 세정하기 위한 조성물에 관한 것이며, 여기서 상기 조성물은 개선된 코발트 상용성을 갖는다.
마이크로전자 장치 웨이퍼는 집적 회로를 형성하는데 사용되고, 절연성, 전도성 또는 반전도성 특성을 갖는 상이한 물질의 침착을 위해 영역이 패턴화되어 있는 실리콘과 같은 기판을 포함한다.
정확한 패터닝을 얻기 위해서는, 기판 상에 층을 형성하는데 사용된 과잉 물질이 제거되어야 한다. 또한, 기능적이고 신뢰성 있는 회로부를 제작하기 위해서는, 후속 가공 이전에 편평하거나 평면인 마이크로전자 웨이퍼 표면을 준비하는 것이 중요하다. 따라서, 마이크로전자 장치 웨이퍼의 특정 표면을 제거하고/거나 연마하는 것이 필요하다.
화학 기계적 연마 또는 평탄화 ("CMP")는 마모와 같은 물리적 공정을 산화 또는 킬레이트화와 같은 화학적 공정과 결합시킴으로써 마이크로전자 장치 웨이퍼의 표면으로부터 물질을 제거하고, 표면을 연마 (보다 구체적으로는 평탄화)하는 공정이다. 기본적으로, CMP는 마이크로전자 장치 웨이퍼의 표면을 문지르는 연마 패드에 슬러리, 예컨대 활성 화학물질을 함유하는 수용액 중 연마제의 조합을 적용하여 제거, 평탄화, 및 연마 공정을 달성하는 것을 포함한다. 물리적 및 화학적 작용의 상승작용적 조합이 신속하고 균일한 제거를 달성한다. 집적 회로의 제작에서, CMP 슬러리는 후속 포토리소그래피, 또는 패터닝, 에칭 및 박막 공정을 위해 고도의 평면 표면이 제조될 수 있도록 금속 및 기타 물질의 복합 층을 포함하는 막을 또한 우선적으로 제거할 수 있어야 한다. 연마 후 웨이퍼 표면 전체에 걸쳐 양호한 균일성을 얻기 위한 한 가지 비결은 존재하는 각각의 재료에 대해 정확한 제거 선택성을 갖는 CMP 슬러리를 사용하는 것이다.
웨이퍼 기판 표면 준비, 침착, 도금, 에칭 및 화학 기계적 연마를 비롯한 대부분의 가공 작업은 제품의 기능에 달리 유해한 영향을 미치거나, 또는 심지어 그의 의도된 기능을 무용하게 만들 오염물이 마이크로전자 장치 제품에 없도록 하기 위해 세정 작업을 필요로 한다. 흔히, 이들 오염물의 입자는 0.3 ㎛보다 작다. 이러한 잔류물은, 제거되지 않으면, 예를 들어 구리 라인에 손상을 유발하거나 또는 구리 금속화를 심하게 조면화할 뿐만 아니라 장치 기판 상의 CMP-후 적용되는 층의 불량한 부착을 유발할 수 있다.
특히 개선된 장치 성능에 대한 그리고 감소된 장치 크기 및 감소된 장치 특징부 크기에 대한 요구가 증가함에 따라, 기판으로부터 다양한 잔류물, 예를 들어, CMP-후 잔류물, 에치-후 잔류물, 및 애시-후 잔류물을 효과적으로 그리고 선택적으로 제거하는 조성물 및 방법을 제공하는 것에 대한 지속적인 필요성이 산업계에 존재한다. 조성물 및 방법은 입자 및 기타 오염물을 제거해야 할 뿐만 아니라 코발트와 같은 성분을 부식시키거나, 또는 달리 손상시키지 않아야 한다.
발명의 요약
본 발명은 일반적으로 상부에 잔류물 및 오염물을 갖는 마이크로전자 장치로부터 상기 잔류물 및/또는 오염물을 세정하기 위한 조성물 및 방법에 관한 것이다. 잔류물은 CMP-후, 에치-후, 및/또는 애시-후 잔류물을 포함할 수 있다. 유리하게, 본원에 기재된 조성물은 관련 기술분야에 이전에 기재된 조성물에 비해 개선된 코발트 상용성을 나타낸다.
한 측면에서, 세정 조성물이 기술되며, 상기 조성물은 적어도 하나의 착물화제, 적어도 하나의 세정 첨가제, 적어도 하나의 pH 조정제, 물, 및 적어도 하나의 옥실아민 화합물 또는 그의 염을 포함한다. 일부 실시양태에서, 조성물은 적어도 하나의 에천트, 적어도 하나의 부식 억제제, 및/또는 적어도 하나의 환원제를 추가로 포함한다. 바람직하게, 세정 조성물에는 플루오라이드-함유 공급원, 연마제 물질, 및 테트라메틸암모늄 히드록시드가 실질적으로 없다.
또 다른 측면에서, 상부에 잔류물 및 오염물을 갖는 마이크로전자 장치로부터 상기 잔류물 및 오염물을 제거하는 방법이 기술되며, 상기 방법은 마이크로전자 장치로부터 상기 잔류물 및 오염물을 적어도 부분적으로 세정하기에 충분한 시간 동안 마이크로전자 장치를 세정 조성물과 접촉시키는 것을 포함하며, 여기서 세정 조성물은 적어도 하나의 착물화제, 적어도 하나의 세정 첨가제, 물, 적어도 하나의 pH 조정제, 및 적어도 하나의 옥실아민 화합물 또는 그의 염을 포함한다. 일부 실시양태에서, 조성물은 적어도 하나의 에천트, 적어도 하나의 부식 억제제, 및/또는 적어도 하나의 환원제를 추가로 포함한다. 바람직하게, 세정 조성물에는 플루오라이드-함유 공급원, 연마제 물질, 및 테트라메틸암모늄 히드록시드가 실질적으로 없다.
다른 측면, 특징 및 장점은 이어지는 개시내용 및 첨부된 청구범위로부터 더욱 완벽하게 명백해질 것이다. 이러한 조성물은 종래 기술의 조성물에 비해 개선된 코발트 상용성을 달성할 수 있다는 것이 밝혀졌다. 이러한 상용성은, 예를 들어 현대 마이크로전자 장치 제조 공정의 CMP-후 세정에서 상당히 유익하다.
발명의 상세한 설명
본 발명은 일반적으로 상부에 잔류물 및 오염물을 갖는 마이크로전자 장치로부터 이러한 물질(들)의 제거에 유용한 조성물에 관한 것이다. 조성물은 벌크 코발트를 손상시키지 않으면서 코발트-함유 기판으로부터 CMP-후, 에치-후 또는 애시-후 잔류물의 제거에 특히 유용하다.
참조의 용이함을 위해, "마이크로전자 장치"는 마이크로전자, 집적 회로, 또는 컴퓨터 칩 어플리케이션에 사용하기 위해 제조된 반도체 기판, 평면 패널 디스플레이, 상 변화 기억 장치, 태양광 패널, 및 태양전지 기판, 광전지, 및 마이크로전자기계 시스템 (MEMS)을 포함한 기타 제품에 상응한다. 태양전지 기판은 규소, 무정형 규소, 다결정 규소, 단결정 규소, CdTe, 구리 인듐 셀레니드, 구리 인듐 술피드, 및 갈륨 상의 갈륨 아르세니드를 포함하나, 이에 제한되지는 않는다. 태양전지 기판은 도핑되거나 또는 도핑되지 않을 수 있다. 용어 "마이크로전자 장치"는 어떠한 방식으로든 제한하려는 것은 아니며, 최종적으로 마이크로전자 장치 또는 마이크로전자 조립체가 될 임의의 기판을 포함한다는 것을 이해해야 한다.
마이크로전자 장치는 코발트-함유 물질을 포함할 수 있다. 본원에 사용된 바와 같이, "코발트-함유 물질" 및 "코발트 종"은 물질의 총 중량을 기준으로 50 wt% 초과의 원소 코발트를 포함하는 임의의 물질을 포함한다. 코발트-함유 물질의 예는 순수한 코발트, 코발트 질화물 (Ta 또는 Li와 같은 추가의 원소를 포함하는 코발트 질화물 포함), CoP, CoSi, CoW, 코발트 산화물, 및 코발트 수산화물을 포함하나, 이에 제한되지는 않는다. 다양한 코발트 산화물 및 질화물에 대한 화학식이 코발트 이온의 산화 상태에 기초하여 달라질 수 있다는 것을 통상의 기술자는 이해해야 하며, 여기서 코발트의 통상의 산화 상태는 -3, -1, +1, +2, +3, +4, 또는 +5이다.
본원에 사용된 바와 같이, "잔류물"은 플라즈마 에칭, 애싱, 화학 기계적 연마, 습식 에칭, 및 그의 조합을 포함하나, 이에 제한되지 않는 마이크로전자 장치의 제조 동안 발생되는 입자에 상응한다.
본원에 사용된 바와 같이, "오염물"은 CMP 슬러리에 존재하는 화학물질, 연마 슬러리의 반응 부산물, 습식 에칭 조성물에 존재하는 화학물질, 습식 에칭 조성물의 반응 부산물, 및 CMP 공정, 습식 에칭, 플라즈마 에칭 또는 플라즈마 애싱 공정의 부산물인 임의의 기타 물질에 상응한다. 통상의 오염물은 CMP 슬러리에 흔히 존재하는 벤조트리아졸을 포함한다.
본원에 정의된 바와 같이, "에치-후 잔류물"은 기체-상 플라즈마 에칭 공정, 예를 들어, BEOL 듀얼 다마신(damascene) 가공, 또는 습식 에칭 공정 후 잔류하는 물질에 상응한다. 에치-후 잔류물은 성질상 유기, 유기금속, 유기규소, 또는 무기 물질, 예를 들어 규소-함유 물질, 탄소-기재 유기 물질, 및 산소 및 플루오린과 같은 에치 기체 잔류물일 수 있다.
본원에 정의된 바와 같이, "애시-후 잔류물"은, 본원에 사용된 바와 같이, 경화된 포토레지스트 및/또는 하부 반사-방지 코팅 (BARC) 물질을 제거하는 산화성 또는 환원성 플라즈마 애싱 후 잔류하는 물질에 상응한다. 애시-후 잔류물은 성질상 유기, 유기금속, 유기규소, 또는 무기 물질일 수 있다.
본원에 사용된 바와 같이, "CMP-후 잔류물"은 연마 슬러리로부터의 입자, 예를 들어, 실리카-함유 입자, 슬러리에 존재하는 화학물질, 연마 슬러리의 반응 부산물, 탄소-풍부 입자, 연마 패드 입자, 브러시에서 떨어져 나온 입자, 기자재의 구성 입자, 금속, 금속 산화물, 유기 잔류물, 장벽 층 잔류물, 및 CMP 공정의 부산물인 임의의 기타 물질에 상응한다. 본원에 정의된 바와 같이, 일반적으로 연마되는 "금속"은 구리, 알루미늄 및 텅스텐을 포함한다.
본원에 정의된 바와 같이, "반응 또는 분해 생성물"은 표면에서의 촉매반응, 산화, 환원, 조성 성분과의 반응의 결과로서 형성되거나, 또는 달리 중합되는 생성물(들) 또는 부산물(들); 물질 또는 재료 (예를 들어, 분자, 화합물 등)가 다른 물질 또는 재료와 조합되거나, 다른 물질 또는 재료와 구성성분을 교환하거나, 분해되거나, 재배열되거나, 또는 달리 화학적으로 및/또는 물리적으로 변경되는 변화(들) 또는 변형(들)의 결과로서 형성되는 생성물(들) 또는 부산물(들), 예컨대 상기 중 어느 하나 또는 상기 반응(들), 변화(들) 및/또는 변형(들)의 임의의 조합의 중간 생성물(들) 또는 부산물(들)을 포함하나, 이에 제한되지는 않는다. 반응 또는 분해 생성물은 원래 반응물보다 크거나 또는 작은 몰 질량을 가질 수 있다는 것을 인식해야 한다.
본원에 사용된 바와 같이, "저-k 유전체 물질"은 층상 마이크로전자 장치에서 유전체 물질로서 사용되는 임의의 물질에 상응하며, 여기서 물질은 약 3.5 미만의 유전 상수를 갖는다. 바람직하게, 저-k 유전체 물질은 규소-함유 유기 중합체, 규소-함유 하이브리드 유기/무기 물질, 오르가노실리케이트 유리 (OSG), TEOS, 플루오린화 실리케이트 유리 (FSG), 이산화규소, 및 탄소-도핑된 산화물 (CDO) 유리와 같은 저-극성 물질을 포함한다. 저-k 유전체 물질은 다양한 밀도 및 다양한 공극률을 가질 수 있다는 것을 인식해야 한다.
본원에 정의된 바와 같이, 용어 "장벽 물질"은 관련 기술분야에서 금속 라인, 예를 들어, 구리 상호접속부를 밀봉하여 상기 금속, 예를 들어, 구리의 유전체 물질 내로의 확산을 최소화하는데 사용되는 임의의 물질에 상응한다. 바람직한 장벽 층 물질은 탄탈럼, 티타늄, 루테늄, 하프늄, 텅스텐, 코발트, 및 임의의 상기 금속의 질화물 및 규화물을 포함한다.
본원에 사용된 바와 같이, "착물화제"는 관련 기술분야의 통상의 기술자에 의해 착물화제, 킬레이트제 및/또는 봉쇄제인 것으로 이해되는 그러한 화합물을 포함한다. 착물화제는 본원에 기재된 조성물을 사용하여 제거되는 금속 원자 및/또는 금속 이온과 화학적으로 결합되거나 또는 물리적으로 고정할 것이다.
본원에 사용된 바와 같이, "플루오라이드 함유 화합물"은 또 다른 원자에 이온적으로 결합된 플루오라이드 이온 (F-)을 포함하는 염 또는 산 화합물에 상응한다.
"실질적으로 없는"은 2 wt% 미만, 바람직하게는 1 wt% 미만, 보다 바람직하게는 0.5 wt% 미만, 가장 바람직하게는 0.1 wt% 미만으로서 본원에서 정의된다. 한 실시양태에서, "실질적으로 없는"은 0 퍼센트에 상응하며, 이는 조성물에 특정 성분이 없다는 것을 나타낸다.
본원에 사용된 바와 같이, "약"은 명시된 값의 ± 5 %에 상응하는 것으로 의도된다.
본원에 사용된 바와 같이, 상부에 잔류물 및 오염물을 갖는 마이크로전자 장치로부터 상기 잔류물 및 오염물을 세정하는데 있어서 "적합성"은 마이크로전자 장치로부터의 상기 잔류물/오염물의 적어도 부분적인 제거에 상응한다. 세정 효능은 마이크로전자 장치 상의 대상물의 감소에 의해 등급화된다. 예를 들어, 세정-전 및 세정-후 분석은 원자력 현미경을 사용하여 수행될 수 있다. 샘플 상의 입자는 픽셀 범위로 기록될 수 있다. 히스토그램 (예를 들어, 시그마 스캔 프로(Sigma Scan Pro))을 적용하여 특정 강도, 예를 들어, 231-235의 픽셀을 필터링할 수 있고, 입자의 수를 카운팅할 수 있다. 입자 감소는 하기 식을 사용하여 계산할 수 있다:
Figure 112021082141205-pct00001
명백히, 세정 효능의 결정 방법은 단지 예로서 제공된 것으로, 이들로 제한하려는 것은 아니다. 대안적으로, 세정 효능은 미립자 물질에 의해 덮힌 총 표면의 백분율로서 간주될 수 있다. 예를 들어, AFM은 z-면 스캔을 수행하여 특정 임계 높이를 초과하는 관심 지형 영역을 식별하고, 이어서 상기 관심 영역에 의해 덮히는 총 표면의 면적을 계산하도록 프로그래밍될 수 있다. 관련 기술분야의 통상의 기술자는 세정-후 상기 관심 영역에 의해 덮히는 면적이 작을수록, 세정 조성물이 더 효과적이라는 것을 용이하게 이해할 것이다. 바람직하게는, 잔류물/오염물의 적어도 75%가 본원에 기재된 조성물을 사용하여 마이크로전자 장치로부터 제거되고, 보다 바람직하게는 잔류물/오염물의 적어도 90%, 훨씬 더 바람직하게는 적어도 95%, 가장 바람직하게는 적어도 99%가 제거된다.
본원에 기재된 조성물은 이하에 더욱 상세히 기재된 바와 같이, 매우 다양한 특정 제형으로 구현될 수 있다.
이러한 모든 조성물에서, 조성물의 특정 성분이 0의 하한을 포함하는 중량 퍼센트 범위를 참조하여 논의되는 경우, 이러한 성분은 조성물의 다양한 구체적 실시양태에서 존재하거나 또는 부재할 수 있고, 이러한 성분이 존재하는 경우에, 이러한 성분이 사용되는 조성물의 총 중량을 기준으로 0.001 wt% 정도의 낮은 농도로 존재할 수 있다는 것을 이해할 것이다.
본 명세서의 설명 및 청구범위 전반에 걸쳐, 단어 "포함한다" 및 "함유한다" 및 상기 단어의 변형, 예를 들어 "포함하는" 및 "포함하다"는 "포함하나 이에 제한되지 않는"을 의미하며, 다른 성분, 정수 또는 단계를 배제하지 않는다. 그러나, 단어 "포함하는"이 사용될 때마다, "포함하는"이 "로 이루어진" 또는 "로 본질적으로 이루어진"으로 판독되는 실시양태가 또한 명시적으로 포함된다.
본원에서 단수형은 문맥상 달리 요구되지 않는 한 복수형을 포함한다. 특히, 단수 표현이 사용되는 경우, 문맥상 달리 요구되지 않는 한, 명세서는 단수성뿐만 아니라 복수성을 고려하는 것으로 이해되어야 한다.
본 발명의 각 측면의 바람직한 특징은 임의의 다른 측면과 관련하여 설명된 바와 같을 수 있다. 본 출원의 범주 내에서, 이전 단락, 청구범위 및/또는 하기 설명 및 도면에 제시된 다양한 측면, 실시양태, 실시예 및 대안, 및 특히 이들의 개별 특징을 독립적으로 또는 임의의 조합으로 취할 수 있다는 것이 명백히 의도된다. 즉, 모든 실시양태 및/또는 임의의 실시양태의 특징은 그러한 특징이 양립할 수 없지 않는 한 임의의 방식 및/또는 조합으로 결합될 수 있다.
본원에 기재된 세정 조성물에는, 마이크로전자 장치로부터 잔류물 물질을 제거하기 전에, 플루오라이드-함유 공급원, 연마제 물질, 테트라메틸암모늄 히드록시드 (TMAH), 및 그의 조합이 실질적으로 없거나, 또는 없을 수 있다. 또한, 세정 조성물은 고형화되어 중합체 고체, 예를 들어, 포토레지스트를 형성하지 않아야 한다. 제형이 적어도 하나의 계면활성제를 포함할 수 있다는 것이 고려되지만, 통상의 기술자에 의해 용이하게 이해되는 바와 같이, 제형에는 계면활성제가 실질적으로 없다는 것이 또한 고려된다.
세정 조성물은 적어도 하나의 착물화제, 적어도 하나의 세정 첨가제, 적어도 하나의 pH 조정제, 물, 및 적어도 하나의 옥실아민 화합물 또는 그의 염을 포함하거나, 그들로 이루어지거나, 또는 그들로 본질적으로 이루어진다. 바람직하게, 세정 조성물은 적어도 50% 물을 포함하는 수성 세정 조성물이다. 유리하게, 세정 조성물은 마이크로전자 장치의 노출된 코발트 상에 남아 있는 오염물 및 잔류물 (예를 들어, 벤조트리아졸, 슬러리 입자 및 기타 CMP-후 잔류물)의 제거를 증가시키면서 동시에 노출된 코발트의 부식 속도를 저하시킨다.
한 바람직한 실시양태에서, 본 개시내용의 세정 조성물은 적어도 하나의 착물화제, 적어도 하나의 세정 첨가제, 적어도 하나의 pH 조정제, 적어도 하나의 옥실아민 화합물 또는 그의 염, 및 물을 포함하거나, 그들로 이루어지거나, 또는 그들로 본질적으로 이루어지며, 여기서 세정 조성물은 하기 중량 퍼센트 비로 제형화된다:
구성성분에 대한 농도가 본원에 명시될 때마다, 물이 조성물의 나머지 양을 100%까지 구성할 수 있다는 것을 이해해야 한다. 조성물의 총 중량을 기준으로, 세정 조성물에서의 물의 양은 적어도 80 wt%, 바람직하게는 적어도 85 wt%, 보다 바람직하게는 적어도 90 wt%일 수 있다.
본 개시내용의 세정 조성물은 적어도 하나의 착물화제 (금속 킬레이트제, 금속 착물화제, 또는 금속 봉쇄제)를 포함한다. 착물화제는 본원에 기재된 조성물을 사용하여 제거되는 금속 원자 및/또는 금속 이온과 화학적으로 결합되거나 또는 물리적으로 고정할 것이다. 적합하게, 조성물은 복수의 착물화제로 이루어진 착물화제 성분을 포함할 수 있다. 예시적인 착물화제는 화학식 NR1R2R3을 갖는 종을 포함할 수 있으며, 상기 식에서 R1, R2 및 R3은 서로 동일하거나 또는 상이할 수 있고, 수소, 직쇄 또는 분지형 C1-C6 알킬 (예를 들어, 메틸, 에틸, 프로필, 부틸, 펜틸, 및 헥실) 기, 직쇄 또는 분지형 C1-C6 히드록시알킬 (예를 들어, 히드록시메틸, 히드록시에틸, 히드록시프로필, 히드록시부틸, 히드록시펜틸, 및 히드록시헥실) 기, 및 상기 정의된 바와 같은 직쇄 또는 분지형 C1-C6 히드록시알킬 기의 C1-C6 알킬 에테르로 이루어진 군으로부터 선택된다. 가장 바람직하게, R1, R2 및 R3 중 적어도 하나는 직쇄 또는 분지형 C1-C6 히드록시알킬 기이다. 예는, 비제한적으로, 알칸올아민 예컨대 아미노에틸에탄올아민, N-메틸아미노에탄올, 아미노에톡시에탄올, 디메틸아미노에톡시에탄올, 디에탄올아민, N-메틸디에탄올아민, 모노에탄올아민 (MEA), 트리에탄올아민 (TEA), 이소프로판올아민, 디이소프로판올아민, 아미노프로필디에탄올아민, N,N-디메틸프로판올아민, N-메틸프로판올아민, 1-아미노-2-프로판올, 2-아미노-1-부탄올, 이소부탄올아민, 트리에틸렌디아민, 다른 C1 - C8 알칸올아민 및 그의 조합을 포함한다. 아민이 알킬에테르 성분을 포함하는 경우, 아민은 알콕실아민, 예를 들어, 1-메톡시-2-아미노에탄으로 간주될 수 있다.
NR1R2R3 아민에 대안적으로, 또는 이에 더하여, 착물화제는 4-(2-히드록시에틸)모르폴린 (HEM), 1,2-시클로헥산디아민-N,N,N',N'-테트라아세트산 (CDTA), 에틸렌디아민테트라아세트산 (EDTA), m-크실렌디아민 (MXDA), 이미노디아세트산 (IDA), 2-(히드록시에틸)이미노디아세트산 (HIDA), 니트릴로트리아세트산, 티오우레아, 1,1,3,3-테트라메틸우레아, 우레아, 우레아 유도체, 요산, 알라닌, 아르기닌, 아스파라긴, 아스파르트산, 시스테인, 글루탐산, 글루타민, 히스티딘, 이소류신, 류신, 리신, 메티오닌, 페닐알라닌, 프롤린, 세린, 트레오닌, 트립토판, 티로신, 발린, 및 그의 조합을 포함하나, 이에 제한되지 않는 다관능성 아민일 수 있다.
전술한 착물화제에 대안적으로, 또는 이에 더하여, 추가의 착물화제는 포스포네이트 (예를 들어, 1-히드록시에틸리덴-1,1-디포스폰산 (HEDP), 1,5,9-트리아자시클로도데칸-N,N',N"-트리스(메틸렌포스폰산) (DOTRP), 1,4,7,10-테트라아자시클로도데칸-N,N',N",N'''-테트라키스(메틸렌포스폰산) (DOTP), 니트릴로트리스(메틸렌)트리포스폰산, 디에틸렌트리아민펜타키스(메틸렌포스폰산) (DETAP), 아미노트리(메틸렌포스폰산), 비스(헥사메틸렌)트리아민 펜타메틸렌 포스폰산, 1,4,7-트리아자시클로노난-N,N',N"-트리스(메틸렌포스폰산 (NOTP), 히드록시에틸디포스포네이트, 니트릴로트리스(메틸렌)포스폰산, 2-포스포노-부탄-1,2,3,4-테트라카르복실산, 카르복시에틸 포스폰산, 아미노에틸 포스폰산, 글리포세이트, 에틸렌 디아민 테트라(메틸렌포스폰산) 페닐포스폰산, 그의 염, 및 그의 유도체) 및/또는 카르복실산 (예를 들어, 옥살산, 숙신산, 말레산, 말산, 말론산, 아디프산, 프탈산, 시트르산, 시트르산나트륨, 시트르산칼륨, 시트르산암모늄, 트리카르발릴산, 디메틸올프로피온산, 트리메틸올프로피온산, 타르타르산, 글루쿠론산, 2-카르복시피리딘) 및/또는 술폰산 예컨대 티론 (4,5-디히드록시-1,3-벤젠디술폰산 이나트륨 염)을 포함할 수 있다. 예를 들어, 적어도 하나의 착물화제는 모노에탄올아민, 트리에탄올아민, 황산, 시트르산 및 그의 조합으로 이루어진 군으로부터 선택된 종을 포함할 수 있다.
세정 조성물에서의 착물화제(들)의 양은 세정 조성물의 총 중량을 기준으로 약 0.01 wt% 내지 약 20 wt%의 범위이다. 바람직하게는, 착물화제가 세정 조성물의 총 중량을 기준으로 약 0.01 wt% 내지 약 10 wt%의 양으로, 보다 바람직하게는 약 0.01 wt% 내지 약 5 wt%의 양으로 존재한다.
본 개시내용의 세정 조성물은 적어도 하나의 세정 첨가제를 포함하며, 이것은 다양한 용매, 수용성 중합체, 및 계면활성제를 포함할 수 있다. 적합하게, 조성물은 복수의 세정 첨가제로 이루어진 세정 첨가제 성분을 포함할 수 있다. 예시적인 세정 첨가제는 2-피롤리디논, 1-(2-히드록시에틸)-2-피롤리디논 (HEP), 글리세롤, 1,4-부탄디올, 테트라메틸렌 술폰 (술폴란), 디메틸 술폰, 에틸렌 글리콜, 프로필렌 글리콜, 디프로필렌 글리콜, 테트라글라임, 디글라임, 메틸 이소부틸 케톤, 메틸 에틸 케톤, 아세톤, 이소프로판올, 옥탄올, 에탄올, 부탄올, 메탄올, 이소포론, 글리콜 에테르 (예를 들어, 디에틸렌 글리콜 모노메틸 에테르, 트리에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 모노에틸 에테르, 트리에틸렌 글리콜 모노에틸 에테르, 에틸렌 글리콜 모노프로필 에테르, 에틸렌 글리콜 모노부틸 에테르, 디에틸렌 글리콜 모노부틸 에테르 (DEGBE), 트리에틸렌 글리콜 모노부틸 에테르 (TEGBE), 에틸렌 글리콜 모노헥실 에테르 (EGHE), 디에틸렌 글리콜 모노헥실 에테르 (DEGHE), 에틸렌 글리콜 페닐 에테르, 디에틸렌 글리콜 페닐에테르, 헥사에틸렌 글리콜 모노페닐 에테르, 프로필렌 글리콜 메틸 에테르, 디프로필렌 글리콜 메틸 에테르 (DPGME), 트리프로필렌 글리콜 메틸 에테르 (TPGME), 디프로필렌 글리콜 디메틸 에테르, 디프로필렌 글리콜 에틸 에테르, 프로필렌 글리콜 n-프로필 에테르, 디프로필렌 글리콜 n-프로필 에테르 (DPGPE), 트리프로필렌 글리콜 n-프로필 에테르, 프로필렌 글리콜 n-부틸 에테르 (예컨대 DOWANOL PnB), 디프로필렌 글리콜 n-부틸 에테르, 트리프로필렌 글리콜 n-부틸 에테르, 디프로필렌 글리콜 페닐 에테르, 프로필렌 글리콜 페닐 에테르 (PPh, 예컨대 DOWANOL PPh), 4-메틸-2-펜타논, 2,4-디메틸-3-펜타논, 시클로헥사논, 5-메틸-3-헵타논, 3-펜타논, 5-히드록시-2-펜타논, 2,5-헥산디온, 4-히드록시-4-메틸-2-펜타논, 아세톤, 부타논, 2-메틸-2-부타논, 3,3-디메틸-2-부타논, 4-히드록시-2-부타논, 시클로펜타논, 2-펜타논, 3-펜타논, 1-페닐에타논, 아세토페논, 벤조페논, 2-헥사논, 3-헥사논, 2-헵타논, 3-헵타논, 4-헵타논, 2,6-디메틸-4-헵타논, 2-옥타논, 3-옥타논, 4-옥타논, 디시클로헥실 케톤, 2,6-디메틸시클로헥사논, 2-아세틸시클로헥사논, 2,4-펜탄디온, 멘톤, 디메틸술폭시드 (DMSO), 디메틸포름아미드 (DMF), N-메틸 피롤리돈, N-에틸 피롤리돈, 에틸렌 카르보네이트, 프로필렌 카르보네이트, 키렌(cyrene), 디메틸에틸렌우레아 및 그의 조합을 포함하나, 이에 제한되지는 않는다.
대안적으로, 또는 추가적으로, 세정 첨가제는 수용성 중합체 또는 계면활성제를 포함할 수 있으며, 예를 들어, 메타크릴산 단독중합체 및, 예를 들어, 아크릴아미도메틸프로판 술폰산 및 말레산과의 공중합체; 말레산/비닐 에테르 공중합체; 폴리(비닐피롤리돈)/비닐 아세테이트; 단독중합체 예컨대 포스폰화 폴리에틸렌글리콜 올리고머, 폴리(아크릴산) (PAA), 폴리(아크릴아미드), 폴리(비닐 아세테이트), 폴리(에틸렌 글리콜) (PEG), 폴리(프로필렌 글리콜) (PPG), 폴리(스티렌 술폰산), 폴리(비닐 술폰산), 폴리(비닐 포스폰산), 폴리(비닐 인산), 폴리(에틸렌이민), 폴리(프로필렌이민), 폴리알릴아민, 폴리에틸렌 옥시드 (PEO), 폴리비닐 피롤리돈 (PVP), 폴리(비닐 알콜), 친수성 수용성 또는 분산성 폴리우레탄, 폴리(에틸렌 글리콜 아크릴레이트), 폴리(에틸렌 글리콜 메타크릴레이트), PPG-PEG-PPG 블록 공중합체, PEG-PPG-PEG 블록 공중합체, 및 그의 조합을 포함한다.
대안적으로, 또는 추가적으로, 적어도 하나의 세정 첨가제는 계면활성제일 수 있으며, 음이온성, 비이온성, 양이온성 및/또는 쯔비터이온성 계면활성제를 포함하나, 이에 제한되지는 않는다. 예는 알긴산 및 그의 염; 히드록실 또는 카르복시알킬셀룰로스; 덱스트란 술페이트 및 그의 염; 폴리(갈락투론산) 및 그의 염; (메트)아크릴산 및 그의 염, 말레산, 말레산 무수물, 스티렌 술폰산 및 그의 염, 비닐 술폰산 및 그의 염, 알릴 술폰산 및 그의 염, 아크릴아미도프로필 술폰산 및 그의 염의 단독중합체; (메트)아크릴산 및 그의 염, 말레산, 말레산 무수물, 스티렌 술폰산 및 그의 염, 비닐 술폰산 및 그의 염, 알릴 술폰산 및 그의 염, 아크릴아미도프로필 술폰산 및 그의 염의 공중합체; 키토산; 양이온성 전분; 폴리리신 및 그의 염; 디알릴디메틸 암모늄 클로라이드 (DADMAC), 디알릴디메틸 암모늄 브로마이드, 디알릴디메틸 암모늄 술페이트, 디알릴디메틸 암모늄 포스페이트, 디메트알릴디메틸 암모늄 클로라이드, 디에틸알릴 디메틸 암모늄 클로라이드, 디알릴 디(베타-히드록시에틸) 암모늄 클로라이드, 디알릴 디(베타-에톡시에틸) 암모늄 클로라이드, 디메틸아미노에틸 (메트)아크릴레이트 산 부가 염 및 4급 염, 디에틸아미노에틸 (메트)아크릴레이트 산 부가 염 및 4급 염, 7-아미노-3,7-디메틸옥틸 (메트) 아크릴레이트 산 부가 염 및 4급 염, N,N'-디메틸아미노프로필 아크릴아미드 산 부가 염 및 4급 염, 알릴아민, 디알릴아민, 비닐아민, 비닐 피리딘의 단독중합체; 및 디알릴디메틸 암모늄 클로라이드 (DADMAC), 디알릴디메틸 암모늄 브로마이드, 디알릴디메틸 암모늄 술페이트, 디알릴디메틸 암모늄 포스페이트, 디메트알릴디메틸 암모늄 클로라이드, 디에틸알릴 디메틸 암모늄 클로라이드, 디알릴 디(베타-히드록시에틸) 암모늄 클로라이드, 디알릴 디(베타-에톡시에틸) 암모늄 클로라이드, 디메틸아미노에틸 (메트)아크릴레이트 산 부가 염 및 4급 염, 디에틸아미노에틸 (메트)아크릴레이트 산 부가 염 및 4급 염, 7-아미노-3,7- 디메틸옥틸 (메트) 아크릴레이트 산 부가 염 및 4급 염, N,N'- 디메틸아미노프로필 아크릴아미드 산 부가 염 및 4급 염, 알릴아민, 디알릴아민, 비닐아민, 비닐 피리딘의 공중합체; 코코디메틸카르복시메틸베타인; 라우릴디메틸카르복시메틸베타인; 라우릴디메틸-알파-카르복시에틸베타인; 세틸디메틸카르복시메틸베타인; 라우릴-비스-(2-히드록시에틸)카르복시메틸베타인; 스테아릴-비스-(2- 히드록시프로필)카르복시메틸베타인; 올레일디메틸-감마-카르복시프로필베타인; 라우릴-비스-(2- 히드록시프로필)알파-카르복시에틸베타인; 코코디메틸술포프로필베타인; 스테아릴디메틸술포프로필베타인; 라우릴-비스-(2-히드록시에틸)술포프로필베타인; 소듐 도데실술페이트 (SDS); 서피놀(Surfynol) 104, 디옥틸 술포숙시네이트 나트륨 염; 소듐 라우릴 에테르 술페이트; 폴리에틸렌 글리콜 분지형-노닐페닐 에테르 술페이트 암모늄 염; 디소듐 2-도데실-3-(2-술포네이토페녹시); PEG25-PABA; 폴리에틸렌 글리콜 모노-C10-16-알킬 에테르 술페이트 나트륨 염; (2-N-부톡시에톡시)아세트산; 헥사데실벤젠 술폰산; 세틸트리메틸암모늄 히드록시드; 도데실트리메틸암모늄 히드록시드; 도데실트리메틸 암모늄 클로라이드; 세틸트리메틸 암모늄 클로라이드; N-알킬-N-벤질-N,N-디메틸암모늄 클로라이드; 도데실아민; 폴리옥시에틸렌 라우릴 에테르; 도데세닐숙신산 모노디에탄올 아미드; 에틸렌디아민 테트라키스 (에톡실레이트-블록-프로폭실레이트); 및 그의 조합을 포함한다.
특정 세정 첨가제는 히드록시프로필셀룰로스, 히드록시에틸셀룰로스, 카르복시메틸셀룰로스, 소듐 카르복시메틸셀룰로스 (NaCMC), 소듐 도데실술페이트 (SDS); 암모늄 도데실술페이트, 포타슘 도데실술페이트, 암모늄 카르복시메틸셀룰로스, 포타슘 카르복시메틸셀룰로스, 암모늄 알기네이트, 포타슘 알기네이트, 칼슘 알기네이트, 서피놀 104, 폴리비닐피롤리돈 (PVP), N-비닐 피롤리돈 단량체를 사용하여 제조된 임의의 중합체, 폴리(비닐 알콜), 폴리아크릴산 에스테르 및 폴리아크릴산 에스테르의 유사체, 폴리아미노산 (예를 들어, 폴리알라닌, 폴리류신, 폴리글리신 등), 폴리아미도히드록시우레탄, 폴리락톤, 폴리아크릴아미드, 크산탄(Xanthan) 검, 키토산, 폴리에틸렌 옥시드, 폴리비닐 알콜, 폴리비닐 아세테이트, 폴리아크릴산, 폴리에틸렌이민, 당 알콜 예컨대 소르비톨 및 자일리톨, 무수소르비톨의 에스테르, 2차 알콜 에톡실레이트 예컨대 TERGITOL, 및 그의 조합을 포함하나, 이에 제한되지는 않는다.
세정 조성물에서의 세정 첨가제의 양은 세정 조성물의 총 중량을 기준으로 약 0.01 wt% 내지 약 20 wt%의 범위이다. 바람직하게는, 세정 첨가제가 세정 조성물의 총 중량을 기준으로 약 0.1 wt% 내지 약 10 wt%의 양으로, 보다 바람직하게는 약 0.5 wt% 내지 약 5 wt%의 양으로 존재한다.
본 개시내용의 세정 조성물은 조성물의 pH를 목표 값으로 상승시키거나 또는 낮추는데 사용되는 적어도 하나의 pH 조정제를 포함한다. pH 조정제가 산 및/또는 염기를 포함할 수 있지만, 바람직하게는 pH 조정제가 염기이고 세정 조성물의 pH를 상승시키기 위해 사용된다. 예시적인 염기는 알칼리 금속 수산화물 (예를 들어, LiOH, KOH, RbOH, CsOH), 알칼리 토금속 수산화물 (예를 들어, Be(OH)2, Mg(OH)2, Ca(OH)2, Sr(OH)2, Ba(OH)2), 암모늄 히드록시드 (즉, 암모니아), 및 화학식 NR1R2R3R4OH를 갖고, 여기서 R1, R2, R3 및 R4는 서로 동일하거나 또는 상이할 수 있고, 수소, 직쇄 또는 분지형 C1-C6 알킬 (예를 들어, 메틸, 에틸, 프로필, 부틸, 펜틸, 및 헥실) 기, C1-C6 히드록시알킬 (예를 들어, 히드록시메틸, 히드록시에틸, 히드록시프로필, 히드록시부틸, 히드록시펜틸, 및 히드록시헥실) 기, 및 치환된 또는 치환되지 않은 C6-C10 아릴 기 (예를 들어, 벤질 기)로 이루어진 군으로부터 선택된 것인 테트라알킬암모늄 히드록시드 화합물을 포함하나, 이에 제한되지는 않는다. 상업적으로 입수가능한 테트라알킬암모늄 히드록시드는 테트라메틸암모늄 히드록시드 (TMAH), 테트라에틸암모늄 히드록시드 (TEAH), 테트라프로필암모늄 히드록시드 (TPAH), 테트라부틸암모늄 히드록시드 (TBAH), 트리부틸메틸암모늄 히드록시드 (TBMAH), 벤질트리메틸암모늄 히드록시드 (BTMAH), 콜린 히드록시드, 에틸트리메틸암모늄 히드록시드, 트리스(2-히드록시에틸)메틸 암모늄 히드록시드, 디에틸디메틸암모늄 히드록시드, 및 그의 조합을 포함하며, 사용될 수 있다.
대안적으로 또는 추가적으로, pH 조정제는 화학식 (PR1R2R3R4)OH를 갖고, 여기서 R1, R2, R3 및 R4는 서로 동일하거나 또는 상이할 수 있고, 수소, 직쇄 C1-C6 알킬 (예를 들어, 메틸, 에틸, 프로필, 부틸, 펜틸, 및 헥실) 기, 분지형 C1-C6 알킬 기, C1-C6 히드록시알킬 (예를 들어, 히드록시메틸, 히드록시에틸, 히드록시프로필, 히드록시부틸, 히드록시펜틸, 및 히드록시헥실) 기, 치환된 C6-C10 아릴 기, 치환되지 않은 C6-C10 아릴 기 (예를 들어, 벤질 기), 및 그의 임의의 조합으로 이루어진 군으로부터 선택된 것인 4차 염기, 예컨대 테트라부틸포스포늄 히드록시드 (TBPH), 테트라메틸포스포늄 히드록시드, 테트라에틸포스포늄 히드록시드, 테트라프로필포스포늄 히드록시드, 벤질트리페닐포스포늄 히드록시드, 메틸 트리페닐포스포늄 히드록시드, 에틸 트리페닐포스포늄 히드록시드, N-프로필 트리페닐포스포늄 히드록시드일 수 있다.
첨가되는 pH 조정제(들)의 양은 원하는 pH에 따라 달라지며, 본원에 개시되고 통상의 기술자에 의해 이해되는 바와 같다. 예를 들어, pH 조정제는 세정 조성물의 총 중량을 기준으로 약 0.1 wt% 내지 약 10 wt%의 양으로, 보다 바람직하게는 약 1 wt% 내지 약 5 wt%의 양으로 존재할 수 있다. 한 실시양태에서, pH 조정제는 KOH를 포함한다. 또 다른 실시양태에서, pH 조정제는 콜린 히드록시드를 포함한다. 또 다른 실시양태에서, pH 조정제는 암모늄 히드록시드를 포함한다. 또 다른 실시양태에서, pH 조정제는 적어도 하나의 알칼리 금속 수산화물 및 본원에 열거된 적어도 하나의 추가의 수산화물을 포함한다. 또 다른 실시양태에서, pH 조정제는 KOH 및 본원에 열거된 적어도 하나의 추가의 수산화물을 포함한다. 또 다른 실시양태에서, pH 조정제는 KOH와 콜린 히드록시드 및 암모늄 히드록시드 중 적어도 하나를 포함한다.
본원에 기재된 세정 조성물의 pH는 목표 적용에 따라 달라질 수 있다. 한 실시양태에서, 조성물의 pH는 7 초과이고, 그러므로 염기성 수성 세정 조성물일 수 있다. 이러한 실시양태에서, 바람직하게는 pH가 약 10 내지 14 초과의 범위, 보다 바람직하게는 약 12 내지 약 14의 범위, 가장 바람직하게는 약 13 내지 14의 범위이다. 희석시, 예컨대 하기 기재된 농도의 형성 및 물의 후속 첨가에 의해, 세정 조성물의 pH가 약 10 내지 약 12의 범위로 감소할 것이라는 것을 통상의 기술자는 이해한다.
본원에 기재된 세정 조성물은 적어도 하나의 옥실아민 화합물 또는 그의 염을 포함한다. 특히, 옥실아민 화합물은 히드록실아민 또는 아미녹실 (니트록실) 화합물일 수 있다. 예를 들어, 조성물은 적어도 하나의 히드록실아민 화합물, 적어도 하나의 아미녹실 화합물, 또는 그의 조합을 포함할 수 있다. 옥실아민 화합물은 원칙적으로 질소 (N) 원자에 결합된 산소 기 (예컨대 -O. 또는 -OH)를 포함하는 임의의 화합물일 수 있다. 일부 경우에, 옥실아민 화합물의 염 형태가 더 안정적이거나 또는 용이하게 이용가능할 수 있으며, 여기서 화합물은 질소 원자에 결합된 히드록실레이트 (-OM) 기를 포함한다. 예는 Na+, K+, Li+ 또는 암모늄 염을 포함한다.
보다 상세하게, 옥실아민 화합물은 화학식 (I)을 갖는 히드록실아민 화합물일 수 있거나:
Figure 112021082141205-pct00003
또는 화학식 (II)을 갖는 아미녹실 화합물일 수 있다:
Figure 112021082141205-pct00004
R1 및 R2는 서로 동일하거나 또는 상이할 수 있고, 수소 및 직쇄, 분지형, 또는 시클릭 알킬 기, 예컨대 C1-C8 알킬 기 (예를 들어, 메틸, 에틸, 프로필, 부틸, 펜틸, 헥실, 헵틸, 및 옥틸)로 이루어진 군으로부터 선택된다. 이와 같이, 옥실아민 화합물은 알킬옥실아민 (예를 들어, 알킬히드록실아민, 특히 디알킬히드록실아민)일 수 있다. 적합하게, 옥실아민 화합물은 대칭일 수 있으며, 화학식 (I) 및 (II)에서 R1 및 R2는 동일하다. 히드록실아민 화합물의 예시적인 예는 히드록실아민 (NH2OH), 디메틸 히드록실 아민, 메틸 에틸 히드록실아민, 메틸 프로필 히드록실아민, 메틸 부틸 히드록실아민, 디에틸히드록실아민 (DEHA), 에틸 프로필 히드록실아민, 에틸 부틸 히드록실아민, 디프로필 히드록실아민, 프로필 부틸 히드록실아민, 디부틸 히드록실아민, 디(t-부틸)히드록실아민, 모르폴린-N-히드록시드, N-히드록시숙신아민, N-히드록시말레이미드, 또는 그의 염을 포함하나, 이에 제한되지는 않는다. 염의 예는 히드록실아민 히드로클로라이드, 히드록실아민 술페이트, 또는 히드록실아민 아세테이트를 포함하나, 이에 제한되지는 않는다. 아미녹실 화합물의 예시적인 예는 2,2,6,6-테트라메틸피페리딘-1-일)옥실 (또한 때때로 (2,2,6,6-테트라메틸피페리딘-1-일)옥시다닐, 또는 TEMPO로 지칭됨), 4-아미노-TEMPO, 4-카르복시-TEMPO, 4-포스포녹시-TEMPO, 4-히드록시-TEMPO, 공유 결합된 TEMPO 측기 또는 말단기를 갖는 중합체를 포함하나, 이에 제한되지는 않는다. 다른 적합한 예는 2,2,5,5-테트라메틸피롤리딘-1-일)옥시다닐 (또한 때때로 2,2,5,5-테트라메틸피롤리딘-N-옥실 니트록시드 또는 PROXYL로 지칭됨), 3-아미노-PROXYL, 3-카르복시-PROXYL, 3-포스포녹시-PROXYL, 3-히드록시-PROXYL, 및 공유 결합된 PROXYL 측기 또는 말단기를 갖는 중합체를 포함한다.
일부 실시양태에서, 히드록실 아민은 추가로 관능화될 수 있으며, 여기서, 예를 들어, R1 및 R2는 직쇄 또는 분지형 C1-C8 알콜 (예를 들어, 메탄올, 에탄올, 프로판올, 부탄올, 펜탄올, 헥산올, 헵탄올, 및 옥탄올), 및 화학식 R4 - O - R5 (여기서 R4 및 R5는 서로 동일하거나 또는 상이할 수 있고, C1-C8 알킬로 이루어진 군으로부터 선택되며, R4 또는 R5가 N에 결합되어 있음)를 갖는 직쇄 또는 분지형 에테르로 이루어진 군으로부터 선택될 수 있다. 특정 예로서, R1 및/또는 R2는 C1-C4 알킬 기를 포함할 수 있다.
다양한 실시양태에서 조성물은 조성물의 총 중량을 기준으로 0. 1 내지 20 % w/w의 범위로, 본원 어디에나 기재된 바와 같은 옥실아민 화합물 또는 옥실아민 화합물 성분을 포함한다. 적합하게, 옥실아민 화합물 또는 옥실아민 화합물 성분의 양은 0.01 내지 10 % w/w의 범위, 예를 들어 0.1 내지 7 % w/w의 범위일 수 있다.
세정 조성물에서의 옥실아민 화합물 또는 그의 염의 양은 세정 조성물의 총 중량을 기준으로 약 0.01 wt% 내지 약 25 wt%의 범위이다. 바람직하게는, 옥실아민 화합물이 세정 조성물의 총 중량을 기준으로 약 0.1 wt% 내지 약 10 wt%의 양으로, 보다 바람직하게는 약 0.5 wt% 내지 약 5 wt%의 양으로 존재한다.
본 개시내용의 세정 조성물은, 특히 코발트-함유 물질을 포함하는 마이크로전자 장치를 세정하는데 추가 이점을 제공할 수 있는 하나 이상의 추가의 성분을 임의적으로 추가로 포함할 수 있다. 일부 실시양태에서, 세정 조성물은 적어도 하나의 에천트, 적어도 하나의 부식 억제제, 및/또는 적어도 하나의 환원제를 포함할 수 있다.
예를 들어, 세정 조성물은 적어도 하나의 에천트를 임의적으로 포함할 수 있다. 적합하게, 조성물은 복수의 추가적인 에천트로 이루어진 에천트 성분을 포함할 수 있다. 에천트의 예는 모르폴린, 디글리콜아민, 3-부톡시프로필아민, 프로필렌 글리콜 모노부틸 에테르 (예를 들어, DOWANOL PnB), 히드록시에틸모르폴린, 히드록시프로필모르폴린, 아미노에틸모르폴린, 아미노프로필모르폴린, 펜타메틸디에틸렌트리아민 (PMDETA), 피페라진, N,N-디메틸프로판올아민, 에탄올아민, 디에탄올아민, 디에틸아민, 에틸렌디아민, 디에틸렌트리아민, 테트라에틸렌 펜타민, 트리에틸렌테트라민, 트리메틸아미노에틸에탄올아민, 트리메틸아미노프로필에탄올아민, 및 그의 조합을 포함하나, 이에 제한되지는 않는다. 다양한 실시양태에서, 존재하는 경우, 세정 중의 에천트의 양은 세정 조성물의 총 중량을 기준으로 약 0. 1 wt% 내지 약 20 wt%의 범위이다. 바람직하게는, 에천트가 조성물의 총 중량을 기준으로 약 1 wt% 내지 약 20 wt%의 양으로, 보다 바람직하게는 약 1 wt% 내지 약 10 wt%의 양으로 존재한다. 다양한 실시양태에서, 세정 조성물은 에천트를 함유하지 않는다.
세정 조성물은 적어도 하나의 부식 억제제를 임의적으로 포함할 수 있다. 예시적인 부식 억제제는 아세트산, 아세톤 옥심, 아크릴산, 아디프산, 알라닌, 아르기닌, 아스파라긴, 아스파르트산, 베타인, 디메틸 글리옥심, 포름산, 푸마르산, 글루콘산, 글루탐산, 글루타민, 글루타르산, 글리세르산, 글리세롤, 글리콜산, 글리옥실산, 히스티딘, 이미노디아세트산, 이소프탈산, 이타콘산, 락트산, 류신, 리신, 말레산, 말레산 무수물, 말산, 말론산, 만델산, 2,4-펜탄디온, 페닐아세트산, 페닐알라닌, 프탈산, 프롤린, 프로피온산, 피로카테콜, 피로멜리트산, 퀸산, 세린, 소르비톨, 숙신산, 타르타르산, 테레프탈산, 트리멜리트산, 트리메스산, 티로신, 발린, 자일리톨, 옥살산, 탄닌산, 피콜린산,1,3-시클로펜탄디온, 카테콜, 피로갈롤, 레조르시놀, 히드로퀴논, 시아누르산, 바르비투르산, 1,2-디메틸바르비투르산, 피루브산, 프로판티올, 벤조히드록삼산, 2,5-디카르복시피리딘, 4-(2-히드록시에틸)모르폴린 (HEM), N-아미노에틸피페라진 (N-AEP), 에틸렌디아민테트라아세트산 (EDTA), 1,2-시클로헥산디아민-N,N,N',N'-테트라아세트산 (CDTA), N-(히드록시에틸)-에틸렌디아민트리아세트산 (HEdTA), 이미노디아세트산 (IDA), 2-(히드록시에틸)이미노디아세트산 (HIDA), 니트릴로트리아세트산, 티오우레아, 1,1,3,3-테트라메틸우레아, 우레아, 우레아 유도체, 글리신, 시스테인, 글루탐산, 이소류신, 메티오닌, 피페라딘, N-(2-아미노에틸) 피페라딘, 피롤리딘, 트레오닌, 트립토판, 살리실산, p-톨루엔술폰산, 살리실히드록삼산, 5-술포살리실산, 트리아졸, 아미노트리아졸, 디메틸프로파르길 알콜, 라우로일 사르코신, 스테로일 사르코신, 사카린, 및 그의 조합을 포함하나, 이에 제한되지는 않는다. 다양한 실시양태에서, 존재하는 경우, 세정 중의 부식 억제제의 양은 세정 조성물의 총 중량을 기준으로 약 0.01 wt% 내지 약 10 wt%의 범위이다. 바람직하게는, 부식 억제제가 조성물의 총 중량을 기준으로 약 0.01 wt% 내지 약 5 wt%의 양으로, 보다 바람직하게는 약 0.05 wt% 내지 약 5 wt%의 양으로 존재한다. 다양한 실시양태에서, 세정 조성물은 부식 억제제를 함유하지 않는다.
세정 조성물은 적어도 하나의 환원제를 임의적으로 포함할 수 있다. 예시적인 환원제는 아스코르브산, L(+)-아스코르브산, 이소아스코르브산, 아스코르브산 유도체, 아황산, 아황산암모늄, 아황산칼륨, 아황산나트륨, 도파민 HCl, 아인산, 포스핀산, 차아인산, 메타중아황산칼륨, 메타중아황산나트륨, 메타중아황산암모늄, 피루브산칼륨, 피루브산나트륨, 피루브산암모늄, 포름산, 포름산나트륨, 포름산칼륨, 포름산암모늄, 도파민, 이산화황 용액, 및 그의 임의의 조합을 포함하나, 이에 제한되지는 않는다. 예를 들어, 적어도 하나의 환원제는 적어도 하나의 아황산염 이온 및 적어도 하나의 다른 열거된 환원제, 예를 들어, 아황산, 아황산칼륨, 아황산암모늄, 포스핀산, 및 그의 임의의 조합을 포함할 수 있다. 아황산암모늄이 존재하는 경우, 아황산암모늄은 계내에서 제조될 수 있으며, 여기서 특정 성분의 조합은 잔류물, 예를 들어, 세리아 입자 및 기타 CMP-후 잔류물의 제거를 돕기 위해 아황산암모늄의 형성을 초래한다는 것을 인식해야 한다. 다양한 실시양태에서, 존재하는 경우, 세정 중의 환원제의 양은 세정 조성물의 총 중량을 기준으로 약 0.0001 wt% 내지 약 1 wt%의 범위이다. 바람직하게는, 환원제가 조성물의 총 중량을 기준으로 약 0.0001 wt% 내지 약 0.2 wt%의 양으로, 보다 바람직하게는 약 0.01 wt% 내지 약 0.2 wt%의 양으로 존재한다. 다양한 실시양태에서, 세정 조성물은 환원제를 함유하지 않는다.
성분의 중량 퍼센트 비의 범위는 조성물의 모든 가능한 농축된 또는 희석된 실시양태를 커버할 것이다. 그 목적을 향하여, 한 실시양태에서, 세정 용액으로서 사용하기 위해 희석될 수 있는 농축된 제거 조성물이 제공된다. 농축된 조성물, 또는 "농축물"은 유리하게는 사용자 (예를 들어 CMP 공정 엔지니어)가 사용 시점에 농축물을 원하는 농도 및 pH로 희석할 수 있게 한다. 농축된 수성 제거 조성물의 희석은 약 1:1 내지 약 2500:1, 바람직하게는 약 5:1 내지 약 200:1, 가장 바람직하게는 약 20:1 내지 약 120:1의 범위일 수 있으며, 여기서 수성 제거 조성물은 도구에서 또는 도구 바로 앞에서 용매, 예를 들어, 탈이온수로 희석된다. 희석 후, 본원에 개시된 성분의 중량 퍼센트 비의 범위는 변하지 않고 남아 있어야 한다는 것을 관련 기술분야의 통상의 기술자는 인식해야 한다.
본원에 기재된 조성물은 에치-후 잔류물 제거, 애시-후 잔류물 제거 표면 준비, 도금-후 세정 및 CMP-후 잔류물 제거를 포함하나, 이에 제한되지 않는, 적용에서의 유용성을 가질 수 있다. 코발트-함유 구성요소의 세정에 더하여, 본원에 기재된 수성 세정 조성물은 장식용 금속, 금속 와이어 본딩, 인쇄 회로 기판 및 금속 또는 금속 합금을 사용하는 기타 전자기기 패키징을 포함하나, 이에 제한되지 않는 다른 금속 (예를 들어, 구리-함유 및 텅스텐-함유) 제품의 세정 및 보호에 또한 유용할 수 있다는 것이 고려된다.
수성 세정 조성물은 각각의 구성성분의 간단한 첨가 및 균질한 상태로의 혼합에 의해 쉽게 제형화된다. 더욱이, 조성물은 사용 시점에 또는 전에 혼합되는 단일-패키지 제형 또는 다중-파트 제형으로서 용이하게 제형화될 수 있고, 예를 들어, 다중-파트 제형의 개별 파트는 도구에서 또는 도구 상류의 저장 탱크에서 혼합될 수 있다. 각각의 구성성분의 농도는 조성물의 특정 배량으로, 즉, 더 희석되거나 또는 더 농축되어 광범위하게 다양할 수 있고, 본원에 기재된 조성물은 다양하게 그리고 대안적으로 본원의 개시내용과 일치하는 구성성분의 임의의 조합을 포함하거나, 그로 이루어지거나 또는 그로 본질적으로 이루어질 수 있다는 것을 인식할 것이다.
따라서, 또 다른 측면은 본원에 기재된 세정 조성물을 형성하도록 적합화된 하나 이상의 성분을 하나 이상의 용기에 포함하는 키트에 관한 것이다. 제작시 또는 사용 시점에 추가의 용매 (예를 들어, 물)와 조합하기 위한 키트는 하나 이상의 용기에, 적어도 하나의 착물화제, 적어도 하나의 세정 첨가제, 적어도 하나의 pH 조정제, 적어도 하나의 옥실아민 화합물, 물, 임의적으로 적어도 하나의 에천트, 임의적으로 적어도 부식 억제제, 및 임의적으로 적어도 하나의 환원제를 포함할 수 있다. 대안적으로, 제작시 또는 사용 시점에 적어도 하나의 pH 조정제 및 추가의 용매 (예를 들어, 물)와 조합하기 위한 키트는 하나 이상의 용기에, 적어도 하나의 착물화제, 적어도 하나의 세정 첨가제, 적어도 하나의 옥실아민 화합물, 물, 임의적으로 적어도 하나의 에천트, 임의적으로 적어도 부식 억제제, 및 임의적으로 적어도 하나의 환원제를 포함할 수 있다. 키트의 용기는 조성물의 저장 및 운송에 적합해야 하며, 예를 들어, 나우팩(NOWPak)® 용기 (엔테그리스, 인크.(Entegris, Inc.), 미국 매사추세츠주 빌레리카)일 수 있다.
수성 세정 조성물의 성분을 함유하는 하나 이상의 용기는 바람직하게는 블렌딩 및 분배를 위해 상기 하나 이상의 용기에 있는 성분들을 유체 연통시키는 수단을 포함한다. 예를 들어, 나우팩® 용기에 대해 말하면, 가스 압력이 상기 하나 이상의 용기에 있는 라이너의 외부에 적용되어 라이너의 내용물 중 적어도 일부가 방출되도록 하여 블렌딩 및 분배를 위한 유체 연통을 가능하게 할 수 있다. 대안적으로, 가스 압력이 종래의 가압가능한 용기의 헤드 공간에 적용될 수 있거나 또는 펌프가 사용되어 유체 연통을 가능하게 할 수 있다. 또한, 시스템은 바람직하게는 블렌딩된 제거 조성물을 공정 도구에 분배하기 위한 분배 포트를 포함한다.
마이크로전자 제조 작업에 적용된 바와 같이, 본원에 기재된 세정 조성물은 마이크로전자 장치의 표면으로부터 입자, CMP-후 잔류물, 애시-후 잔류물, 및 에치-후 잔류물을 포함하는, 잔류물 및 오염물을 제거하는데 유용하게 사용된다. 유리하게, 본원에 기재된 개시된 세정 조성물은 관련 기술분야에 이전에 기재된 대안적인 세정 조성물에 비해 개선된 코발트 상용성을 나타낸다. 따라서, 본 개시내용은 또한 본원에 기재된 세정 조성물을 사용하여 상부에 잔류물 및 오염물을 갖는 마이크로전자 장치로부터 상기 잔류물 및 오염물을 제거하는 방법에 관한 것이다.
방법은 마이크로전자 장치로부터 잔류물 및 오염물을 적어도 부분적으로 세정하기에 충분한 시간 동안 마이크로전자 장치를 개시된 세정 조성물과 접촉시키는 것을 포함한다. 사용시, 세정 조성물은 전형적으로 약 5 초 내지 약 10 분, 바람직하게는 약 1 초 내지 20 분, 바람직하게는 약 15 초 내지 약 5 분의 시간 동안 약 20℃ 내지 약 90℃, 바람직하게는 약 20℃ 내지 약 50℃ 범위의 온도에서 장치와 접촉시킨다. 이러한 접촉 시간 및 온도는 예시적인 것이며, 방법의 광범위한 실행 내에서, 장치로부터 잔류물 및 오염물을 적어도 부분적으로 제거하기에 효과적인 임의의 다른 적합한 시간 및 온도 조건이 사용될 수 있다. "적어도 부분적으로 세정하다" 및 "실질적인 제거"는 모두 입자 제거 전에 장치에 존재하는 세리아 입자의 적어도 85 %, 보다 바람직하게는 적어도 90 %, 훨씬 더 바람직하게는 적어도 95 %, 가장 바람직하게는 적어도 99 %를 제거할 때에 상응한다.
장치를 접촉시키고 원하는 목표 잔류물 및/또는 오염물을 제거한 후, 세정 조성물은 본원에 기재된 조성물의 주어진 최종 용도 적용에서 원하고 효과적일 수 있는 바와 같이, 이전에 적용되었던 장치로부터 용이하게 제거될 수 있다. 예를 들어, 바람직하게는 헹굼 용액이 사용될 수 있고, 여기서 헹굼 용액은 탈이온수를 포함한다. 이후에, 장치는 관련 기술분야에 공지된 바와 같이, 질소 또는 스핀-건조 사이클을 사용하여 건조될 수 있다.
본 개시내용의 또 다른 측면은 본원에 기재된 방법에 따라 제조된 개선된 마이크로전자 장치 및 이러한 마이크로전자 장치를 함유하는 제품에 관한 것이다. 또 다른 측면은 재활용 세정 조성물에 관한 것이며, 여기서 조성물은 입자 및/또는 오염물 로딩이 관련 기술분야의 통상의 기술자에 의해 용이하게 결정된 바와 같은, 수성 제거 조성물이 수용할 수 있는 최대 양에 도달할 때까지 재활용될 수 있다. 이와 같이, 본원에 개시된 세정 조성물은 잔류물 및/또는 오염물을 포함할 수 있다. 잔류물 및 오염물은 조성물에 용해될 수 있다. 대안적으로, 잔류물 및 오염물은 조성물에 현탁될 수 있다. 바람직하게, 잔류물은 CMP-후 잔류물, 에치-후 잔류물, 애시-후 잔류물, 오염물, 또는 그의 조합을 포함한다. 또 다른 추가 측면은 마이크로전자 장치를 포함하는 물품을 제조하는 방법에 관한 것이며, 상기 방법은 마이크로전자 장치로부터 잔류물 및/또는 오염물을 제거하기에 충분한 시간 동안 마이크로전자 장치를 본 세정 조성물과 접촉시키고, 세정된 마이크로전자 장치를 물품에 혼입시키는 것을 포함한다. 또 다른 측면은 이 방법에 의해 제조된 물품에 관한 것이다.
실시예
본 개시내용의 세정 조성물의 특징 및 장점은, 달리 명백하게 명시되지 않는 한, 모든 부 및 백분율이 중량 기준인, 하기 비제한적 실시예에 의해 보다 충분히 설명된다. 표면에 존재하는 코발트-함유 물질과 여전히 양립가능하면서 마이크로전자 장치 구조로부터 잔류물 및 오염물, 예를 들어, CMP-후 잔류물, 에치-후 잔류물, 애시-후 잔류물, 및 오염물을 세정하는데 특히 유용한 세정 조성물이 개시된다.
실시예 1
하기 실시예는 세정 조성물에 적어도 하나의 히드록실아민을 첨가하는 유효성을 입증한다.
2개의 조성물을 제조하였고 하기 표 1에 나와 있다. 표의 모든 숫자는 총 조성물을 기준으로 한 wt%를 나타내고, 총 100%에 대한 임의의 부족은 단지 반올림으로 인한 것이다.
표 1
Figure 112021082141205-pct00005
나타낸 바와 같이, 비교 실시예 1과 실시예 1의 조성물 간의 유일한 차이는 물의 양을 DEHA로 대체한 것이다. 비교 실시예 1은 본 개시내용에 따르지 않는 비교 실시예이다.
각 조성물을 60:1 물 대 조성물의 비로 탈이온수로 희석시킨 다음, 코발트 쿠폰을 30℃의 온도에서 15 분 동안 각각의 희석된 조성물에 노출시켰다. 코발트 쿠폰의 에칭 정도를 결정하고 표 1에 나타냈다. 알 수 있듯이, 비교 실시예 1의 세정 조성물은 0.02의 표준 편차를 가지고 0.6 A/min의 에치 속도를 나타냈다. 비교해 보면, 실시예 1의 조성물은 0.05의 표준 편차를 가지고 0.4 A/min의 에치 속도를 나타냈다. 이러한 결과는 DEHA와 같은 히드록실아민의 포함이 코발트 에칭을 감소시키는데 효과적이라는 것을 보여준다.
실시예 2-3
하기 실시예는 적어도 하나의 히드록실아민을 포함하고 높은 코발트 상용성을 갖는 본 개시내용의 세정 조성물을 입증한다.
적어도 하나의 착물화제, 적어도 하나의 세정 첨가제, 적어도 하나의 pH 조정제, 및 적어도 하나의 히드록실 아민을 포함하는, 본 개시내용의 조성물을 제조하였다. 조성은 다음과 같았다:
실시예 2: 18.2% 콜린 히드록시드 (46.7% 수용액으로서 첨가됨), 1.13% MEA, 0.05% 시스테인, 4.9% DEHA, 및 75.625% DI수. 조성물의 pH는 13.5였다.
실시예 3: 2.09% KOH (45% 수용액으로서 첨가됨), 1.25% MEA, 0.1% 시스테인, 0.8% HEDP (60% 수용액으로서 첨가됨), 5.3% 모르폴린, 1% 1-부톡시-2-프로판올, 3% DMSO, 0.9% DEHA, 및 85.56% DI수.
모든 숫자는 총 조성물을 기준으로 한 wt%를 나타내고, 총 100%에 대한 임의의 부족은 단지 반올림으로 인한 것이다.
각 조성물을 60:1 물 대 조성물의 비로 탈이온수로 희석시켰다. 이어서 코발트 쿠폰을 30℃의 온도에서 15 분 동안 각각의 희석된 조성물에 노출시키고, 코발트 쿠폰의 에칭 정도를 결정하였다. 히드록실아민으로서 DEHA를 함유하는, 두 실시예 2 및 실시예 3의 조성물은 모두 그들의 감소된 코발트 에치 속도에 근거하여 개선된 코발트 상용성을 갖는다는 것이 밝혀졌다.
실시예 4-13
하기 실시예는 코발트 기판으로부터 실리카 슬러리의 제거에 대한 적어도 하나의 히드록실아민을 포함하는 본 개시내용의 다양한 세정 조성물의 유효성을 입증한다.
적어도 하나의 착물화제, 적어도 하나의 세정 첨가제, 적어도 하나의 pH 조정제, 및 적어도 하나의 히드록실 아민을 포함하는, 본 개시내용의 조성물을 제조하였고 하기 표 2에 나와 있다. 표의 모든 숫자는 총 조성물을 기준으로 한 wt%를 나타내고, 총 100%에 대한 임의의 부족은 단지 반올림으로 인한 것이다.
제시된 조성물을 제조하였고 물로 100:1로 희석시켰다. 코발트 쿠폰을 실리카-함유 슬러리에 5 분 동안 침지하고, DI수에 30 초 동안 헹군 다음, 세정 조성물에 60 초 동안 침지한 후, 두 번째 DI수 헹구기로 30 초 동안 헹구었다. 침지 동안의 온도는 실온이었다. 주사 전자 현미경 검사 (SEM)를 사용하여 세정 정도를 결정하였고, 결과는 하기 표 3에 나와 있다.
표 2
Figure 112021082141205-pct00006
표 3
Figure 112021082141205-pct00007
나타낸 바와 같이, 디에틸히드록실아민을 함유하는 세정 조성물 각각은 코발트-함유 기판으로부터 실리카 슬러리 입자를 효과적으로 제거할 수 있었다. 따라서, 히드록실아민을 함유하는 조성물은 효과적인 코발트 상용성 (실시예 1-3에서 감소된 에치 속도에 의해 나타낸 바와 같음)을 유지하면서 코발트 기판으로부터 잔류물 입자를 제거할 수 있다.
본 발명은 예시적인 실시양태 및 특징과 관련하여 본원에서 다양하게 개시하였지만, 상기 본원에 기재된 실시양태 및 특징이 본 발명을 제한하려는 것은 아니며, 다른 변형, 수정 및 다른 실시양태가 본원의 개시내용에 기초하여 통상의 기술자에게 그 자체를 제안할 것이라는 것을 인식할 것이다. 따라서 본 발명은 이후에 제시된 청구범위의 취지 및 범주 내에 그러한 모든 변형, 수정 및 대안적 실시양태를 포함하는 것으로 광범위하게 해석되어야 한다.

Claims (20)

  1. 상부에 잔류물 및 오염물을 갖는 마이크로전자 장치로부터 상기 잔류물 및 오염물을 세정하기 위한 세정 조성물이며, 0.1 wt% 내지 10 wt%의 적어도 하나의 착물화제, 0.1 wt% 내지 10 wt%의 적어도 하나의 세정 첨가제, 1 wt% 내지 5 wt%의 적어도 하나의 pH 조정제, 물, 및 0.1 wt% 내지 10 wt%의 적어도 하나의 알킬옥실아민 화합물 또는 그의 염을 포함하며,
    상기 조성물은 pH가 10 내지 14의 범위이며 적어도 50 wt%의 물을 포함하는 수성 세정 조성물이며,
    상기 세정 첨가제가 2-피롤리디논, 1-(2-히드록시에틸)-2-피롤리디논 (HEP), 글리세롤, 테트라메틸렌 술폰 (술폴란), 디메틸 술폰, 테트라글라임, 디글라임, 메틸 이소부틸 케톤, 메틸 에틸 케톤, 이소프로판올, 옥탄올, 에탄올, 부탄올, 메탄올, 이소포론, 4-메틸-2-펜타논, 2,4-디메틸-3-펜타논, 5-메틸-3-헵타논, 3-펜타논, 5-히드록시-2-펜타논, 2,5-헥산디온, 4-히드록시-4-메틸-2-펜타논, 2-메틸-2-부타논, 3,3-디메틸-2-부타논, 4-히드록시-2-부타논, 시클로펜타논, 2-펜타논, 3-펜타논, 1-페닐에타논, 아세토페논, 벤조페논, 2-헥사논, 3-헥사논, 2-헵타논, 3-헵타논, 4-헵타논, 2,6-디메틸-4-헵타논, 2-옥타논, 3-옥타논, 4-옥타논, 디시클로헥실 케톤, 2,6-디메틸시클로헥사논, 2-아세틸시클로헥사논, 2,4-펜탄디온, 멘톤, 디메틸술폭시드 (DMSO), 디메틸포름아미드 (DMF), N-메틸 피롤리돈, N-에틸 피롤리돈, 히드록시프로필셀룰로스, 히드록시에틸셀룰로스, 카르복시메틸셀룰로스, 소듐 카르복시메틸셀룰로스 (NaCMC), 소듐 도데실술페이트 (SDS), 암모늄 도데실술페이트, 포타슘 도데실술페이트, 암모늄 카르복시메틸셀룰로스, 포타슘 카르복시메틸셀룰로스, 폴리비닐피롤리돈 (PVP), 폴리아미노산, 폴리아미도히드록시우레탄, 폴리락톤, 폴리아크릴아미드, 크산탄(Xanthan) 검, 키토산, 폴리비닐 알콜, 폴리비닐 아세테이트, 폴리아크릴산, 폴리에틸렌이민, 소르비톨 에스테르, 자일리톨, 무수소르비톨의 에스테르, 2차 알콜 에톡실레이트, 포타슘 알기네이트, 암모늄 알기네이트, 칼슘 알기네이트, 폴리(에틸렌 글리콜 메타크릴레이트), 및 그의 조합으로 이루어진 군으로부터 선택된 종을 포함하는 것인 세정 조성물.
  2. 제1항에 있어서, 착물화제가 아미노에틸에탄올아민, N-메틸아미노에탄올, 아미노에톡시에탄올, 디메틸아미노에톡시에탄올, 디에탄올아민, N-메틸디에탄올아민, 모노에탄올아민 (MEA), 트리에탄올아민 (TEA), 이소프로판올아민, 디이소프로판올아민, 아미노프로필디에탄올아민, N,N-디메틸프로판올아민, N-메틸프로판올아민, 1-아미노-2-프로판올, 2-아미노-1-부탄올, 이소부탄올아민, 트리에틸렌디아민, 4-(2-히드록시에틸)모르폴린 (HEM), 1,2-시클로헥산디아민-N,N,N',N'-테트라아세트산 (CDTA), 에틸렌디아민테트라아세트산 (EDTA), m-크실렌디아민 (MXDA), 이미노디아세트산 (IDA), 2-(히드록시에틸)이미노디아세트산 (HIDA), 니트릴로트리아세트산, 티오우레아, 1,1,3,3-테트라메틸우레아, 우레아, 우레아 유도체, 요산, 알라닌, 아르기닌, 아스파라긴, 아스파르트산, 시스테인, 글루탐산, 글루타민, 히스티딘, 이소류신, 류신, 리신, 메티오닌, 페닐알라닌, 프롤린, 세린, 트레오닌, 트립토판, 티로신, 발린, 1-히드록시에틸리덴-1,1-디포스폰산 (HEDP), 1,5,9-트리아자시클로도데칸-N,N',N"-트리스(메틸렌포스폰산) (DOTRP), 1,4,7,10-테트라아자시클로도데칸-N,N',N",N'''-테트라키스(메틸렌포스폰산) (DOTP), 니트릴로트리스(메틸렌)트리포스폰산, 디에틸렌트리아민펜타키스(메틸렌포스폰산) (DETAP), 아미노트리(메틸렌 포스폰산), 비스(헥사메틸렌)트리아민 펜타메틸렌 포스폰산, 1,4,7-트리아자시클로노난-N,N',N"-트리스(메틸렌포스폰산 (NOTP), 히드록시에틸디포스포네이트, 니트릴로트리스(메틸렌)포스폰산, 2-포스포노-부탄-1,2,3,4-테트라카르복실산, 카르복시에틸 포스폰산, 아미노에틸 포스폰산, 글리포세이트, 에틸렌 디아민 테트라(메틸렌포스폰산) 페닐포스폰산, 옥살산, 숙신산, 말레산, 말산, 말론산, 아디프산, 프탈산, 시트르산, 시트르산나트륨, 시트르산칼륨, 시트르산암모늄, 트리카르발릴산, 트리메틸올프로피온산, 타르타르산, 글루쿠론산, 2-카르복시피리딘, 4,5-디히드록시-1,3-벤젠디술폰산 이나트륨 염, 및 그의 조합으로 이루어진 군으로부터 선택된 종을 포함하는 것인 세정 조성물.
  3. 삭제
  4. 제1항에 있어서, pH 조정제가 알칼리 금속 수산화물, 알칼리 토금속 수산화물, 암모늄 히드록시드, 테트라메틸암모늄 히드록시드 (TMAH), 테트라에틸암모늄 히드록시드 (TEAH), 테트라프로필암모늄 히드록시드 (TPAH), 테트라부틸암모늄 히드록시드 (TBAH), 트리부틸메틸암모늄 히드록시드 (TBMAH), 벤질트리메틸암모늄 히드록시드 (BTMAH), 콜린 히드록시드, 에틸트리메틸암모늄 히드록시드, 트리스(2-히드록시에틸)메틸 암모늄 히드록시드, 디에틸디메틸암모늄 히드록시드, 테트라부틸포스포늄 히드록시드 (TBPH), 테트라메틸포스포늄 히드록시드, 테트라에틸포스포늄 히드록시드, 테트라프로필포스포늄 히드록시드, 벤질트리페닐포스포늄 히드록시드, 메틸 트리페닐포스포늄 히드록시드, 에틸 트리페닐포스포늄 히드록시드, N-프로필 트리페닐포스포늄 히드록시드, 및 그의 조합으로 이루어진 군으로부터 선택된 종을 포함하는 것인 세정 조성물.
  5. 삭제
  6. 삭제
  7. 제1항에 있어서, 적어도 하나의 에천트를 추가로 포함하며, 여기서 에천트는 모르폴린, 디글리콜아민, 3-부톡시프로필아민, 프로필렌 글리콜 모노부틸 에테르, 히드록시에틸모르폴린, 히드록시프로필모르폴린, 아미노에틸모르폴린, 아미노프로필모르폴린, 펜타메틸디에틸렌트리아민 (PMDETA), 피페라진, N,N-디메틸프로판올아민, 에탄올아민, 디에탄올아민, 디에틸아민, 에틸렌디아민, 디에틸렌트리아민, 테트라에틸렌 펜타민, 트리에틸렌테트라민, 트리메틸아미노에틸에탄올아민, 트리메틸아미노프로필에탄올아민, 및 그의 조합으로 이루어진 군으로부터 선택된 종을 포함하는 것인 세정 조성물.
  8. 제1항에 있어서, 적어도 하나의 부식 억제제를 추가로 포함하며, 여기서 부식 억제제는 아세트산, 아세톤 옥심, 아크릴산, 아디프산, 알라닌, 아르기닌, 아스파라긴, 아스파르트산, 베타인, 디메틸 글리옥심, 포름산, 푸마르산, 글루콘산, 글루탐산, 글루타민, 글루타르산, 글리세르산, 글리세롤, 글리콜산, 글리옥실산, 히스티딘, 이미노디아세트산, 이소프탈산, 이타콘산, 락트산, 류신, 리신, 말레산, 말레산 무수물, 말산, 말론산, 만델산, 2,4-펜탄디온, 페닐아세트산, 페닐알라닌, 프탈산, 프롤린, 프로피온산, 피로카테콜, 피로멜리트산, 퀸산, 세린, 소르비톨, 숙신산, 타르타르산, 테레프탈산, 트리멜리트산, 트리메스산, 티로신, 발린, 자일리톨, 옥살산, 탄닌산, 피콜린산,1,3-시클로펜탄디온, 카테콜, 피로갈롤, 레조르시놀, 히드로퀴논, 시아누르산, 바르비투르산, 1,2-디메틸바르비투르산, 피루브산, 프로판티올, 벤조히드록삼산, 2,5-디카르복시피리딘, 4-(2-히드록시에틸)모르폴린 (HEM), N-아미노에틸피페라진 (N-AEP), 에틸렌디아민테트라아세트산 (EDTA), 1,2-시클로헥산디아민-N,N,N',N'-테트라아세트산 (CDTA), N-(히드록시에틸)-에틸렌디아민트리아세트산 (HEdTA), 이미노디아세트산 (IDA), 2-(히드록시에틸)이미노디아세트산 (HIDA), 니트릴로트리아세트산, 티오우레아, 1,1,3,3-테트라메틸우레아, 우레아, 우레아 유도체, 글리신, 시스테인, 글루탐산, 이소류신, 메티오닌, 피페라딘, N-(2-아미노에틸) 피페라딘, 피롤리딘, 트레오닌, 트립토판, 살리실산, p-톨루엔술폰산, 살리실히드록삼산, 5-술포살리실산, 트리아졸, 아미노트리아졸, 디메틸프로파르길 알콜, 라우로일 사르코신, 스테로일 사르코신, 사카린, 및 그의 조합으로 이루어진 군으로부터 선택된 종을 포함하는 것인 세정 조성물.
  9. 제1항에 있어서, 적어도 하나의 환원제를 추가로 포함하며, 여기서 환원제는 아스코르브산, L(+)-아스코르브산, 이소아스코르브산, 아스코르브산 유도체, 아황산, 아황산암모늄, 아황산칼륨, 아황산나트륨, 도파민 HCl, 아인산, 포스핀산, 메타중아황산칼륨, 메타중아황산나트륨, 메타중아황산암모늄, 히드록실아민, 피루브산칼륨, 피루브산나트륨, 피루브산암모늄, 포름산, 포름산나트륨, 포름산칼륨, 포름산암모늄, 도파민, 이산화황 용액, 및 그의 조합으로 이루어진 군으로부터 선택된 종을 포함하는 것인 세정 조성물.
  10. 상부에 잔류물 및 오염물을 갖는 마이크로전자 장치로부터 상기 잔류물 및 오염물을 제거하는 방법이며, 마이크로전자 장치로부터 잔류물 및 오염물을 적어도 부분적으로 세정하기에 충분한 시간 동안 마이크로전자 장치를 세정 조성물과 접촉시키는 것을 포함하고,
    여기서 세정 조성물은 0.1 wt% 내지 10 wt%의 적어도 하나의 착물화제, 0.1 wt% 내지 10 wt%의 적어도 하나의 세정 첨가제, 1 wt% 내지 5 wt%의 적어도 하나의 pH 조정제, 물, 및 0.1 wt% 내지 10 wt%의 적어도 하나의 알킬옥실아민 화합물 또는 그의 염을 포함하며,
    상기 조성물은 pH가 10 내지 14의 범위이며 적어도 50 wt%의 물을 포함하는 수성 세정 조성물이며,
    상기 세정 첨가제가 2-피롤리디논, 1-(2-히드록시에틸)-2-피롤리디논 (HEP), 글리세롤, 테트라메틸렌 술폰 (술폴란), 디메틸 술폰, 테트라글라임, 디글라임, 메틸 이소부틸 케톤, 메틸 에틸 케톤, 이소프로판올, 옥탄올, 에탄올, 부탄올, 메탄올, 이소포론, 4-메틸-2-펜타논, 2,4-디메틸-3-펜타논, 5-메틸-3-헵타논, 3-펜타논, 5-히드록시-2-펜타논, 2,5-헥산디온, 4-히드록시-4-메틸-2-펜타논, 2-메틸-2-부타논, 3,3-디메틸-2-부타논, 4-히드록시-2-부타논, 시클로펜타논, 2-펜타논, 3-펜타논, 1-페닐에타논, 아세토페논, 벤조페논, 2-헥사논, 3-헥사논, 2-헵타논, 3-헵타논, 4-헵타논, 2,6-디메틸-4-헵타논, 2-옥타논, 3-옥타논, 4-옥타논, 디시클로헥실 케톤, 2,6-디메틸시클로헥사논, 2-아세틸시클로헥사논, 2,4-펜탄디온, 멘톤, 디메틸술폭시드 (DMSO), 디메틸포름아미드 (DMF), N-메틸 피롤리돈, N-에틸 피롤리돈, 히드록시프로필셀룰로스, 히드록시에틸셀룰로스, 카르복시메틸셀룰로스, 소듐 카르복시메틸셀룰로스 (NaCMC), 소듐 도데실술페이트 (SDS), 암모늄 도데실술페이트, 포타슘 도데실술페이트, 암모늄 카르복시메틸셀룰로스, 포타슘 카르복시메틸셀룰로스, 폴리비닐피롤리돈 (PVP), 폴리아미노산, 폴리아미도히드록시우레탄, 폴리락톤, 폴리아크릴아미드, 크산탄(Xanthan) 검, 키토산, 폴리비닐 알콜, 폴리비닐 아세테이트, 폴리아크릴산, 폴리에틸렌이민, 소르비톨 에스테르, 자일리톨, 무수소르비톨의 에스테르, 2차 알콜 에톡실레이트, 포타슘 알기네이트, 암모늄 알기네이트, 칼슘 알기네이트, 폴리(에틸렌 글리콜 메타크릴레이트), 및 그의 조합으로 이루어진 군으로부터 선택된 종을 포함하는 것인 방법.
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
KR1020217022497A 2018-12-21 2019-11-25 코발트 기판의 cmp-후 세정을 위한 조성물 및 방법 KR102625498B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862783870P 2018-12-21 2018-12-21
US62/783,870 2018-12-21
PCT/US2019/063016 WO2020131313A1 (en) 2018-12-21 2019-11-25 Compositions and methods for post-cmp cleaning of cobalt substrates

Publications (2)

Publication Number Publication Date
KR20210094124A KR20210094124A (ko) 2021-07-28
KR102625498B1 true KR102625498B1 (ko) 2024-01-17

Family

ID=71097131

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217022497A KR102625498B1 (ko) 2018-12-21 2019-11-25 코발트 기판의 cmp-후 세정을 위한 조성물 및 방법

Country Status (6)

Country Link
US (1) US11845917B2 (ko)
JP (1) JP2022514611A (ko)
KR (1) KR102625498B1 (ko)
CN (1) CN113195698A (ko)
TW (1) TW202030319A (ko)
WO (1) WO2020131313A1 (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114072488B (zh) * 2019-05-01 2024-08-09 富士胶片电子材料美国有限公司 蚀刻组合物
KR20220020363A (ko) * 2019-06-13 2022-02-18 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 에칭 조성물
WO2021111914A1 (ja) * 2019-12-03 2021-06-10 三菱ケミカル株式会社 セリウム化合物除去用洗浄液、洗浄方法及び半導体ウェハの製造方法
KR20220012521A (ko) * 2020-07-23 2022-02-04 주식회사 케이씨텍 세정액 조성물 및 이를 이용한 세정 방법
KR20230079429A (ko) 2020-10-05 2023-06-07 엔테그리스, 아이엔씨. Cmp 후 세정 조성물
JP2024517606A (ja) * 2021-04-16 2024-04-23 インテグリス・インコーポレーテッド 洗浄組成物
CN113336341A (zh) * 2021-06-03 2021-09-03 上海美麟环保科技有限公司 一种用于焚烧系统急冷塔回用含盐废水的疏散剂
US20230033363A1 (en) * 2021-07-23 2023-02-02 Ascend Performance Materials Operations Llc Aqueous solutions containing amino carboxylic acid chelators
JP7409689B2 (ja) * 2021-09-17 2024-01-09 Kst株式会社 排ガス浄化フィルタ用の洗浄剤
WO2023177541A1 (en) * 2022-03-15 2023-09-21 Entegris, Inc. Microelectronic device cleaning composition
EP4282945A3 (en) * 2022-05-27 2024-03-13 Samsung Electronics Co., Ltd. Cleaning composition, method of cleaning metal-containing film and method of manufacturing semiconductor device
CN115160933B (zh) * 2022-07-27 2023-11-28 河北工业大学 一种用于钴互连集成电路钴cmp的碱性抛光液及其制备方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150159124A1 (en) * 2013-12-06 2015-06-11 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
WO2016111990A1 (en) * 2015-01-05 2016-07-14 Entegris, Inc. Post chemical mechanical polishing formulations and method of use

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7700533B2 (en) 2005-06-23 2010-04-20 Air Products And Chemicals, Inc. Composition for removal of residue comprising cationic salts and methods using same
KR20080020762A (ko) * 2006-09-01 2008-03-06 삼성전자주식회사 콘택홀 세정액 조성물 및 이를 이용한 세정 방법
MY156414A (en) 2007-09-14 2016-02-26 Sanyo Chemical Ind Ltd Cleaning agent for electronic material
TW200941582A (en) * 2007-10-29 2009-10-01 Ekc Technology Inc Methods of post chemical mechanical polishing and wafer cleaning using amidoxime compositions
JP5813280B2 (ja) * 2008-03-19 2015-11-17 富士フイルム株式会社 半導体デバイス用洗浄液、および洗浄方法
DE102011080099A1 (de) * 2011-07-29 2013-01-31 Henkel Ag & Co. Kgaa Wasch- oder Reinigungsmittel mit elektrochemisch aktivierbarer Mediatorverbindung
KR20140139565A (ko) * 2012-03-18 2014-12-05 인티그리스, 인코포레이티드 개선된 장벽층 상용성 및 세정 성능을 가진 cmp-후 배합물
US20160060584A1 (en) * 2013-04-10 2016-03-03 Wako Pure Chemical Industries, Ltd. Cleaning agent for metal wiring substrate, and method for cleaning semiconductor substrate
CN107155367B (zh) * 2014-06-30 2021-12-21 恩特格里斯公司 利用钨及钴兼容性移除蚀刻后残余物的含水及半含水清洁剂
DE102014213311A1 (de) * 2014-07-09 2016-01-14 Henkel Ag & Co. Kgaa Neuartiges Waschverfahren mit elektrochemisch aktivierbarer Mediatorverbindung
US10100272B2 (en) * 2014-07-18 2018-10-16 Cabot Microelectronics Corporation Cleaning composition following CMP and methods related thereto
KR20160018210A (ko) * 2014-08-08 2016-02-17 동우 화인켐 주식회사 세정제 조성물
JP6800411B2 (ja) * 2015-01-13 2020-12-16 シーエムシー マテリアルズ,インコーポレイティド 洗浄用組成物及びcmp後の半導体ウエハーの洗浄方法
US10072237B2 (en) * 2015-08-05 2018-09-11 Versum Materials Us, Llc Photoresist cleaning composition used in photolithography and a method for treating substrate therewith
JP6480017B2 (ja) 2016-01-05 2019-03-06 富士フイルム株式会社 処理液、基板の洗浄方法、及び、半導体デバイスの製造方法
KR102160022B1 (ko) * 2016-08-31 2020-09-25 후지필름 가부시키가이샤 처리액, 기판의 세정 방법, 반도체 디바이스의 제조 방법
MY192619A (en) * 2016-10-07 2022-08-29 Basf Se Method for producing aqueous dispersions
WO2018191424A1 (en) 2017-04-11 2018-10-18 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
US11175587B2 (en) * 2017-09-29 2021-11-16 Versum Materials Us, Llc Stripper solutions and methods of using stripper solutions

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150159124A1 (en) * 2013-12-06 2015-06-11 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
WO2016111990A1 (en) * 2015-01-05 2016-07-14 Entegris, Inc. Post chemical mechanical polishing formulations and method of use

Also Published As

Publication number Publication date
CN113195698A (zh) 2021-07-30
JP2022514611A (ja) 2022-02-14
KR20210094124A (ko) 2021-07-28
TW202030319A (zh) 2020-08-16
US11845917B2 (en) 2023-12-19
WO2020131313A1 (en) 2020-06-25
US20200199500A1 (en) 2020-06-25

Similar Documents

Publication Publication Date Title
KR102625498B1 (ko) 코발트 기판의 cmp-후 세정을 위한 조성물 및 방법
KR102355690B1 (ko) 화학 기계적 연마 후 제제 및 사용 방법
JP7005562B2 (ja) 化学機械研磨後製剤および使用方法
JP7443300B2 (ja) セリア粒子を表面から除去するための組成物及び方法
US10988718B2 (en) Tungsten post-CMP cleaning composition
US11149235B2 (en) Cleaning composition with corrosion inhibitor
TWI821455B (zh) 化學機械研磨後清潔組合物
CN112996893A (zh) 化学机械研磨后(post cmp)清洁组合物
TW202428865A (zh) 用於化學機械研磨後(post-cmp)鈷基板之清洗的組合物及方法
US20220106542A1 (en) Post CMP Cleaning Compositions

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right