JP2015524165A - 有機残渣除去を改良するための銅エッチングレートの低い水性洗浄溶液 - Google Patents

有機残渣除去を改良するための銅エッチングレートの低い水性洗浄溶液 Download PDF

Info

Publication number
JP2015524165A
JP2015524165A JP2015512893A JP2015512893A JP2015524165A JP 2015524165 A JP2015524165 A JP 2015524165A JP 2015512893 A JP2015512893 A JP 2015512893A JP 2015512893 A JP2015512893 A JP 2015512893A JP 2015524165 A JP2015524165 A JP 2015524165A
Authority
JP
Japan
Prior art keywords
cleaning composition
microelectronic device
residue
post
cmp
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2015512893A
Other languages
English (en)
Inventor
ニン ジェン,シュレン
ニン ジェン,シュレン
ボッグズ,カール,イー.
リウ,ジュン
トーマス,ニコール
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Technology Materials Inc
Original Assignee
Advanced Technology Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials Inc filed Critical Advanced Technology Materials Inc
Publication of JP2015524165A publication Critical patent/JP2015524165A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/267Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3245Aminoacids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/48After-treatment of electroplated surfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • C11D2111/22
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/977Thinning or removal of substrate

Abstract

【課題】 化学機械研磨(CMP)後残渣及び汚染物質を有するマイクロエレクトロニクスデバイスから前記残渣及び汚染物質を洗浄するための洗浄組成物及びプロセス。【解決手段】 洗浄組成物は、少なくとも1つの第4級塩基と、少なくとも1つのアミンと、少なくとも1つの腐食防止剤と、少なくとも1つの溶剤と、を含む。組成物は、マイクロエレクトロニクスデバイスの表面からCMP後残渣及び汚染物質材料を極めて効果的に洗浄すると共に、バリア層との適合性も有する。【選択図】 なし

Description

[0001] 本発明は、一般に、残渣及び/又は汚染物質を有するマイクロエレクトロニクスデバイスから残渣及び/又は汚染物質を実質的かつ効率的に洗浄するための組成物(composition)に関する。この組成物は、前記残渣及び汚染物質を効果的に除去し、超低k材料におけるウォータマーク(water mark)欠陥を最小限に抑え、銅、ルテニウム、コバルト、マンガン、及び低k誘電材料との適合性が高い。
[0002] 集積回路(IC)の製造業者が、先進マイクロエレクトロニクス用途のために、アルミニウム及びアルミニウム合金の代わりに銅を用いるようになっていることは周知である。これは、銅が高い導電率を有するので、相互接続の性能の著しい向上につながるからである。更に、銅ベースの相互接続は、アルミニウムよりもエレクトロマイグレーション耐性が良好であり、このため相互接続の信頼性が高くなる。しかしながら、銅の実施にはいくつかの困難が伴う。例えば、二酸化シリコン(SiO)及び他の誘電材料に対する銅(Cu)の接着性は一般に乏しい。接着性が悪いために、製造プロセス中に銅が隣接膜から剥離する結果となる。また、Cuイオンは電気的バイアス下で容易にSiO内に拡散し、誘電体内でCu濃度が極めて低い場合でもCuライン間での誘電体漏電が増大する。更に、能動素子が位置する下部シリコンに銅が拡散すると、デバイス性能が劣化する可能性がある。
[0003] 二酸化シリコン(SiO)及び他の金属間誘電体(IMD)/レベル間誘電体(ILD)において銅の拡散性が高いという問題は、依然として大きな関心を集めている。この問題に対処するため、集積回路基板を適切なバリア層で被覆し、銅をカプセル化して銅原子の拡散を阻止しなければならない。導電性材料及び非導電性材料の双方を含むバリア層は、典型的に、銅を堆積する前に、パターニングされた誘電層の上に形成される。バリアが厚すぎる場合、この後に例えば100nm以下の直径のバイアのような超微細フィーチャを銅によってコーティング及び充填する際に問題が発生する可能性があることが知られている。100nm以下の直径のバイアの内部のバリアが厚すぎると、フィーチャ内で利用可能な銅の体積が小さくなり、バイアの抵抗が高くなって、銅の使用から得られる利点を打ち消してしまう恐れがある。バリア層の典型的な材料は、タンタル(Ta)、窒化タンタル(TaN)、チタン(Ti)、窒化チタン(TiN)、ルテニウム(Ru)、コバルト(Co)、マンガン(Mn)等を含む。
[0004] 導電性経路を銅で充填するために、電着法が用いられる。銅の電着をライン経路に埋め込む前に、バリア層の上に導電性表面コーティングを実行しなければならない。これは、従来のバリア材料は電気抵抗が大きいので電解銅めっきの間に電流を輸送することができないからである。典型的には、バリア層上にPVD銅シード層を堆積する。次に、シード層上に、これよりも著しく厚い銅層を電気めっきにより堆積する。銅の堆積の完了後、更に別の処理の準備のために、一般に化学機械平坦化(CMP:chemical mechanical planarization)によって銅を下部の誘電体まで平坦化する。
[0005] ICのフィーチャサイズが縮小する傾向が続いているので、従来のバリア層の電気抵抗の寄与を最小限に抑えるためには、バリア層を薄くする必要がある。従って、従来のバリア層の代わりに、電気抵抗が小さい新たな材料を用いることは魅力的である。その理由は、パターンすなわちライン及びバイアにおける導電率が向上することによって、従来のバリア層を用いた相互接続構造に比べて信号伝搬速度が上昇するからである。更に、導電性バリア材料上に直接銅を電解めっきすることで、別個の銅シード層の使用が不要となり、プロセス全体が簡略化する。直接めっき可能な拡散バリアとして機能することができる様々な材料候補の中で、ルテニウム(Ru)、コバルト(Co)、マンガン(Mn)、モリブデン(Mo)、レニウム(Rh)、及びこれらの合金を用いることが提案されている。
[0006] ウェーハ基板表面の調製、堆積、めっき、エッチング、及び化学機械研磨を含む前述の処理動作には、マイクロエレクトロニクスデバイス製品に汚染物質が存在しないことを保証するための洗浄動作が様々に必要である。汚染物質が存在すると、製品の機能に悪影響を与え、あるいは意図する機能に使えなくなることもある。多くの場合、これらの汚染物質の粒子は0.3μm未満である。
[0007] この点における1つの具体的な問題は、CMP処理の後にマイクロエレクトロニクスデバイス基板上に残される残渣である。かかる残渣は、ベンゾトリアゾール(BTA)等のCMP材料及び腐食防止剤化合物を含む。これらの残渣が除去されない場合、銅ラインに損傷を与え、あるいは銅のメタライゼーションを著しく粗くし、更にデバイス基板上のCMP後塗布層の接着性を悪化させる恐れがある。銅メタライゼーションを著しく粗くすることは特に問題である。なぜなら、極めて粗い銅は、マイクロエレクトロニクスデバイス製品の電気的性能を低下させ得るからである。このため、CMP後残渣及び汚染物質を除去するためのCMP後除去組成物が開発されている。
[0008] 新しいバリア層が導入されるに従って、CMP後除去組成物を開発して、CMP後残渣及び汚染物質の除去中に、銅、低k誘電体、及び前記新しいバリア材料に悪影響を与えないことを保証しなければならない。更に、CMP後除去組成物は、超低k誘電材料上にウォータマークを残してはならない。従って、本開示の目的は、マイクロエレクトロニクスデバイスに悪影響を与えることなくCMP後残渣及び汚染物質を実質的にかつ効率的に除去する新規なCMP後除去組成物を明らかにすることである。
[0009] 本発明は、一般に、残渣及び/又は汚染物質を有するマイクロエレクトロニクスデバイスから前記残渣及び/又は汚染物質を洗浄するための組成物及びプロセスに関する。有利な点として、組成物は、超低k材料上のウォータマーク欠陥を最小限に抑え、銅、ルテニウム、コバルト、マンガン、及び低k誘電材料との適合性が高い。
[0010] 1つの態様において、
[0011] 他の態様、特徴、及び利点は、以下の開示及び添付の特許請求の範囲から、充分に明らかとなろう。
[0012] 本発明は、一般に、残渣及び/又は汚染物質を有するマイクロエレクトロニクスデバイスから前記残渣及び/又は汚染物質を洗浄するための組成物及びプロセスに関する。有利な点として、組成物は、超低k材料上のウォータマーク欠陥を最小限に抑え、銅、コバルト、ルテニウム、マンガン、及び低k誘電材料との適合性が高い。また、組成物は、エッチング後及びアッシング後の残渣を除去するために使用可能である。
[0013] 参照を容易にするため、「マイクロエレクトロニクスデバイス」は、マイクロエレクトロニクス、集積回路、又はコンピュータチップの用途で用いるために製造された、半導体基板、フラットパネルディスプレイ、相変化メモリデバイス、ソーラーパネル、及び、ソーラー基板、光電装置(photovoltaics)、及び微小電気機械システム(MEMS)を含む他の製品に相当する。「マイクロエレクトロニクスデバイス」という言葉は、限定を意図するものではなく、最終的にマイクロエレクトロニクスデバイス又はマイクロエレクトロニクスアセンブリとなるいかなる基板も含むことは理解されよう。
[0014] 本明細書において用いる場合、「残渣」は、限定ではないが、プラズマエッチング、アッシング、化学機械研磨(CMP)、ウェットエッチング、及びこれらの組み合わせを含むマイクロエレクトロニクスデバイスの製造中に発生する粒子に相当する。
[0015] 本明細書において用いる場合、「汚染物質」は、CMPスラリに存在する化学物質、研磨スラリの反応副生成物、ウェットエッチング組成物に存在する化学物質、ウェットエッチング組成物の反応ごとの(reaction by reaction)副生成物、及び、CMPプロセス、ウェットエッチング、プラズマエッチング、又はプラズマアッシングプロセスの副生成物である他のいずれかの材料に相当する。
[0016] 本明細書において用いる場合、「CMP後残渣」は、例えばシリカ含有粒子、スラリに存在する化学物質、研磨スラリの反応副生成物、炭素を多く含む粒子、研磨パッド粒子、ブラシ装脱粒子、機器材料構成粒子、銅、酸化銅、有機残渣、バリア層残渣、及びCMPプロセスの副生成物である他のいずれかの材料のような、研磨スラリからの粒子に相当する。
[0017] 本明細書において定義する場合、「低k誘電材料」は、多層マイクロエレクトロニクスデバイスで誘電材料として用いられるいずれかの材料に相当する。この材料は約3.5未満の誘電率を有する。好ましくは、低k誘電材料は、シリコン含有有機ポリマー、シリコン含有ハイブリッド有機/無機材料、有機シリケートガラス(OSG)、TEOS、フッ素化シリケートガラス(FSG)、二酸化シリコン、炭素ドーピング酸化物(CDO)ガラス、Novellus Systems社のCORAL(商標)、Applied Materials社のBLACK DIAMOND(商標)、Dow Corning社からのSiLK(商標)、及びNanopore社のNANOGLASS(商標)等の低極性材料を含む。低k誘電材料は、様々な密度及び様々な多孔率を有し得ることは認められよう。「超低k誘電体」は、約2.6以下の誘電率を有する。
[0018] 本明細書において定義する場合、「バリア材料」という言葉は、例えば銅相互接続のような金属ラインを封止して、例えば銅のような前記材料の誘電材料内への拡散を最小限に抑えるために当技術分野で用いられるいずれかの材料に相当する。好適なバリア層材料は、タンタル、チタン、ルテニウム、ハフニウム、ルテニウム、コバルト、マンガン、モリブデン、レニウム、これらの窒化物及びシリサイド、及びこれらの合金を含む。バリア層は、同一の材料から成るか、又は2層とすることができることは認められよう(例えばシード層を堆積し、その後に第2のバリア層材料を堆積する)。好ましくは、バリア材料は、コバルト、マンガン、及びルテニウム、又はこれらの窒化物を含む。
[0019] 本明細書において定義する場合、「エッチング後残渣」は、例えばBEOLデュアルダマシン処理のような気相プラズマエッチングプロセス又はウェットエッチングプロセスの後に残っている材料に相当する。エッチング後残渣は性質上、有機、有機金属、有機シリケート、又は無機である場合があり、例えば、シリコン含有材料、炭素ベース有機材料、並びに酸素及びフッ素のようなエッチングガス残渣である。
[0020] 本明細書において定義する場合、「アッシング後残渣」は、本明細書で用いる場合、硬化したフォトレジスト及び/又は底部反射防止コーティング(BARC)材料を除去するための酸化又は還元プラズマアッシングの後に残っている材料に相当する。アッシング後残渣は性質上、有機、有機金属、有機シリケート、又は無機である場合がある。
[0021] 本明細書において、「実質的に含まない」は、2wt%未満、好ましくは1wt%未満、更に好ましくは0.5wt%未満、更に好ましくは0.1wt%未満、最も好ましくは0wt%と定義される。
[0022] 本明細書において用いる場合、「約」は、示した値の±5%に相当することが意図される。
[0023] 本明細書において定義する場合、「反応又は分解の生成物」は、限定ではないが、表面での触媒作用、酸化、還元、組成物の化学成分との反応の結果として、又は他の方法での重合によって形成された生成物(複数の生成物)又は副生成物(複数の副生成物)を含み、更に、物質又は材料(例えば分子、化合物等)の他の物質又は材料との化合、他の物質又は材料との構成要素の交換、分解、転位、又は他の方法での化学的及び/又は物理的な変質による変化(複数の変化)又は変換(複数の変換)の結果として形成された生成物(複数の生成物)又は副生成物(複数の副生成物)を含み、これは、前述のいずれか又は前述の反応(複数の反応)、変化(複数の変化)、及び/又は変換(複数の変換)のいずれかの組み合わせの中間生成物(複数の生成物)又は副生成物(複数の副生成物)を含む。反応又は分解の生成物は、元の反応物よりもモル質量が大きいか又は小さい場合があることは認められよう。
[0024] 本明細書において定義する場合、「プリン及びプリン誘導体」は、N−リボシルプリン等のリボシルプリン、アデノシン、グアノシン、2−アミノプリンリボシド、2−メトキシアデノシン、並びに、N−メチルアデノシン(C1115)、N,N−ジメチルアデノシン(C1217)、トリメチル化アデノシン(C1319)、トリメチルN−メチルアデノシン(C1421)、C−4’−メチルアデノシン、及び3−デオキシアデノシン等のメチル化又はデオキシ誘導体;限定ではないが、アデニン(C)、メチル化アデニン(例えばN−メチル−7H−プリン−6−アミン、C)、ジメチル化アデニン(例えばN,N−ジメチル−7H−プリン−6−アミン、C)、N4,N4−ジメチルピリミジン−4,5,6−トリアミン(C11)、4,5,6−トリアミノピリミジン、アラントイン(C)、ヒドロキシル化C−O−O−C二量体((C)、C−C架橋二量体((C又は(CO))、リボース(C10)、メチル化リボース(例えば5−(メトキシメチル)テトラヒドロフラン−2,3,4−トリオール、C12)、テトラメチル化リボース(例えば2,3,4−トリメトキシ−5−(メトキシメチル)テトラヒドロフラン、C18)、及びメチル化加水分解ジリボース化合物等の他のリボース誘導体を含むアデノシン及びアデノシン誘導体の分解生成物;限定ではないが、キシロース、グルコース等を含むプリン−糖類複合体;プリン、グアニン、ヒポキサンチン、キサンチン、テオブロミン、カフェイン、尿酸、及びイソグアニン等のその他のプリン化合物、並びにこれらのメチル化又はデオキシ誘導体を含む。
[0025] 本明細書において用いる場合、残渣及び汚染物質を有するマイクロエレクトロニクスデバイスから前記残渣及び汚染物質を洗浄するための「適性(suitability)」とは、マイクロエレクトロニクスデバイスから前記残渣/汚染物質を少なくとも部分的に除去することに相当する。洗浄の有効性は、マイクロエレクトロニクスデバイス上での物体の減少によって評価する。例えば、原子間力顕微鏡を用いて、洗浄前及び洗浄後の分析を実行することができる。サンプル上の粒子を、ある画素範囲として登録することができる。ヒストグラム(例えばシグマスキャンプロ)を用いて、例えば231〜235のような一定の強度の画素をフィルタリングし、粒子の数をカウントすることができる。以下を用いて粒子の減少を計算することができる。
洗浄有効性={(洗浄前の物体数)−(洗浄後の物体数)/洗浄前の物体数}×100
なお、この洗浄有効性の決定方法は単なる例示であり、限定は意図していないことに留意すべきである。あるいは、洗浄有効性は、粒子状物質によって覆われた全表面の割合として考えることも可能である。例えば、z面スキャンを行って、ある高さ閾値を超える対象の地形領域を識別し、前記対象領域によって覆われた全表面積を算出するように、AFMをプログラミングすることができる。洗浄後に前記対象領域によって覆われる面積が小さければ小さいほど洗浄組成物の有効性が高いことは、当業者には容易に理解されよう。好ましくは、本明細書に記載する組成物を用いて、残渣/汚染物質の少なくとも75%、更に好ましくは少なくとも90%、更に好ましくは少なくとも95%、更に好ましくは少なくとも99%を、マイクロエレクトロニクスデバイスから除去する。
[0026] 本明細書に記載する組成物は、多種多様な特定の配合で具現化することができる。これについては以下で更に詳しく説明する。
[0027] そのような全ての組成物において、ゼロ下限を含む重量パーセント範囲に関連付けて組成物の具体的な化学成分について述べるが、かかる化学成分は、組成物の様々な具体的な実施形態において存在する場合も存在しない場合もあり、かかる化学成分が存在する場合は、かかる化学成分が用いられている組成物の全重量に基づいて、0.001重量パーセントの低濃度で存在し得ることは理解されよう。
[0028] 1つの態様において、洗浄組成物を記載する。前記洗浄組成物は、少なくとも1つの第4級塩基、少なくとも1つのアミン、少なくとも1つの腐食防止剤、及び少なくとも1つの溶剤(例えば水)を備えるか、これらから成るか、又は実質的にこれらから成る。一実施形態において、洗浄組成物は、少なくとも1つの第4級塩基、少なくとも2つのアミン、少なくとも1つの腐食防止剤、及び少なくとも1つの溶剤(例えば水)を備えるか、これらから成るか、又は実質的にこれらから成る。別の実施形態では、洗浄組成物は、少なくとも1つの第4級塩基、少なくとも2つのアミン、少なくとも2つの腐食防止剤、及び少なくとも1つの溶剤(例えば水)を備えるか、これらから成るか、又は実質的にこれらから成る。洗浄組成物は、相互接続金属(例えば銅)、バリア層(例えばルテニウム)、及び低k誘電材料に損傷を与えることなく、例えばCMP後残渣、エッチング後残渣、アッシング後残渣、及び汚染物質のような残渣及び汚染物質を、マイクロエレクトロニクスデバイス構造から洗浄するのに特に有用である。一実施形態では、マイクロエレクトロニクスデバイスはコバルトを含む。別の実施形態では、マイクロエレクトロニクスデバイスはルテニウムを含む。更に別の実施形態では、マイクロエレクトロニクスデバイスはマンガンを含む。実施形態には無関係に、洗浄組成物は好ましくは、マイクロエレクトロニクスデバイスから残渣物質を除去する前に、酸化剤、フッ化物含有源、研磨材料、没食子酸、アルカリ及び/又はアルカリ土類金属塩基、有機溶剤、及びこれらの組み合わせを実質的に含まない。更に、洗浄組成物は、例えばフォトレジストのようなポリマー固体物を形成するように固化するものではない。
[0029] 腐食防止剤は、限定ではないが、アスコルビン酸、L(+)−アスコルビン酸、イソアスコルビン酸、アスコルビン酸誘導体、ベンゾトリアゾール、クエン酸、エチレンジアミン、シュウ酸、タンニン酸、グリシン、ヒスチジン、1,2,4−トリアゾール(TAZ)、トリルトリアゾール、5−フェニル−ベンゾトリアゾール、5−ニトロ−ベンゾトリアゾール、3−アミノ−5−メルカプト−1,2,4−トリアゾール、1−アミノ−1,2,4−トリアゾール、ヒドロキシベンゾトリアゾール、2−(5−アミノ−ペンチル)−ベンゾトリアゾール、1,2,3−トリアゾール、1−アミノ−1,2,3−トリアゾール、1−アミノ−5−メチル−1,2,3−トリアゾール、3−アミノ−1,2,4−トリアゾール、3−メルカプト−1,2,4−トリアゾール、3−イソプロピル−1,2,4−トリアゾール、5−フェニルチオール−ベンゾトリアゾール、ハロ−ベンゾトリアゾール(ハロ=F、Cl、Br、又はI)ナフトトリアゾール、2−メルカプトベンズイミダゾール(MBI)、2−メルカプトベンゾチアゾール、4−メチル−2−フェニルイミダゾール、2−メルカプトチアゾリン、5−アミノテトラゾール、5−アミノ−1,3,4−チアジアゾール−2−チオール、2,4−ジアミノ−6−メチル−1,3,5−トリアジン、チアゾール、トリアジン、メチルテトラゾール、5−フェニルテトラゾール、1,3−ジメチル−2−イミダゾリジノン、1,5−ペンタメチレンテトラゾール、1−フェニル−5−メルカプトテトラゾール、ジアミノメチルトリアジン、イミダゾリンチオン、メルカプトベンジミダゾール、4−メチル−4H−1,2,4−トリアゾール−3−チオール、ベンゾチアゾール、トリトリルフォスフェート、イミダゾール、インジアゾール、ピラゾール、ピラゾール誘導体、4−メチルピラゾール、2−アミノ−チアゾール、2−アミノ−1,3,4−チアジアゾール、プテリン、ピリミジン、ピラジン、シトシン、ピリダジン、1H−ピラゾール−3−カルボン酸、1H−ピラゾール−4−カルボン酸、3−アミノ−5−ヒドロキシ−1H−ピラゾール、3−アミノ−5−メチル−1H−ピラゾール、リン酸、リン酸誘導体(リン酸トリブチルエステル、リン酸トリエチルエステル、リン酸トリス(2−エチルへキシル)エステル、リン酸モノメチルエステル、リン酸イソトリデシルエステル、リン酸2−エチルヘキシルジフェニルエステル、リン酸トリフェニルエステル等のリン酸のエステル等)、安息香酸、安息香酸アンモニウム、カテコール、ピロガロール、レゾルシノール、ハイドロキノン、シアヌル酸、バルビツール酸及び1,2−ジメチルバルビツール酸等の誘導体、ピルビン酸等のα−ケト酸、ホスホン酸及び1−ヒドロキシエチリデン−1,1−ジホスホン酸(HEDP)等の誘導体、プロパンチオール、ベンゾヒドロキサム酸、複素環式窒素阻害剤、エチルキサントゲン酸カリウム、及びこれらの組み合わせを含む。これらの代わりに、又はこれらに加えて、腐食防止剤は、N−リボシルプリン等のリボシルプリン、アデノシン、グアノシン、2−アミノプリンリボシド、2−メトキシアデノシン、並びに、N−メチルアデノシン(C1115)、N,N−ジメチルアデノシン(C1217)、トリメチル化アデノシン(C1319)、トリメチルN−メチルアデノシン(C1421)、C−4’−メチルアデノシン、及び3−デオキシアデノシン等のメチル化又はデオキシ誘導体;限定ではないが、アデニン(C)、メチル化アデニン(例えばN−メチル−7H−プリン−6−アミン、C)、ジメチル化アデニン(例えばN,N−ジメチル−7H−プリン−6−アミン、C)、N4,N4−ジメチルピリミジン−4,5,6−トリアミン(C11)、4,5,6−トリアミノピリミジン、アラントイン(C)、ヒドロキシル化C−O−O−C二量体((C)、C−C架橋二量体((C又は(CO))、リボース(C10)、メチル化リボース(例えば5−(メトキシメチル)テトラヒドロフラン−2,3,4−トリオール、C12)、テトラメチル化リボース(例えば2,3,4−トリメトキシ−5−(メトキシメチル)テトラヒドロフラン、C18)、及びメチル化加水分解ジリボース化合物等の他のリボース誘導体を含む、アデノシン及びアデノシン誘導体の分解生成物;限定ではないが、キシロース、グルコース等を含むプリン−糖類複合体;プリン、グアニン、ヒポキサンチン、キサンチン、テオブロミン、カフェイン、尿酸、及びイソグアニン等のその他のプリン化合物、並びにこれらのメチル化又はデオキシ誘導体;トリアミノピリミジン、及びアミノ置換ピリミジン類等のその他の置換ピリミジン類;化合物、反応生成物又は分解生成物、又はこれらの誘導体のいずれかの二量体、三量体、又はポリマー;及びこれらの組合せを含む。一実施形態において、例えば、腐食防止剤は、ピラゾール、4−メチルピラゾール、1H−ピラゾール−3−カルボン酸、1H−ピラゾール−4−カルボン酸、3−アミノ−5−ヒドロキシ−1H−ピラゾール、及び3−アミノ−5−メチル−1H−ピラゾールの1つを含む。好適な実施形態において、洗浄組成物は、ピラゾール、1H−ピラゾール−3−カルボン酸、1H−ピラゾール−4−カルボン酸、3−アミノ−5−ヒドロキシ−1H−ピラゾール、3−アミノ−5−メチル−1H−ピラゾール、リン酸、リン酸誘導体、アデノシン、リン酸及びピラゾールもしくはピラゾール誘導体の組み合わせ、アスコルビン酸及びアデノシンの組み合わせ、アデノシン及びリン酸の組み合わせ、又はアデノシン及びピラゾールもしくはピラゾール誘導体の組み合わせを含む。最も好ましくは、腐食防止剤はピラゾールを含む。
[0030] 特定の組成物に有用であり得る例示的なアミンは、一般式NRを有する種を含む。ここで、R、R、及びRは同一であるか又は異なる場合があり、水素、直鎖状又は分岐鎖状のC−Cアルキル(例えばメチル、エチル、プロピル、ブチル、ペンチル、及びへキシル)、直鎖状又は分岐状のC−Cアルコール(例えばメタノール、エタノール、プロパノール、ブタノール、ペンタノール、及びへキシル)、及び式R−O−Rを有する直鎖状又は分岐状のエーテルから成る群から選択される。ここで、R及びRは同一であるか又は異なる場合があり、先に定義したようなC−Cアルキルから成る群から選択される。R、R、及びRの少なくとも1つが直鎖状又は分岐状のC−Cアルコールであることが最も好ましい。その例は、限定ではないが、アミノエチルエタノールアミン、N−メチルアミノエタノール、アミノエトキシエタノール、ジメチルアミノエトキシエタノール、ジエタノールアミン、N−メチルジエタノールアミン、モノエタノールアミン(MEA)、トリエタノールアミン(TEA)、1−アミノ−2−プロパノール、2−アミノ−1−ブタノール、イソブタノールアミン、トリエチレンジアミン、他のC−Cアルカノールアミン、及びこれらの組み合わせ等のアルカノールアミンを含む。あるいは、又はNRアミンに加えて、アミンは、限定ではないが、テトラエチレンペンタミン(TEPA)、4−(2−ヒドロキシエチル)モルホリン(HEM)、N−アミノエチルピペラジン(N−AEP)、エチレンジアミンテトラ酢酸(EDTA)、1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸(CDTA)、イミノ二酢酸(IDA)、2−(ヒドロキシエチル)イミノ二酢酸(HIDA)、ニトリロ三酢酸、及びこれらの組み合わせを含む多官能化アミンとすることも可能である。他に想定されるアミンには、トリメチルアミン−N−酸化物(TMAO)等のアミン−N−酸化物が含まれる。好ましくは、アミンは、モノエタノールアミン、トリエタノールアミン、EDTA、CDTA、HIDA、N−AEP、及びこれらの組み合わせから成る群から選択される少なくとも1つの種を含む。好ましくは、アミンは、MEA、TEA、又はMEA及びTEAの組み合わせを含む。
[0031] 本明細書で想定される第4級塩基は、式NROHを有する化合物を含む。ここで、R、R、R、及びRは同一であるか又は異なる場合があり、水素、直鎖状又は分岐鎖状のC−Cアルキル(例えばメチル、エチル、プロピル、ブチル、ペンチル、及びヘキシル)、及び置換又は非置換C−C10アリル、例えばベンジルから成る群から選択される。市販されている水酸化テトラエチルアンモニウム(TEAH)、水酸化テトラメチルアンモニウム(TMAH)、水酸化テトラプロピルアンモニウム(TPAH)、水酸化テトラブチルアンモニウム(TBAH)、水酸化トリブチルメチルアンモニウム(TBMAH)、水酸化ベンジルトリメチルアンモニウム(BTMAH)、及びこれらの組み合わせを含む水酸化テトラアルキルアンモニウムを用いることができる。市販されていない水酸化テトラアルキルアンモニウムは、TMAH、TEAH、TPAH、TBAH、TBMAH、及びBTMAHを調製するために用いられ、当業者に周知の公表された合成方法と同様の方法で調製することができる。広く使用されている別の第4級アンモニウム塩基は水酸化コリンである。好ましくは、第4級塩基はTMAH又はTEAHを含む。
[0032] 本明細書に記載する洗浄組成物のpHは7より大きく、好ましくは約10から14を超える範囲内であり、更に好ましくは約12から約14までの範囲内である。好適な実施形態において、濃縮した洗浄組成物のpHは13よりも大きい。
[0033] 特に好適な実施形態において、洗浄組成物は、少なくとも1つの第4級塩基、少なくとも1つのアミン、ピラゾール、又はその誘導体、及び水を含むか、これらから成るか、又は実質的にこれらから成る。別の特に好適な実施形態では、洗浄組成物は、少なくとも1つの第4級塩基、少なくとも2つのアミン、ピラゾール、又はその誘導体、及び水を含むか、これらから成るか、又は実質的にこれらから成る。あるいは、洗浄組成物は、少なくとも1つの第4級塩基、少なくとも2つのアミン、リン酸、ピラゾール、又はその誘導体、及び水を含むか、これらから成るか、又は実質的にこれらから成る。更に別の代替案では、洗浄組成物は、少なくとも1つの第4級塩基、少なくとも2つのアミン、アスコルビン酸、ピラゾール、又はその誘導体、及び水を含むか、これらから成るか、又は実質的にこれらから成る。特に好適な実施形態では、洗浄組成物は、少なくとも1つの第4級塩基、TEA、ピラゾール、又はその誘導体、及び水を含むか、これらから成るか、又は実質的にこれらから成る。別の特に好適な実施形態では、洗浄組成物は、少なくとも1つの第4級塩基、MEA、TEA、ピラゾール、又はその誘導体、及び水を含むか、これらから成るか、又は実質的にこれらから成り、TEAの重量パーセントは、MEAの重量パーセント以上である。それぞれの場合において、組成物は、マイクロエレクトロニクスデバイスから残渣質を除去する前に、酸化剤、フッ化物含有源、研磨材料、没食子酸、アルカリ及び/又はアルカリ土類金属塩基、有機溶剤、及びこれらの組み合わせを実質的に含まない。更に、洗浄組成物は、例えばフォトレジストのようなポリマー固体物を形成するように固化するものではない。
[0034] 組成量に関して、各化学成分の重量パーセント比は好ましくは以下の通りである。第4級塩基対腐食防止剤が約0.1:1から約50:1、好ましくは約1:1から約20:1、有機アミン対腐食防止剤が約0.1:1から約100:1、好ましくは約1:1から約20:1である。洗浄組成物がMEA及びTEAの双方を含む場合、好ましくはTEA対MEAの重量パーセント比は約0.1:1から約30:1、好ましくは約1:1から約20:1、最も好ましくは約5:1から約10:1である。
[0035] 化学成分の重量パーセント比の範囲は、組成物の濃縮実施形態又は希釈実施形態の全てをカバーする。そのために、一実施形態では、希釈して洗浄溶液として使用可能である濃縮洗浄組成物を提供することができる。濃縮組成物、又は「濃縮物」は、例えばCMPプロセスエンジニア等のユーザが、濃縮物を使用時に所望の濃度及びpHに希釈することができるという利点がある。濃縮した洗浄組成物の希釈は、約1:1から約2500:1まで、好ましくは約5:1から約200:1、最も好ましくは約30:1から約70:1までの範囲とすることができる。ここで、洗浄組成物の希釈は、例えば脱イオン水のような溶剤を用いるツールにおいて又はこのツールの直前に行う。希釈の後、本明細書で開示した化学成分の重量パーセント比の範囲は変わらないままであることは、当業者には認められよう。
[0036] 本明細書に記載する組成物は、限定ではないが、エッチング後の残渣除去、アッシング後の残渣除去表面の調製、めっき後の洗浄、及びCMP後の残渣除去を含む用途において有用なものとなり得る。更に、本明細書に記載する洗浄組成物は、限定ではないが、装飾金属、金属ワイヤボンディング、プリント回路基板、及び金属又は金属合金を用いた他の電子パッケージングを含む、その他の金属製品の洗浄及び保護に有用であり得ると考えられる。有利な点として、洗浄組成物は、導電性金属、低k誘電体、及びバリア層材料(例えばコバルトを含むもの)等のマイクロエレクトロニクスデバイス上の材料と適合する。更に、洗浄組成物は、CMP後洗浄の後に超低k誘電材料上に残るウォータマークを最小限に抑える。
[0037] 更に別の好適な実施形態では、本明細書に記載する洗浄組成物は更に残渣及び/又は汚染物質を含む。残渣及び汚染物質は、組成物中に溶解及び/又は懸濁させることができる。好ましくは、残渣は、CMP後残渣、エッチング後残渣、アッシング後残渣、汚染物質、又はこれらの組み合わせを含む。
[0038] 洗浄組成物は、各成分を加え、均質な状態になるまで混合するだけで容易に配合される。更に、組成物は、単一パッケージの配合物として、又は使用時もしくは使用前に混合される複部構成の配合物として容易に配合することができ、例えば、複部構成の配合物の個々の部分を、ツールで又はツールの上流の貯蔵タンク内で混合することができる。各成分の濃度は、組成物の特定の倍数比で幅広く変えることができる。すなわち、更に希釈するか又は更に濃縮することができる。本明細書に記載する組成物は、本明細書における開示と一致する成分のいずれかの組み合わせを様々に及び代替的に含むか、それらから成るか、又は本質的にそれらから成ることができることは認められよう。
[0039] 従って、別の態様は、本明細書に記載した組成物を形成するように適合された1つ以上の化学成分を1つ以上の容器内に収容したキットに関する。このキットは、1つ以上の容器内に、少なくとも1つの第4級塩基、少なくとも1つのアミン、少なくとも1つの腐食防止剤、及び、製造工場で又は使用時に例えば水のような追加の溶剤と組み合わせるための少なくとも1つの溶剤を含むことができる。キットの容器は、例えばNOWPak(登録商標)容器(米国コネチカット州ダンベリーのAdvanced Technology Materials社)のように、前記洗浄組成物を貯蔵し出荷するのに適切なものでなければならない。
[0040] 洗浄組成物の化学成分を収容する1つ以上の容器は、好ましくは、前記1つ以上の容器内の化学成分を混合及び分配するために流体連通させるための手段を含む。例えば、NOWPak(商標)容器を参照すると、前記1つ以上の容器におけるライナの外側にガス圧力を加えて、ライナの中身の少なくとも一部を放出させ、これによって混合及び分配のための流体連通を可能とする。あるいは、従来の加圧容器のヘッド空間にガス圧力を加え、又はポンプを用いて、流体連通を可能とする。更に、このシステムは、混合した洗浄組成物をプロセスツールに分配するための分配口を含むことが好ましい。
[0041] 前記1つ以上の容器のライナを製造するために、高密度ポリエチレン等の、実質的に化学的不活性であり不純物のない可撓性かつ弾性のポリマー膜材料を用いると好ましい。望ましいライナ材料は、共有押し出し形成もバリア層も必要とすることなく、更には、ライナに配置する化学成分の純度要件に悪影響を与え得る色素、UV阻害剤、又は処理剤が存在しない状態で、処理される。望ましいライナ材料には、未使用(無添加)ポリエチレン、未使用ポリテトラフルオロエチレン(PTFE)、ポリプロピレン、ポリウレタン、ポリ塩化ビニリデン、ポリ塩化ビニル、ポリアセタール、ポリスチレン、ポリアクリロニトリル、ポリブチレン等を含む膜が挙げられる。かかるライナ材料の好適な厚さは、約5ミル(0.005インチ(0.0127cm))から約30ミル(0.030インチ(0.0762cm))の範囲内であり、例えば20ミル(0.020インチ(0.0508cm))の厚さである。
[0042] キットの容器に関して、以下の特許及び特許出願の開示は、引用によりそれぞれの全体が本願にも含まれるものとする。「APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS」と題する米国特許第7,188,644号、「RETURNABLE AND REUSABLE, BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM」と題する米国特許第6,698,619号、及び2008年5月9日にAdvanced Technology Materials社の名で出願された「SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION」と題するPCT/US08/63276号。
[0043] マイクロエレクトロニクス製造作業に適用する場合、本明細書に記載した洗浄組成物は通常、マイクロエレクトロニクスデバイスの表面から、例えばBTAのようなCMP後残渣及び/又は汚染物質を洗浄するために用いられる。洗浄組成物は、低k誘電材料に損傷を与えることも、デバイス表面上の例えば銅のような金属相互接続を腐食させることもない。更に、洗浄組成物は、タンタル(Ta)、窒化タンタル(TaN)、チタン(Ti)、窒化チタン(TiN)、ルテニウム(Ru)、コバルト(Co)、マンガン(Mn)、モリブデン(Mo)、レニウム(Rh)、及びこれらの合金を含むバリア層材料と適合する。更に、洗浄組成物は、マイクロエレクトロニクスデバイス表面に存在する超低k誘電材料上に残るウォータマークを最小限に抑える。好ましくは、洗浄組成物は、残渣除去の前にデバイス上に存在する残渣及び汚染物質の少なくとも85%、更に好ましくは少なくとも90%、更に好ましくは少なくとも95%、最も好ましくは少なくとも99%を除去する。
[0044] CMP後の残渣及び汚染物質を洗浄する用途では、洗浄組成物は、限定ではないが、Verteqの単一ウェーハメガソニックGoldfinger、OnTrack systemsのDDS(両面スクラバー)、SEZ、又は他の単一ウェーハ噴霧すすぎ、Applied MaterialsのMirra−Mesa(商標)/Reflexion(商標)/Reflexion LK(商標)、及びMegasonicのバッチウェットベンチシステムを含む、メガソニック及びブラシスクラビング等の多種多様な従来の洗浄ツールと共に使用可能である。
[0045] 別の態様においては、CMP後残渣、エッチング後残渣、アッシング後残渣、及び/又は汚染物質を、これを有するマイクロエレクトロニクスデバイスから洗浄するための、本明細書に記載した組成物の使用方法が記載される。洗浄組成物は、典型的には約5秒から約30分、好ましくは約1秒から20分、好ましくは約15秒から約5分の間、約20℃から約90度、好ましくは約20℃から約50℃の範囲の温度で、デバイスに接触させる。かかる接触の時間及び温度は例示であり、この方法の広範囲の実施内で、デバイスからCMP後残渣/汚染物質を少なくとも部分的に洗浄するのに有効である他の適切な時間及び温度条件も使用可能である。「少なくとも部分的に洗浄する」及び「実質的に除去する」は、双方とも、残渣を除去する前にデバイスに存在する残渣/汚染物質の少なくとも85%、更に好ましくは少なくとも90%、更に好ましくは少なくとも95%、最も好ましくは少なくとも99%を除去することに相当する。
[0046] 所望の洗浄作用を達成した後、本明細書に記載した組成物の所与の最終用途において望ましくかつ有効であるように、洗浄組成物を塗布したデバイスから洗浄組成物を容易に除去することができる。好ましくは、すすぎ溶液は脱イオン水を含む。その後、窒素又はスピンドライサイクルを用いてデバイスを乾燥させることができる。
[0047] 更に別の態様は、本明細書に記載した方法に従って生成した、改良されたマイクロエレクトロニクスデバイス、及びかかるマイクロエレクトロニクスデバイスを含む製品に関する。好ましくは、マイクロエレクトロニクスデバイスはルテニウムを含む。
[0048] 更に別の態様は、リサイクルされる洗浄組成物に関する。残渣及び/又は汚染物質の負荷が、当業者によって容易に決定されるような洗浄組成物の最大対応可能量に達するまで、洗浄組成物をリサイクルすることができる。
[0049] 更に別の態様は、マイクロエレクトロニクスデバイスを含む商品(article)を製造する方法に関する。前記方法は、CMP残渣及び汚染物質を有するマイクロエレクトロニクスデバイスから前記残渣及び汚染物質を洗浄するために充分な時間だけマイクロエレクトロニクスデバイスを洗浄組成物と接触させることと、前記マイクロエレクトロニクスデバイスを前記商品に組み込むことと、本明細書に記載した洗浄組成物を用いることと、を備える。好ましくは、マイクロエレクトロニクスデバイスは、低k誘電材料への銅の拡散を防ぐために、本明細書で記載したようなルテニウムバリア層を備える。
[0050] 別の態様では、CMP後残渣及び汚染物質を、これらを有するマイクロエレクトロニクスデバイスから除去する方法が記載される。前記方法は、
マイクロエレクトロニクスデバイスをCMPスラリで研磨することと、
少なくとも1つの第4級塩基と、少なくとも1つのアミンと、少なくとも1つの腐食防止剤と、少なくとも1つの溶剤と、を備える洗浄組成物を、マイクロエレクトロニクスデバイスからCMP後残渣及び汚染物質を除去するために充分な時間だけ、マイクロエレクトロニクスデバイスと接触させて、CMP後残渣含有組成物を形成することと、
マイクロエレクトロニクスデバイスの実質的な洗浄を達成するために充分な時間だけ、マイクロエレクトロニクスデバイスをCMP後残渣含有組成物に連続的に接触させることと、
を備える。
[0051] 別の態様は、洗浄組成物と、マイクロエレクトロニクスデバイスウェーハと、残渣、汚染物質、及びこれらの組み合わせから成る群から選択された材料と、を含む製造品に関する。洗浄組成物は、少なくとも1つの第4級塩基と、少なくとも1つのアミンと、少なくとも1つの腐食防止剤と、少なくとも1つの溶剤と、を備え、残渣は、CMP後残渣、エッチング後残渣、及びアッシング後残渣の少なくとも1つを含む。
[0052] 更に別の態様は、マイクロエレクトロニクスデバイスの製造に関する。この方法は、
低k誘電材料にパターンをエッチングすることと、
エッチングした低k誘電材料上に実質的に等方性のバリア層を堆積することと、
バリア層上に金属導電層を堆積することと、
マイクロエレクトロニクスデバイスをCMPスラリによって化学機械研磨して金属導電層及びバリア層を除去して低k誘電材料を露出させることと、
少なくとも1つの第4級塩基と、少なくとも1つのアミンと、少なくとも1つの腐食防止剤と、少なくとも1つの溶剤と、を備える洗浄組成物を、マイクロエレクトロニクスデバイスからCMP後残渣及び汚染物質を除去するために充分な時間だけ、マイクロエレクトロニクスデバイスと接触させて、CMP後残渣含有組成物を形成することと、
を備え、バリア層が、タンタル(Ta)、窒化タンタル(TaN)、チタン(Ti)、窒化チタン(TiN)、ルテニウム(Ru)、コバルト(Co)、マンガン(Mn)、モリブデン(Mo)、レニウム(Rh)、及びこれらの合金から成る群から選択される種を含む。
[0053] 別の態様は、相互接続金属(例えば銅)、バリア層(例えばルテニウム)、及び低k誘電材料に損傷を与えることなく、例えばCMP後残渣、エッチング後残渣、アッシング後残渣のような残渣及び汚染物質を、マイクロエレクトロニクスデバイス構造から洗浄するための組成物に関する。組成物は、少なくとも1つの第4級塩基、少なくとも1つの腐食防止剤、及び少なくとも1つの溶剤から成る。本明細書において、第4級塩基、腐食防止剤、及び溶剤の種類が開示される。注目すべきことは、この態様の組成物には、アルカノールアミン及びヒドロキシルアミンが含まれないことである。
[0054] 以下で検討する実施例によって、特徴及び利点を更に詳細に示す。
実施例1
[0055] 表1に示すように以下の溶液を調製した。残りの化学成分は脱イオン化水であった。
Figure 2015524165
[0056] 各配合物を水によって60:1に希釈し、BTA残渣を含むクーポン及び銅金属から成るクーポンを各溶液に25℃で30分間、400rpmで浸漬した。浸漬の後、各クーポンを水で30秒間すすいだ。以下の表2に、DI水に対する配合物1〜4のBTA除去を示す。
Figure 2015524165

銅のエッチングレートに関して、表1の配合物1〜11は全て、約1Å/分以下の銅エッチングレートであった。BTA除去に関して、表1の配合物1〜11は全て、脱イオン化水以上の量のBTAを除去した。
実施例2
[0057] 配合物A〜Kを調製した。残りの化学成分はDI水であった。
Figure 2015524165
[0058] 各配合物を水によって60:1に希釈し、銅クーポンを各溶液に25℃で30分間、400rpmで浸漬した。浸漬の後、各クーポンを水で30秒間すすいだ。各配合物の銅エッチングレートを求め、以下の表にまとめた。
Figure 2015524165
[0059] 本発明について、例示的な実施形態及び特徴を参照して本明細書において様々に開示したが、上述の実施形態及び特徴は本発明を限定することは意図されないこと、本明細書の開示に基づいて、他の変形、変更、及び他の実施形態も当業者によって提案されることは認められよう。従って本発明は、以下に述べる特許請求の範囲の精神及び範囲内の全てのそのような変形、変更、及び代替的な実施形態を包含するように広義に解釈されるものである。
[0010] 1つの態様において、残滓及び汚染物質を有するマイクロエレクトロニクスデバイスから前記残滓及び汚染物質を除去する方法を記載する。前記方法は、マイクロエレクトロニクスデバイスから前記残滓及び汚染物質を少なくとも部分的に洗浄するために充分な時間だけマイクロエレクトロニクスデバイスを洗浄組成物と接触させることを備え、洗浄組成物が、少なくとも1つの第4級塩基と、少なくとも1つのアミンと、少なくとも1つの腐食防止剤と、少なくとも1つの溶剤と、を含み、マイクロエレクトロニクスデバイスが、低k誘電材料への銅の拡散を低減させる露出バリア層を備える。
別の態様において、マイクロエレクトロニクスデバイスを製造する方法を記載する。前記方法は、低k誘電材料にパターンをエッチングすることと、エッチングした低k誘電材料上に実質的に等方性のバリア層を堆積することと、バリア層上に金属導電層を堆積することと、マイクロエレクトロニクスデバイスをCMPスラリによって化学機械研磨して金属導電層及びバリア層を除去して低k誘電材料を露出させることと、少なくとも1つの第4級塩基と、少なくとも1つのアミンと、少なくとも1つの腐食防止剤と、少なくとも1つの溶剤と、を備える洗浄組成物を、マイクロエレクトロニクスデバイスからCMP後残滓及び汚染物質を除去するために充分な時間だけ、マイクロエレクトロニクスデバイスと接触させて、CMP後残滓含有組成物を形成することと、を備え、バリア層が、タンタル(Ta)、窒化タンタル(TaN )、チタン(Ti)、窒化チタン(TiN)、ルテニウム(Ru)、コバルト(Co)、マンガン(Mn)、モリブデン(Mo)、レニウム(Rh)、及びこれらの合金から成る群から選択される種を含む。
更に別の態様において、洗浄組成物を記載する。前記組成物は、少なくとも1つの第4級塩基と、少なくとも1つのアミンと、少なくとも1つの腐食防止剤と、少なくとも1つの溶剤と、を備え、少なくとも1つの腐食防止剤が、ピラゾール、ピラゾール誘導体、リン酸、リン酸誘導体、アスコルビン酸、アデノシン、アデノシン誘導体、及びこれらの組み合わせを含む。
更に別の態様は、相互接続金属、バリア層、及び低k誘電材料に損傷を与えることなく、マイクロエレクトロニクスデバイス構造から残滓及び汚染物質を洗浄するための組成物に関する。前記組成物は、少なくとも1つの第4級塩基と、少なくとも1つの腐食防止剤と、少なくとも1つの溶剤と、を備え、アルカノールアミン及びヒドロキシルアミンを実質的に含まない。

Claims (27)

  1. 残渣及び汚染物質を有するマイクロエレクトロニクスデバイスから前記残渣及び汚染物質を除去する方法であって、前記マイクロエレクトロニクスデバイスから前記残渣及び汚染物質を少なくとも部分的に洗浄するために充分な時間だけ前記マイクロエレクトロニクスデバイスを洗浄組成物と接触させることを備え、前記洗浄組成物が、少なくとも1つの第4級塩基と、少なくとも1つのアミンと、少なくとも1つの腐食防止剤と、少なくとも1つの溶剤と、を含み、前記マイクロエレクトロニクスデバイスが、低k誘電材料への銅の拡散を低減させる露出バリア層を備える、方法。
  2. 前記洗浄組成物が、金属相互接続、バリア層、及び低k誘電材料に損傷を与えることなく、マイクロエレクトロニクスデバイス構造から残渣及び汚染物質を洗浄するために特に有用である、請求項1に記載の方法。
  3. 前記残渣が、CMP後残渣、エッチング後残渣、及びアッシング後残渣から成る群から選択される、請求項2に記載の方法。
  4. 前記洗浄組成物が、前記マイクロエレクトロニクスデバイスから残渣物質を除去する前に、酸化剤、フッ化物含有源、研磨材料、没食子酸、アルカリ及び/又はアルカリ土類金属塩基、有機溶剤、及びこれらの組み合わせを実質的に含まない、請求項1〜3のいずれか1項に記載の方法。
  5. 前記少なくとも1つの腐食防止剤が、ピラゾール、ピラゾール誘導体、リン酸、リン酸誘導体、アスコルビン酸、アデノシン、アデノシン誘導体、及びこれらの組み合わせを含む、請求項1〜4のいずれか1項に記載の方法。
  6. 前記少なくとも1つの腐食防止剤がピラゾール又はピラゾール誘導体を含む、請求項1〜5のいずれか1項に記載の方法。
  7. 前記少なくとも1つのアミンが、アミノエチルエタノールアミン、N−メチルアミノエタノール、アミノエトキシエタノール、ジメチルアミノエトキシエタノール、ジエタノールアミン、N−メチルジエタノールアミン、モノエタノールアミン、トリエタノールアミン、1−アミノ−2−プロパノール、2−アミノ−1−ブタノール、イソブタノールアミン、トリエチレンジアミン、テトラエチレンペンタミン(TEPA)、4−(2−ヒドロキシエチル)モルホリン(HEM)、N−アミノエチルピペラジン(N−AEP)、エチレンジアミンテトラ酢酸(EDTA)、1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸(CDTA)、イミノ二酢酸(IDA)、2−(ヒドロキシエチル)イミノ二酢酸(HIDA)、ニトリロ三酢酸、トリメチルアミン−N−酸化物、及びこれらの組み合わせから成る群から選択される種を含む、請求項1〜6のいずれか1項に記載の方法。
  8. 前記少なくとも1つのアミンが、モノエタノールアミン、トリエタノールアミン、又はモノエタノールアミン及びトリエタノールアミンの組み合わせを含む、請求項1〜7のいずれか1項に記載の方法。
  9. 前記少なくとも1つの第4級塩基が、水酸化テトラエチルアンモニウム(TEAH)、水酸化テトラメチルアンモニウム(TMAH)、水酸化テトラプロピルアンモニウム(TPAH)、水酸化テトラブチルアンモニウム(TBAH)、水酸化トリブチルメチルアンモニウム(TBMAH)、水酸化ベンジルトリメチルアンモニウム(BTMAH)、水酸化コリン、及びこれらの組み合わせから成る群から選択される種を含む、請求項1〜8のいずれか1項に記載の方法。
  10. 前記少なくとも1つの第4級塩基がTMAHを含む、請求項1〜9のいずれか1項に記載の方法。
  11. 前記少なくとも1つの溶剤が水を含む、請求項1〜10のいずれか1項に記載の方法。
  12. 前記洗浄組成物のpHが約10から14よりも大きい範囲内である、請求項1〜11のいずれか1項に記載の方法。
  13. 少なくとも1つの第4級塩基、トリエタノールアミン、ピラゾール、及び水を含む、請求項1〜12のいずれか1項に記載の方法。
  14. 前記露出バリア層が、コバルト、ルテニウム、又はマンガンを含む、請求項1〜13のいずれか1項に記載の方法。
  15. 前記CMP後残渣が、CMP研磨スラリからの粒子、前記CMP研磨スラリに存在する化学物質、前記CMP研磨スラリの反応副生成物、炭素を多く含む粒子、研磨パッド粒子、ブラシ装脱粒子、機器材料構成粒子、銅、酸化銅、及びこれらの組み合わせから成る群から選択される材料を含む、請求項1〜14のいずれか1項に記載の方法。
  16. 前記接触させることが、約15秒から約5分までの時間、約20℃から約50℃までの範囲の温度、及びこれらの組み合わせから成る群から選択される条件を含む、請求項1〜15のいずれか1項に記載の方法。
  17. 前記洗浄組成物を使用時に又は使用前に溶剤で希釈することを更に備える、請求項1〜16のいずれか1項に記載の方法。
  18. 前記溶剤が水を含む、請求項1〜17のいずれか1項に記載の方法。
  19. 前記マイクロエレクトロニクスデバイスが銅含有材料を含む、請求項1〜18のいずれか1項に記載の方法。
  20. 前記洗浄組成物と接触させた後に前記マイクロエレクトロニクスデバイスを脱イオン水ですすぐことを更に備える、請求項1〜19のいずれか1項に記載の方法。
  21. マイクロエレクトロニクスデバイスを製造する方法であって、
    低k誘電材料にパターンをエッチングすることと、
    前記エッチングした低k誘電材料上に実質的に等方性のバリア層を堆積することと、
    前記バリア層上に金属導電層を堆積することと、
    前記マイクロエレクトロニクスデバイスをCMPスラリによって化学機械研磨して前記金属導電層及び前記バリア層を除去して前記低k誘電材料を露出させることと、
    少なくとも1つの第4級塩基と、少なくとも1つのアミンと、少なくとも1つの腐食防止剤と、少なくとも1つの溶剤と、を備える洗浄組成物を、前記マイクロエレクトロニクスデバイスからCMP後残渣及び汚染物質を除去するために充分な時間だけ、前記マイクロエレクトロニクスデバイスと接触させて、CMP後残渣含有組成物を形成することと、
    を備え、前記バリア層が、タンタル(Ta)、窒化タンタル(TaN)、チタン(Ti)、窒化チタン(TiN)、ルテニウム(Ru)、コバルト(Co)、マンガン(Mn)、モリブデン(Mo)、レニウム(Rh)、及びこれらの合金から成る群から選択される種を含む、方法。
  22. 少なくとも1つの第4級塩基と、少なくとも1つのアミンと、少なくとも1つの腐食防止剤と、少なくとも1つの溶剤と、を備える洗浄組成物であって、前記少なくとも1つの腐食防止剤が、ピラゾール、ピラゾール誘導体、リン酸、リン酸誘導体、アスコルビン酸、アデノシン、アデノシン誘導体、及びこれらの組み合わせを含む、洗浄組成物。
  23. pHが約10から約14までの範囲内である、請求項22に記載の洗浄組成物。
  24. 前記洗浄組成物が、残渣及び汚染物質を有するマイクロエレクトロニクスデバイスから前記残渣及び汚染物質を少なくとも部分的に洗浄する、請求項22又は23に記載の洗浄組成物。
  25. 前記残渣が、CMP後残渣、エッチング後残渣、及びアッシング後残渣から成る群から選択される、請求項24に記載の洗浄組成物。
  26. 前記マイクロエレクトロニクスデバイスが露出したコバルト又はルテニウムを含む、請求項22から25のいずれか1項に記載の洗浄組成物。
  27. 相互接続金属、バリア層、及び低k誘電材料に損傷を与えることなく、マイクロエレクトロニクスデバイス構造から残渣及び汚染物質を洗浄するための組成物であって、少なくとも1つの第4級塩基と、少なくとも1つの腐食防止剤と、少なくとも1つの溶剤と、を備え、アルカノールアミン及びヒドロキシルアミンを実質的に含まない、組成物。
JP2015512893A 2012-05-18 2013-05-17 有機残渣除去を改良するための銅エッチングレートの低い水性洗浄溶液 Pending JP2015524165A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261648937P 2012-05-18 2012-05-18
US61/648,937 2012-05-18
US201261695548P 2012-08-31 2012-08-31
US61/695,548 2012-08-31
PCT/US2013/041634 WO2013173743A2 (en) 2012-05-18 2013-05-17 Aqueous clean solution with low copper etch rate for organic residue removal improvement

Publications (1)

Publication Number Publication Date
JP2015524165A true JP2015524165A (ja) 2015-08-20

Family

ID=49584473

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015512893A Pending JP2015524165A (ja) 2012-05-18 2013-05-17 有機残渣除去を改良するための銅エッチングレートの低い水性洗浄溶液

Country Status (8)

Country Link
US (1) US20150114429A1 (ja)
EP (1) EP2850651A4 (ja)
JP (1) JP2015524165A (ja)
KR (1) KR20150013830A (ja)
CN (1) CN104395989A (ja)
SG (1) SG11201407657YA (ja)
TW (1) TW201404877A (ja)
WO (1) WO2013173743A2 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015203047A (ja) * 2014-04-11 2015-11-16 三菱化学株式会社 半導体デバイス用基板洗浄液及び半導体デバイス用基板の洗浄方法
JP2018503723A (ja) * 2015-01-05 2018-02-08 インテグリス・インコーポレーテッド 化学機械研磨後製剤および使用方法
JPWO2017208767A1 (ja) * 2016-06-03 2019-03-28 富士フイルム株式会社 処理液、基板洗浄方法およびレジストの除去方法
WO2020059782A1 (ja) 2018-09-20 2020-03-26 関東化學株式会社 洗浄液組成物
JP2020516725A (ja) * 2017-04-11 2020-06-11 インテグリス・インコーポレーテッド 化学機械研磨後配合物及び使用方法
JP2021506131A (ja) * 2017-12-08 2021-02-18 ビーエイエスエフ・ソシエタス・エウロパエアBasf Se 半導体基板からエッチング後または灰化後の残留物を除去するための洗浄剤組成物、およびそれに対応する製造方法

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013142250A1 (en) * 2012-03-18 2013-09-26 Advanced Technology Materials, Inc. Post-cmp formulation having improved barrier layer compatibility and cleaning performance
US9540408B2 (en) 2012-09-25 2017-01-10 Entegris, Inc. Cobalt precursors for low temperature ALD or CVD of cobalt-based thin films
WO2014089196A1 (en) 2012-12-05 2014-06-12 Advanced Technology Materials, Inc. Compositions for cleaning iii-v semiconductor materials and methods of using same
SG10201706443QA (en) 2013-03-04 2017-09-28 Entegris Inc Compositions and methods for selectively etching titanium nitride
TWI651396B (zh) 2013-06-06 2019-02-21 美商恩特葛瑞斯股份有限公司 選擇性蝕刻氮化鈦之組成物及方法
CN105431506A (zh) 2013-07-31 2016-03-23 高级技术材料公司 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂
CN105492576B (zh) 2013-08-30 2019-01-04 恩特格里斯公司 选择性蚀刻氮化钛的组合物和方法
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
TWI662379B (zh) 2013-12-20 2019-06-11 美商恩特葛瑞斯股份有限公司 移除離子植入抗蝕劑之非氧化強酸類之用途
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
EP3099839A4 (en) 2014-01-29 2017-10-11 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
CN104233358B (zh) * 2014-09-10 2016-12-07 句容金猴机械研究所有限公司 一种机械设备除锈剂及其制备方法
WO2016040077A1 (en) * 2014-09-14 2016-03-17 Entergris, Inc. Cobalt deposition selectivity on copper and dielectrics
WO2016115153A1 (en) 2015-01-13 2016-07-21 Cabot Microelectronics Corporation Cleaning composition and method for cleaning semiconductor wafers after cmp
US9490145B2 (en) * 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9976111B2 (en) * 2015-05-01 2018-05-22 Versum Materials Us, Llc TiN hard mask and etch residual removal
KR102644385B1 (ko) * 2015-12-22 2024-03-08 주식회사 케이씨텍 폴리실리콘막 연마용 슬러리 조성물
KR102088653B1 (ko) * 2016-04-28 2020-03-13 후지필름 가부시키가이샤 처리액 및 처리액 수용체
KR20180069185A (ko) * 2016-12-14 2018-06-25 삼성전자주식회사 기판 가공 방법 및 접착층 세정 조성물
CN107460532B (zh) * 2017-04-12 2018-12-07 广州市双石金属制品有限公司 一种真空离子镀电化学退镀液配方
CN107419326B (zh) * 2017-04-12 2018-12-07 广州市双石金属制品有限公司 一种真空离子镀电化学退镀液配方
CN110713868A (zh) * 2018-07-13 2020-01-21 巴斯夫欧洲公司 可移除氮化钛的蚀刻后残渣清理溶液
JP7176089B2 (ja) 2018-07-20 2022-11-21 インテグリス・インコーポレーテッド 腐食防止剤を含む洗浄組成物
US11124746B2 (en) * 2018-11-08 2021-09-21 Entegris, Inc. Post CMP cleaning composition
CN110499511B (zh) * 2019-09-03 2021-08-31 中国石油天然气股份有限公司 一种超临界二氧化碳下碳钢缓蚀剂及其制备方法
CN110592568A (zh) * 2019-09-16 2019-12-20 铜陵市华创新材料有限公司 环保负极集流体防氧化液及其制备和使用方法
JP7399314B2 (ja) * 2020-04-14 2023-12-15 インテグリス・インコーポレーテッド モリブデンをエッチングするための方法及び組成物
CN113921383B (zh) 2021-09-14 2022-06-03 浙江奥首材料科技有限公司 一种铜表面钝化组合物、其用途及包含其的光刻胶剥离液
WO2023239837A1 (en) * 2022-06-08 2023-12-14 Entegris, Inc. Cleaning composition with molybdenum etching inhibitor
CN115160933B (zh) * 2022-07-27 2023-11-28 河北工业大学 一种用于钴互连集成电路钴cmp的碱性抛光液及其制备方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6723691B2 (en) * 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US7393819B2 (en) * 2002-07-08 2008-07-01 Mallinckrodt Baker, Inc. Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
SG129274A1 (en) * 2003-02-19 2007-02-26 Mitsubishi Gas Chemical Co Cleaaning solution and cleaning process using the solution
WO2007120259A2 (en) * 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
US20080076688A1 (en) * 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
CN101720352B (zh) * 2007-05-17 2015-11-25 安格斯公司 用于cpm后清除配方的新抗氧化剂
US7919446B1 (en) * 2007-12-28 2011-04-05 Intermolecular, Inc. Post-CMP cleaning compositions and methods of using same
US8357646B2 (en) * 2008-03-07 2013-01-22 Air Products And Chemicals, Inc. Stripper for dry film removal
JP5873718B2 (ja) * 2008-10-21 2016-03-01 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅の洗浄及び保護配合物
US8754021B2 (en) * 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
TWI583786B (zh) * 2010-01-29 2017-05-21 恩特葛瑞斯股份有限公司 供附有金屬佈線之半導體用清洗劑
US8673783B2 (en) * 2010-07-02 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Metal conductor chemical mechanical polish
WO2013142250A1 (en) * 2012-03-18 2013-09-26 Advanced Technology Materials, Inc. Post-cmp formulation having improved barrier layer compatibility and cleaning performance

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015203047A (ja) * 2014-04-11 2015-11-16 三菱化学株式会社 半導体デバイス用基板洗浄液及び半導体デバイス用基板の洗浄方法
JP2018503723A (ja) * 2015-01-05 2018-02-08 インテグリス・インコーポレーテッド 化学機械研磨後製剤および使用方法
JPWO2017208767A1 (ja) * 2016-06-03 2019-03-28 富士フイルム株式会社 処理液、基板洗浄方法およびレジストの除去方法
JP2021052186A (ja) * 2016-06-03 2021-04-01 富士フイルム株式会社 処理液、基板洗浄方法およびレジストの除去方法
US11397383B2 (en) 2016-06-03 2022-07-26 Fujifilm Corporation Treatment liquid, method for washing substrate, and method for removing resist
US11899369B2 (en) 2016-06-03 2024-02-13 Fujifilm Corporation Treatment liquid, method for washing substrate, and method for removing resist
JP2020516725A (ja) * 2017-04-11 2020-06-11 インテグリス・インコーポレーテッド 化学機械研磨後配合物及び使用方法
JP2021506131A (ja) * 2017-12-08 2021-02-18 ビーエイエスエフ・ソシエタス・エウロパエアBasf Se 半導体基板からエッチング後または灰化後の残留物を除去するための洗浄剤組成物、およびそれに対応する製造方法
JP7330972B2 (ja) 2017-12-08 2023-08-22 ビーエーエスエフ ソシエタス・ヨーロピア 半導体基板からエッチング後または灰化後の残留物を除去するための洗浄剤組成物、およびそれに対応する製造方法
WO2020059782A1 (ja) 2018-09-20 2020-03-26 関東化學株式会社 洗浄液組成物
KR20210060454A (ko) 2018-09-20 2021-05-26 간또 가가꾸 가부시끼가이샤 세정액 조성물

Also Published As

Publication number Publication date
KR20150013830A (ko) 2015-02-05
US20150114429A1 (en) 2015-04-30
EP2850651A4 (en) 2016-03-09
WO2013173743A2 (en) 2013-11-21
CN104395989A (zh) 2015-03-04
EP2850651A2 (en) 2015-03-25
WO2013173743A3 (en) 2014-02-20
TW201404877A (zh) 2014-02-01
SG11201407657YA (en) 2014-12-30

Similar Documents

Publication Publication Date Title
JP2015524165A (ja) 有機残渣除去を改良するための銅エッチングレートの低い水性洗浄溶液
JP6133959B2 (ja) 銅の洗浄及び保護配合物
US20150045277A1 (en) Post-cmp formulation having improved barrier layer compatibility and cleaning performance
KR101833158B1 (ko) Cmp후 세정 제제용 신규한 항산화제
USRE46427E1 (en) Antioxidants for post-CMP cleaning formulations
US20160075971A1 (en) Copper cleaning and protection formulations
WO2013138278A1 (en) Copper cleaning and protection formulations
CN105308164A (zh) 用于从表面除去氧化铈粒子的组合物和方法
WO2008036823A2 (en) Uric acid additive for cleaning formulations