KR20150013830A - 유기 잔류물 제거 개선을 위한 낮은 구리 에칭 속도를 가진 수성 세정 용액 - Google Patents

유기 잔류물 제거 개선을 위한 낮은 구리 에칭 속도를 가진 수성 세정 용액 Download PDF

Info

Publication number
KR20150013830A
KR20150013830A KR20147035461A KR20147035461A KR20150013830A KR 20150013830 A KR20150013830 A KR 20150013830A KR 20147035461 A KR20147035461 A KR 20147035461A KR 20147035461 A KR20147035461 A KR 20147035461A KR 20150013830 A KR20150013830 A KR 20150013830A
Authority
KR
South Korea
Prior art keywords
microelectronic device
residues
cmp
contaminants
cleaning composition
Prior art date
Application number
KR20147035461A
Other languages
English (en)
Inventor
쉬레인 닝 젠크
칼 이 보그스
준 리우
니콜 토마스
Original Assignee
어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드
에이티엠아이 타이완 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드, 에이티엠아이 타이완 컴퍼니 리미티드 filed Critical 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드
Publication of KR20150013830A publication Critical patent/KR20150013830A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/267Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3245Aminoacids
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/48After-treatment of electroplated surfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • C11D2111/22
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/977Thinning or removal of substrate

Abstract

상부에 화학적 기계적 연마(CMP) 후 잔류물 및 오염물을 가진 마이크로전자 장치로부터 상기 잔류물 및 오염물을 세정하기 위한 세정 조성물 및 방법이 개시된다. 상기 세정 조성물은 하나 이상의 4급 염기, 하나 이상의 아민, 하나 이상의 부식 억제제, 및 하나 이상의 용매를 포함한다. 상기 조성물은 마이크로전자 장치의 표면으로부터 CMP-후 잔류물 및 오염물을 매우 효과적으로 세정하면서 장벽층과 상용가능하다.

Description

유기 잔류물 제거 개선을 위한 낮은 구리 에칭 속도를 가진 수성 세정 용액{AQUEOUS CLEAN SOLUTION WITH LOW COPPER ETCH RATE FOR ORGANIC RESIDUE REMOVAL IMPROVEMENT}
본 발명은, 상부에 잔류물 및/또는 오염물을 가진 마이크로전자 장치로부터 잔류물 및/또는 오염물을 실질적이고 효율적으로 세정하기 위한 조성물에 관한 것으로서, 상기 조성물은 초저-k 물질 상의 워터 마크(water mark) 결함을 최소화하고 구리, 루테늄, 코발트, 망간 및 저-k 유전체 물질과 증가된 상용성을 갖는다.
구리는 상호접속 성능에서 상당한 개선을 의미하는 더 높은 전도도를 갖기 때문에, 집적회로(IC) 제조업자들이 진보된 마이크로전자 공학 용도를 위하여 알루미늄 및 알루미늄 합금을 구리로 교체한다는 것은 잘 알려져 있다. 또한, 구리-기반 상호접속부는 알루미늄보다 더 우수한 전자이동 저항(electromigration resistance)을 제공함으로써 상호접속 신뢰성을 개선시킨다. 그러나, 구리의 구현은 특정 과제에 당면한다. 예를 들면, 이산화규소(SiO2) 및 다른 유전체 물질에 대한 구리(Cu)의 접착성이 일반적으로 불량하다. 불량한 접착성은 제조 과정중에 인접한 필름으로부터 Cu가 탈층되는 결과를 유발한다. 또한, Cu 이온은 전기 바이어스 하에서 SiO2 내로 쉽게 확산되고, 심지어 유전체 내의 매우 낮은 Cu 농도에서조차도 Cu 라인들간의 유전성 전기 누설을 증가시킨다. 또한, 구리가 능동 장치(active device)가 위치하고 있는 하부 규소 내로 확산되는 경우, 장치 성능이 저하될 수 있다.
이산화규소(SiO2)에서, 및 다른 금속간 유전체(inter-metal dielectric) (IMD)/레벨간 유전체(interlevel dielectric)(ILD)에서의 구리의 높은 확산성의 문제는 큰 관심사로 남아 있다. 이러한 문제에 대처하기 위하여, 집적회로 기판은 구리를 캡슐화하고 구리 원자의 확산을 차단하는 적합한 장벽층으로 코팅되어야 한다. 전형적으로는, 패턴화된 유전체층상에 구리의 침착 이전에 전도성 물질 및 비전도성 물질 모두를 포함하는 장벽층이 형성된다. 장벽의 두께는 너무 두꺼우면 후속 구리 코팅 및 초미세 특징부(100 nm 미만 직경의 비아(via)) 충전과 관련된 문제를 야기할 수 있음은 공지되어 있다. 100 nm 미만 직경의 비아 내부의 장벽이 너무 두꺼우면, 이는 특징부 내의 구리의 이용가능한 부피를 감소시키며, 이는 구리 사용이 제공하는 이점을 상쇄시킬 수 있는 비아의 저항 증가를 야기한다. 장벽층을 위한 전형적인 물질은 탄탈륨(Ta), 질화 탄탈륨(TaNx), 티타늄(Ti), 질화 티타늄(TiN), 루테늄(Ru), 코발트(Co), 망간(Mn) 등을 포함한다.
구리로 전도성 통로를 채우는데 전해 침착법이 사용된다. 구리의 전해 침착으로 라인 통로를 새겨 넣기 전에, 통상의 장벽 물질은 높은 전기저항률을 나타내어 전해 구리 도금 중에 전류를 이송할 수 없기 때문에, 장벽 층의 상부에 전도성 표면 코팅을 적용하여야 한다. 전형적으로, 장벽 층 상에 PVD 구리 씨드 층을 침착시킨다. 이어서, 매우 더 두꺼운 구리 층을 상기 씨드 층 상에 전해도금에 의해 침착시킨다. 구리의 침착이 완료된 후에, 추가의 가공을 위한 제조에서 유전체까지 일반적으로 화학적 기계적 평탄화(CMP)에 의해 구리를 평탄화한다.
IC에서 더 소형인 특징부 크기로 가는 지속적인 경향은, 통상의 장벽 층의 전기적 저항으로 인한 영향을 최소화하기 위해 장벽 층의 두께가 감소되는 것을 필요로 한다. 따라서, 통상의 장벽 층을, 감소된 전기적 저항을 가진 더 새로운 물질로 대체하는 것이 매력적이다. 이는, 패턴(즉, 라인 및 비아)에서 전도도를 더 개선함으로써 통상의 장벽 층을 사용하는 상호접속 구조물에 비해 신호 전파 속도를 증가시키기 때문이다. 또한, 전도성 장벽 물질 상에 직접 구리를 전해 도금하는 것은 별도의 구리 씨드 층의 사용을 배제하며, 이로써 총 공정을 단순화한다. 직접 도금할 수 있는 확산 장벽으로서 사용될 수 있는 다양한 후보 물질 중에서 루테늄(Ru), 코발트(Co), 망간(Mn), 몰리브덴(Mo), 레늄(Rh), 및 이들의 합금의 사용이 제안되었다.
웨이퍼 기재 표면 제조, 침착, 도금, 에칭 및 화학적 기계적 연마를 수반하는 전술한 공정 조작들은, 마이크로전자 장치 제품이 오염물(이는 제품의 기능에 해로운 영향을 미치거나 심지어 의도된 기능을 무용화함)을 갖지 않도록 하기 위해, 다양하게 세정 조작을 필요로 한다. 흔히, 이들 오염물의 입자는 0.3 ㎛보다 작다.
이와 관련된 하나의 특정 문제는, CMP 공정 후에 마이크로전자 장치 기재 상에 남는 잔류물이다. 이러한 잔류물은 CMP 물질 및 부식 억제제 화합물, 예를 들면 벤조트라이아졸(BTA)을 포함한다. 제거되지 않으면 이들 잔류물은 구리 배선을 손상시키거나 구리 금속부를 심하게 조도화(roughen)시킬 수 있을 뿐 아니라 장치 기재 상의 CMP-후 적용되는 층의 불량한 접착을 야기할 수 있다. 구리 금속부의 심한 조도화는 특히 문제가 되는데, 그 이유는 과도하게 조도화된 구리는 생성물인 마이크로전자 장치의 불량한 전기적 성능을 야기할 수 있기 때문이다. 이 때문에, CMP-후 잔류물 및 오염물을 제거하기 위한 CMP-후 제거 조성물이 개발되었다.
새로운 장벽 층이 도입될 때, 구리, 저-k 유전체 및 상기 새로운 장벽 층 물질에 해로운 영향을 미치지 않으면서 CMP-후 잔류물 및 오염물을 여전히 제거하도록 보장하는 CMP-후 제거 조성물이 개발되어야 한다. 더욱이, CMP-후 제거 조성물은 초저-k 유전체 물질 상에 워터 마크를 남기지 않아야 한다. 따라서, 본 발명의 목적은, 마이크로전자 장치에 해로운 영향을 미치지 않으면서 CMP-후 잔류물 및 오염물을 실질적이고 효과적으로 제거하는 새로운 CMP-후 조성물을 발견하는 것이다.
본 발명은 일반적으로, 상부에 잔류물 및/또는 오염물을 가진 마이크로 전자 장치로부터 상기 잔류물 및/또는 오염물을 세정하기 위한 조성물 및 방법에 관한 것이다. 유리하게, 상기 조성물은 초저-k 물질 상의 워터 마크 결함을 최소화할 뿐 아니라 구리, 코발트, 루테늄, 망간 및 저-k 유전체 물질과 증가된 상용성을 갖는다.
하나의 양태에서,
다른 양태, 특징 및 이점은 이하 개시내용 및 첨부된 특허청구범위로부터 더욱 자명해질 것이다.
본 발명은 일반적으로, 상부에 잔류물 및/또는 오염물을 가진 마이크로 전자 장치로부터 상기 잔류물 및/또는 오염물을 세정하기 위한 조성물 및 방법에 관한 것이다. 유리하게, 상기 조성물은 초저-k 물질 상의 워터 마크 결함을 최소화할 뿐 아니라 구리, 코발트, 루테늄, 망간 및 저-k 유전체 물질과 증가된 상용성을 갖는다. 상기 조성물은 또한 에칭-후 또는 애슁-후 잔류물의 제거에 유용할 수 있다.
참고하기 쉽도록, "마이크로전자 장치(microelectronic device)"는 마이크로 전자제품, 집적회로, 또는 컴퓨터 칩 용도에 사용하기 위하여 제작된 반도체 기판, 평판 디스플레이, 상변환 기억 장치, 태양전지용 패널, 및 태양전지용 기판, 광발전 장치 및 마이크로 전기기계 시스템(MEMS)를 포함한 기타 다른 제품에 상응한다. "마이크로전자 장치"는 어떠한 방식으로든 제한되는 것을 의미하지 않으며 궁극적으로 마이크로전자 장치 또는 마이크로전자 장치 어셈블리가 될 임의의 기판을 포함하는 것으로 이해되어야 한다.
본원에서 사용되는 "잔류물(residue)"은, 비제한적으로, 플라즈마 에칭, 애슁(ashing), 화학적 기계적 연마(CMP), 습식 에칭, 및 이들의 조합을 포함하는, 마이크로전자 장치의 제조 중에 생성되는 입자에 상응한다.
본원에서 사용되는 "오염물(contaminant)"은 CMP 슬러리중에 존재하는 화학물질, 연마 슬러리의 반응 부산물, 습식 에칭 조성물중에 존재하는 화학물질, 습식 에칭 조성물의 반응 부산물, 및 CMP 공정, 습식 에칭, 플라즈마 에칭 또는 플라즈마 애슁 공정의 부산물인 임의의 다른 물질에 상응한다.
본원에서 사용되는 "CMP-후 잔류물(post-CMP residue)"은 연마 슬러리로부터의 입자, 예를 들면, 실리카-함유 입자, 슬러리 중에 존재하는 화학물질, 연마 슬러리의 반응 부산물, 탄소-풍부 입자, 연마 패드 입자, 브러쉬 탈리 입자(brush deloading particle), 구성 입자의 장비 물질, 구리, 구리 산화물, 유기 잔류물, 장벽 층 잔류물, 및 CMP 공정의 부산물인 임의의 다른 물질에 상응한다.
본원에서 정의되는 "저-k 유전체 물질(low-k dielectric material)"은 층상화된 마이크로전자 장치에 유전체 물질로서 사용되는, 약 3.5 미만의 유전상수를 갖는 임의의 물질에 상응한다. 바람직하게, 상기 저-k 유전체 물질은 규소-함유 유기 중합체, 규소-함유 하이브리드 유기/무기 물질, 유기실리케이트 유리(organosilicate glass)(OSG), TEOS, 불화된 실리케이트 유리(FSG), 이산화규소, 탄소-도핑된 산화물(CDO) 유리, 노벨루스 시스템즈 인코포레이티드(Novellus Systems, Inc.)사의 코랄TM(CORALTM), 어플라이드 머티리얼스 인코포레이티드(Applied Materials, Inc.)사의 블랙 다이아몬드TM(BLACK DIAMONDTM), 다우 코닝 인코포레이티드(Dow Corning, Inc.)사의 실크TM(SiLKTM), 및 나노포어 인코포레이티드(Nanopore, Inc.)사의 나노글라스TM(NANOGLASSTM) 등과 같은 저-극성 물질을 포함한다. 상기 저-k 유전체 물질은 다양한 밀도 및 다양한 다공도를 가질 수 있음을 알아야 한다. "초저-k 유전체"는 약 2.6 이하의 유전상수를 갖는다.
본원에서 정의되는 용어 "장벽 물질(barrier material)"은 금속 라인, 예를 들면, 구리 상호접속부를 밀봉하여 상기 금속, 예를 들면, 구리가 유전체 물질내로 확산하는 것을 최소화하기 위하여 본 기술분야에서 사용되는 임의의 물질에 상응한다. 바람직한 장벽층 물질은 탄탈륨, 티타늄, 루테늄, 하프늄, 루테늄, 코발트, 망간, 몰리브덴, 레늄, 이들의 질화물 및 규소화물, 및 이들의 합금을 포함한다. 상기 장벽층은 동일한 물질을 포함하거나 이중층(즉, 씨드 층이 침착된 다음 제2 층 물질이 침착됨)일 수 있음을 이해하여야 한다. 바람직하게는, 장벽층 물질은 코발트, 망간 및 루테늄 또는 이의 질화물을 포함한다.
본원에서 정의되는 "에칭-후 잔류물(post-etch residue)"은 가스상 플라즈마 에칭 공정, 예를 들면, BEOL 이중 다마신 처리, 또는 습식 에칭 공정 이후에 잔류하는 물질에 상응한다. 에칭-후 잔류물은 특성상 유기, 유기금속, 유기규소, 또는 무기, 예를 들면, 규소-함유 물질, 탄소계 유기 물질, 및 산소 및 불소와 같은 에칭 가스 잔류물일 수 있다.
본원에서 사용되는 "애슁-후 잔류물(post-ash residue)"는 경화된 포토레지스트 및/또는 하부 반사-방지 코팅(BARC) 물질을 제거하기 위한 산화성 또는 환원성 플라즈마 애슁 이후에 잔류하는 물질에 상응한다. 애슁-후 잔류물은 특성상 유기, 유기금속, 유기규소, 또는 무기일 수 있다.
본원에서 "실질적으로 함유하지 않는(substantially devoid)"이란, 2 중량% 미만, 바람직하게는 1 중량% 미만, 보다 바람직하게는 0.5 중량% 미만, 보다 더 바람직하게는 0.1 중량% 미만, 가장 바람직하게는 0 중량%로서 정의된다.
본원에서 사용되는 "약"은 명시된 값의 ±5%에 상응하는 것으로 간주된다.
본원에 사용된 "반응 또는 분해 생성물"은, 비제한적으로, 표면에서의 촉매작용, 산화, 환원, 조성적 성분과의 반응의 결과로서 형성된 생성물(들) 또는 부산물(들), 또는 달리 중합되는 것; 물질 또는 재료(예를 들면, 분자, 화합물 등)가 다른 물질 또는 재료와 배합되거나 다른 물질 또는 재료와 성분 교환되거나 분해되거나 재배열되거나 달리 화학적으로 및/또는 물리적으로 변경되는 변화 또는 변환의 결과로서 형성된 생성물(들) 또는 부산물(들)(전술한 것 중 임의의 것 또는 전술한 반응(들), 변화(들) 및/또는 변환(들)의 임의의 조합의 중간체 생성물(들) 또는 부산물(들) 포함)을 포함한다. 상기 반응 또는 분해 생성물은 원래의 반응물보다 더 크거나 더 적은 몰 질량을 가질 수 있음을 이해하여야 한다.
본원에 정의된 "퓨린 및 퓨린-유도체"는, 리보실퓨란, 예를 들면 n-리보실퓨린, 아데노신, 구아노신, 2-아미노퓨린 리보사이드, 2-메톡시아데노신, 및 이들의 메틸화된 또는 데옥시 유도체, 예를 들면 N-메틸아데노신(C11H15N5O4), N.N-다이메틸아데노신(C12H17N5O4), 트라이메틸화된 아데노신(C13H19N5O4), 트라이메틸 N-메틸아데노신(C14H21N5O4), C-4'-메틸아데노신, 및 3-데옥시아데노신; 아데노신과 아데노신 유도체의 분해 생성물, 예를 들면, 비제한적으로, 아데닌(C5H5N5), 메틸화된 아데닌(예를 들면, N-메틸-7H-퓨린-6-아민, C6H7N5), 다이메틸화된 아데닌(예를 들면, N,N-다이메틸-7H-퓨린-6-아민, C7H9N5), N4,N4-다이메틸피리미딘-4,5,6-트라이아민(C6H11N5), 4,5,6-트라이아미노피리미딘, 알란토인(C4H6N4O3), 하이드록실화된 C-O-O-C 이량체((C5H4N5O2)2), C-C 가교된 이량체((C5H4N5)2, 또는 ((C5H4N5O2)2), 리보스(C5H10O5), 메틸화된 리보스(예를 들면, 5-(메톡시메틸)테트라하이드로퓨란-2,3,4-트라이올, C6H12O5), 테트라메틸화된 리보스(예를 들면, 2,3,4-트라이메톡시-5-(메톡시메틸)테트라하이드로퓨란, C9H18O5), 및 다른 리보스 유도체, 예를 들면 메틸화된 가수분해된 다이리보스 화합물; 퓨린-사카라이드 착물, 예를 들면, 비제한적으로, 자일로스, 글루코스 등; 및 다른 퓨린 화합물, 예를 들면 퓨린, 구아닌, 하이포잔틴, 잔틴, 테오브로민, 카페인, 요산 및 이소구아닌, 및 이들의 메틸화된 또는 데옥시 유도체를 포함한다.
본원에서 사용시, 상부에 잔류물 및 오염물을 가진 마이크로 전자 장치로부터 잔류물 및 오염물을 세정하기 위한 "적합성(suitability)"은 상기 마이크로전자 장치로부터의 상기 잔류물/오염물의 적어도 부분적인 제거에 상응한다. 세정 효율은 마이크로전자 장치상에서의 객체(object)의 감소에 의해 등급화된다. 예를 들면, 세정 전 및 세정 후 분석은 원자력 현미경(atomic force microscope)을 이용하여 수행할 수 있다. 샘플상의 입자는 픽셀의 범위로서 등록될 수 있다. 특정 강도, 예를 들면, 231-235의 픽셀, 및 계수된 입자의 수를 필터링하기 위하여 히스토그램(예를 들면, 시그마 스캔 프로(Sigma Scan Pro))이 적용될 수 있다. 입자 감소는 하기 식을 이용하여 계산할 수 있다:
세정 효율=(세정 전 객체의 수 - 세정 후 객체의 수)/(세정 전 객체의 수)
특히, 세정 효율의 측정 방법은 단지 예로서 제공된 것이지 이로 국한시키려는 의도가 아니다. 대안으로, 세정 효율은 미립상 물질에 의해 커버되는 전체 표면의 백분율로서 고려될 수 있다. 예를 들면, AFM은, z-평면 스캔을 실행하여 특정 높이 한계(threshold) 이상의 형태적(topographic) 관심 영역을 확인한 다음, 상기 관심 영역에 의해 커버된 총 표면적을 계산하도록 프로그래밍될 수 있다. 당업자는 세정 후 관심 영역에 의해 커버된 면적이 더 적을수록 세정 조성물이 더 효율적이라는 것을 쉽게 이해할 것이다. 바람직하게는, 본원에서 기술된 조성물을 사용하여 적어도 75%의 잔류물/오염물이 마이크로전자 장치로부터 제거되며, 보다 바람직하게는 적어도 90%, 보다 더 바람직하게는 적어도 95%, 가장 바람직하게는 적어도 99%의 잔류물/오염물이 제거된다.
본 발명에 기술된 조성물은, 이하에서 더 상세하게 기술되는 바와 같이, 광범위한 특정 제형으로 구현될 수 있다.
모든 그러한 조성물에서, 조성물의 특정 성분은 0의 하한치를 포함하는 중량%를 참조로 언급되며, 그러한 성분은 조성물의 다양한 특정 실시양태에서 존재하거나 부재할 수 있으며, 그러한 성분이 존재하는 경우, 그러한 성분은 사용되는 조성물의 총 중량을 기준으로 0.001 중량% 정도의 낮은 농도로 존재할 수 있음을 이해할 것이다.
제1 양태에서, 세정 조성물이 기술되며, 상기 조성물은 하나 이상의 4급 염기, 하나 이상의 아민, 하나 이상의 부식 억제제, 및 하나 이상의 용매(예를 들면, 물)를 포함하거나 이로 이루어지거나 본질적으로 이로 이루어진다. 하나의 실시양태에서, 세정 조성물은 하나 이상의 4급 염기, 둘 이상의 아민, 하나 이상의 부식 억제제, 및 하나 이상의 용매(예를 들면, 물)를 포함하거나 이로 이루어지거나 본질적으로 이로 이루어진다. 또하나의 실시양태에서, 세정 조성물은 하나 이상의 4급 염기, 둘 이상의 아민, 둘 이상의 부식 억제제, 및 하나 이상의 용매(예를 들면, 물)를 포함하거나 이로 이루어지거나 본질적으로 이로 이루어진다. 상기 세정 조성물은 특히, 상호접속 금속(예를 들면, 구리), 장벽 층(예를 들면, 루테늄) 및 저-k 유전체 물질에 손상을 입히지 않으면서 마이크로전자 장치 구조물로부터 잔류물 및 오염물, 예를 들면 CMP-후 잔류물, 에칭-후 잔류물, 애슁-후 잔류물, 및 오염물을 세정하는데 유용하다. 하나의 실시양태에서, 마이크로전자 장치는 코발트를 포함한다. 또 하나의 실시양태에서, 마이크로전자 장치는 루테늄을 포함한다. 다른 하나의 실시양태에서, 마이크로전자 장치는 망간을 포함한다. 실시양태와 상관없이, 세정 조성물은 바람직하게는, 마이크로전자 장치로부터 잔류물 물질을 제거하기 전에, 산화제, 불화물-함유 공급원, 연마제 물질, 갈산, 알칼리 및/또는 알칼리토 금속 염기, 유기 용매 및 이들의 조합물을 실질적으로 함유하지 않는다. 또한, 상기 세정 조성물은 고화되어 중합체성 고체, 예를 들면 감광제를 형성해서는 안된다.
부식 억제제는, 비제한적으로, 아스코르브산, L(+)-아스코르브산, 이소아스코르브산, 아스코르브산 유도체, 벤조트라이아졸, 시트르산, 에틸렌다이아민, 옥살산, 탄닌산, 글리신, 히스티딘, 1,2,4-트라이아졸(TAZ), 톨릴트라이아졸, 5-페닐-벤조트라이아졸, 5-나이트로-벤조트라이아졸, 3-아미노-5-머캅토-1,2,4-트라이아졸, 1-아미노-1,2,4-트라이아졸, 하이드록시벤조트라이아졸, 2-(5-아미노-펜틸)-벤조트라이아졸, 1,2,3-트라이아졸, 1-아미노-1,2,3-트라이아졸, 1-아미노-5-메틸-1,2,3-트라이아졸, 3-아미노-1,2,4-트라이아졸, 3-머캅토-1,2,4-트라이아졸, 3-이소프로필-1,2,4-트라이아졸, 5-페닐티올-벤조트라이아졸, 할로-벤조트라이아졸(할로 = F, Cl, Br 또는 I), 나프토트라이아졸, 2-머캅토벤즈이미다졸(MBI), 4-메틸-2-페닐이미다졸, 2-머캅토티아졸린, 5-아미노테트라졸, 5-아미노-1,3,4-티아디아졸-2-티올, 2,4-디아미노-6-메틸-1,3,5-트라이아진, 티아졸, 트라이아진, 메틸테트라졸, 5-페닐테트라졸, 1,3-다이메틸-2-이미다졸리디논, 1,5-펜타메틸렌테트라졸, 1-페닐-5-머캅토테트라졸, 다이아미노메틸트라이아진, 이미다졸린 티온, 머캅토벤즈이미다졸, 4-메틸-4H-1,2,4-트라이아졸-3-티올, 벤조티아졸, 인산 트라이톨릴, 이미다졸, 인디아졸, 피라졸, 피라졸 유도체, 4-메틸피라졸, 2-아미노-티아졸, 2-아미노-1,3,4-티아디아졸, 프테린, 피리미딘, 피라진, 사이토신, 피리다진, 1H-피라졸-3-카복실산, 1H-피라졸-4-카복실산, 3-아미노-5-하이드록시-1H-피라졸, 3-아미노-5-메틸-1H-피라졸, 인산, 인산 유도체(예를 들면 인산 에스터, 예를 들면 인산 트라이부틸 에스터, 인산 트라이에틸 에스터, 인산 트리스(2-에틸헥실) 에스터, 인산 모노메틸 에스터, 인산 이소트라이데실 에스터, 인산 2-에틸헥실 다이페닐 에스터, 및 인산 트라이페닐 에스터), 벤조산, 벤조산 암모늄, 카테콜, 피로갈롤, 레조르시놀, 하이드로퀴논, 시아누르산, 바르비투르산 및 유도체, 예컨대 1,2-다이메틸바르비투르산, 알파-케토산, 예컨대 피루브산, 포스폰산 및 이의 유도체, 예를 들면 1-하이드록시에틸리덴-1,1-다이포스폰산(HEDP), 프로판티올, 벤조하이드록삼산, 헤테로환형 질소 억제제, 칼륨 에틸잔테이트, 및 이들의 조합물을 포함한다. 달리, 또는 이에 추가하여, 부식 억제제는, 리보실퓨린, 예를 들면 N-리보실퓨린, 아데노신, 구아노신, 2-아미노퓨린 리보사이드, 2-메톡시아데노신, 및 이들의 메틸화된 또는 데옥시 유도체, 예를 들면 N-메틸아데노신(C11H15N5O4), N,N-다이메틸아데노신(C12H17N5O4), 트라이메틸화된 아데노신(C13H19N5O4), 트라이메틸 N-메틸아데노신(C14H21N5O4), C-4'-메틸아데노신, 및 3-데옥시아데노신; 아데노신 및 아데노신 유도체의 분해 생성물, 예를 들면, 비제한적으로, 아데닌(C5H5N5), 메틸화된 아데닌(예를 들면, N-메틸-7H-퓨린-6-아민, C6H7N5), 다이메틸화된 아데닌(예를 들면, N,N-다이메틸-7H-퓨린-6-아민, C7H9N5), N4,N4-다이메틸피리미딘-4,5,6-트라이아민(C6H11N5), 4,5,6-트라이아미노피리미딘, 알란토인(C4H6N4O3), 하이드록실화된 C-O-O-C 이량체((C5H4N5O2)2), C-C 가교된 이량체((C5H4N5)2, 또는 ((C5H4N5O2)2), 리보스(C5H10O5), 메틸화된 리보스(예를 들면, 5-(메톡시메틸)테트라하이드로퓨란-2,3,4-트리이올, C6H12O5), 테트라메틸화된 리보스(예를 들면, 2,3,4-트라이메톡시-5-(메톡시메틸)테트라하이드로퓨란, C9H18O5), 및 다른 리보스 유도체, 예를 들면 메틸화된 가수분해된 다이리보스 화합물; 퓨린-사카라이드 착물, 예를 들면, 비제한적으로, 자일로스, 글루코스 등; 및 다른 퓨린 화합물, 예를 들면 퓨린, 구아닌, 하이포잔틴, 잔틴, 테오브로민, 카페인, 요산 및 이소구아닌, 및 이들의 메틸화된 또는 데옥시 유도체; 트라이아미노피리미딘 및 다른 치환된 피리미딘, 예를 들면 아미노-치환된 피리미딘; 상기 화합물의 이량체, 삼량체 또는 중합체, 이들의 반응 또는 분해 생성물, 또는 이들의 유도체; 및 이들의 조합물을 포함한다. 하나의 실시양태에서, 상기 부식 억제제는, 피라졸, 4-메틸피라졸, 1H-피라졸-3-카복실산, 1H-피라졸-4-카복실산, 3-아미노-5-하이드록시-1H-피라졸, 및 3-아미노-5-메틸-1H-피라졸 중 하나를 포함한다. 바람직한 실시양태에서, 상기 세정 조성물은, 피라졸, 1H-피라졸-3-카복실산, 1H-피라졸-4-카복실산, 3-아미노-5-하이드록시-1H-피라졸, 3-아미노-5-메틸-1H-피라졸, 인산, 인산 유도체, 아데노신, 인산과 피라졸 또는 피라졸 유도체의 조합물, 아스코르브산과 아데노신의 조합물, 아데노신과 인산의 조합물, 또는 아데노신과 피라졸 또는 피라졸 유도체의 조합물을 포함한다. 가장 바람직하게는, 상기 부식 억제제는 피라졸을 포함한다.
특정 조성물에 유용할 수 있는 예시적인 아민은, 화학식 NR1R2R3 을 갖는 종을 포함하며, 여기서 R1, R2 및 R3는 서로 같거나 다를 수 있으며 수소, 직쇄 또는 분지쇄 C1-C6 알킬(예를 들면, 메틸, 에틸, 프로필, 부틸, 펜틸, 헥실), 직쇄 또는 분지쇄 C1-C6 알콜(예를 들면, 메탄올, 에탄올, 프로판올, 부탄올, 펜탄올, 헥산올), 화학식 R4-O-R5을 가진 직쇄 또는 분지쇄 에터(이때, R4 및 R5은 서로 동일하거나 상이할 수 있으며, 상기에서 정의한 C1-C6 알킬로 이루어진 그룹 중에서 선택된다)로 이루어 진 그룹 중에서 선택된다. 가장 바람직하게는, R1, R2 및 R3 중 적어도 하나는 직쇄 또는 분지쇄 C1-C6 알킬이다. 예로는, 비제한적으로, 알칸올아민, 예를 들면 아미노에틸에탄올아민, N-메틸아미노에탄올, 다이메틸아미노에톡시에탄올, 다이에탄올아민, N-메틸다이에탄올아민, 모노에탄올아민(MEA), 트라이에탄올아민(TEA), 1-아미노-2-프로판올, 2-아미노-1-부탄올, 이소부탄올아민, 트라이에틸렌다이아민, 다른 C1-C8 알칸올 아민, 및 이들의 조합물이 포함된다. 화학식 NR1R2R3 와 달리 또는 그에 추가로, 상기 아민은 다작용성 아민, 예를 들면 비제한적으로, 테트라에틸렌펜타아민(TEPA), 4-(2-하이드록시에틸)모폴린(HEM), N-아미노에틸피파라진(N-AEP), 에틸렌다이아민테트라아세트산(EDTA), 1,2-사이클로헥산다이아민-N,N,N',N'-테트라아세트산(CDTA), 이미노다이아세트산(IDA), 2-(하이드록시에틸)이미노다이아세트산(HIDA), 나이트릴로트라이아세트산, 및 이들의 조합물을 포함한다. 다른 고려되는 아민은 아민-N-옥사이드, 예를 들면 트라이메틸아민-N-옥사이드(TMAO)를 포함한다. 바람직하게는, 상기 아민은, 모노에탄올아민, 트라이에탄올아민, EDTA, CDTA, HIDA, N-AEP, 및 이들의 조합물로 이루어진 그룹 중에서 선택된 하나 이상의 종을 포함한다. 바람직하게는, 상기 아민은 MEA, TEA 또는 MEA와 TEA의 조합물을 포함한다.
본원에서 고려되는 4급 염기는 화학식 NR1R2R3R4OH를 갖는 화합물을 포함하며, 여기서, R1, R2, R3 및 R4는 서로 같거나 다를 수 있으며, 수소, 직쇄 또는 분지쇄 C1-C6 알킬(예를 들면, 메틸, 에틸, 프로필, 부틸, 펜틸, 및 헥실), 및 치환되거나 치환되지 않은 C6-C10 아릴, 예를 들면 벤질로 이루어진 그룹 중에서 선택된다. 상업적으로 입수가능한 테트라알킬암모늄 하이드록사이드는 테트라에틸암모늄 하이드록사이드(TEAH), 테트라메틸암모늄 하이드록사이드(TMAH), 테트라프로필암모늄 하이드록사이드(TPAH), 테트라부틸암모늄 하이드록사이드(TBAH), 트라이부틸메틸암모늄 하이드록사이드(TBMAH), 벤질트라이메틸암모늄 하이드록사이드(BTMAH), 및 이들의 조합물을 포함한다. 상업적으로 입수가능하지 않은 테트라알킬암모늄 하이드록사이드는, 당분야의 숙련가들에게 공지된 TMAH, TEAH, TPAH, TBAH, TBMAH, 및 BTMAH 제조에 사용되는 공개된 합성 방법과 유사한 방식으로 제조될 수 있다. 또다른 널리 사용되는 4급 암모늄 염기는 콜린 하이드록사이드이다. 바람직하게는, 4급 염기는 TMAH 또는 TEAH를 포함한다.
본원에 기술된 세정 조성물의 pH는 7 초과, 바람직하게는 약 10 내지 14 초과 범위, 더욱 바람직하게는 약 12 내지 약 14 범위이다. 바람직한 실시양태에서, 농축된 세정 조성물의 pH는 13 초과이다.
특히 바람직한 실시양태에서, 상기 세정 조성물은, 하나 이상의 4급 염기, 하나 이상의 아민, 피라졸 또는 이의 유도체 및 물을 포함하거나 이로 이루어지거나 또는 이로 본질적으로 이루어진다. 또 하나의 특히 바람직한 실시양태에서, 상기 세정 조성물은, 하나 이상의 4급 염기, 둘 이상의 아민, 피라졸 또는 이의 유도체 및 물을 포함하거나 이로 이루어지거나 또는 이로 본질적으로 이루어진다. 달리, 상기 세정 조성물은, 하나 이상의 4급 염기, 둘 이상의 아민, 인산, 피라졸 또는 이의 유도체 및 물을 포함하거나 이로 이루어지거나 또는 이로 본질적으로 이루어진다. 다른 대안적 실시양태에서, 상기 세정 조성물은, 하나 이상의 4급 염기, 둘 이상의 아민, 아스코르브산, 피라졸 또는 이의 유도체 및 물을 포함하거나 이로 이루어지거나 또는 이로 본질적으로 이루어진다. 특히 바람직한 실시양태에서, 상기 세정 조성물은, 하나 이상의 4급 염기, TEA, 피라졸 또는 이의 유도체 및 물을 포함하거나 이로 이루어지거나 또는 이로 본질적으로 이루어진다. 다른 특히 바람직한 실시양태에서, 상기 세정 조성물은, 하나 이상의 4급 염기, MEA, TEA, 피라졸 또는 이의 유도체 및 물을 포함하거나 이로 이루어지거나 또는 이로 본질적으로 이루어지며, 여기서 TEA의 중량%는 MEA의 중량%와 동일하거나 그보다 많다. 각 경우, 상기 조성물은, 마이크로전자 장치로부터 잔류물 물질을 제거하기 전에, 산화제, 불화물-함유 공급원, 연마제 물질, 갈산, 알칼리 및/또는 알칼리토 금속 염기, 유기 용매 및 이들의 조합물을 실질적으로 함유하지 않는다. 또한, 상기 세정 조성물은 고화되어 중합체성 고체, 예를 들면 감광제를 형성해서는 안된다.
조성적 양과 관련하여, 각 성분의 중량% 비는 바람직하게는 하기와 같다: 약 0.1:1 내지 약 50:1의 4급 염기 대 부식 억제제(바람직하게는 약 1:1 내지 약 20:1), 및 약 0.1:1 내지 약 100:1의 유기 아민 대 부식 억제제(바람직하게는 약 1:1 내지 약 20:1). 상기 세정 조성물이 MEA 및 TEA를 둘다 포함하는 경우, 바람직하게는 TEA 대 MEA의 중량% 비는 약 0.1:1 내지 약 30:1, 바람직하게는 약 1:1 내지 약 20:1, 및 가장 바람직하게는 약 5:1 내지 약 10:1이다.
상기 성분들의 중량% 비의 범위는 상기 조성물의 모든 가능한 농축되거나 희석된 실시양태를 커버할 것이다. 이를 위해, 하나의 실시태양에서, 세정 용액으로서 사용하기 위하여 희석될 수 있는 농축된 세정 조성물이 제공된다. 농축된 조성물 또는 "농축물"은 유리하게는 사용자, 예를 들면 CMP 공정 엔지니어가 사용 시점에서 목적하는 강도 및 산도로 농축물을 희석할 수 있게 한다. 농축된 세정 조성물의 희석 범위는 약 1:1 내지 약 2500:1, 바람직하게는 약 5:1 내지 약 200:1, 및 가장 바람직하게는 약 30:1 내지 약 70:1의 범위일 수 있으며, 여기서 세정 조성물은 도구(tool)에서 또는 도구 바로 앞에서 용매, 예를 들면, 탈이온수로 희석된다. 당업자는 희석후에 본원에서 개시된 성분들의 중량% 비의 범위가 변하지 않은 채로 유지되어야만 한다는 것을 인지할 것이다.
본원에 기술된 조성물은, 비제한적으로, 에칭-후 잔류물 제거, 에슁 후 잔류물 제거 표면 제조, 도금 후 세정 및 CMP-후 잔류물 제거를 포함하는 용도에 유용성을 가질 수 있다. 또한, 본원에 기술된 세정 조성물은, 비제한적으로 장식 금속, 금속 배선 결합, 인쇄 회로 기판 및 기타의 금속 또는 금속 합금 사용 전기적 패키징을 비롯한 다른 금속 제품의 세정 및 보호에 유용할 수 있다. 유리하게도, 상기 세정 조성물은, 마이크로전자 장치 상의 물질, 예를 들면 전도성 금속, 저-k 유전체 및 장벽 층 물질(예를 들면 코발트 함유 물질)과 상용가능하다. 또한, 상기 세정 조성물은 CMP-후 세정 후에 초저 k-유전체 물질 상에 워터 마크 잔류를 최소화한다.
또 하나의 바람직한 실시양태에서, 본원에 기술된 상기 세정 조성물은 잔류물 및/또는 오염물을 추가로 포함한다. 상기 잔류물 및/또는 오염물은 상기 조성물에 용해되고/되거나 현탁될 수 있다. 바람직하게는, 상기 잔류물은 CMP-후 잔류물, 에칭-후 잔류물, 애슁-후 잔류물, 오염물 또는 이들의 조합물을 포함한다.
본원에 기재된 세정 조성물은, 개별적인 구성성분을 단순 첨가하고 균질한 상태로 혼합함으로써 용이하게 배합된다. 또한, 상기 조성물은, 단일-패키지 배합물로서 또는 사용 시점에 또는 사용 시점 이전에 혼합되는 다중-부분 배합물로서, 용이하게 배합될 수 있다. 예를 들면, 다중-부분 배합물의 개별적인 부분들은 도구에서 또는 도구 상류의 저장 탱크에서 혼합될 수 있다. 개별적인 구성성분의 농도는 조성의 특정 배율로 넓게 변할 수 있으며(즉, 더 희석되던가 또는 더 농축될 수 있음), 본원에 기재된 조성물은 본원의 개시내용과 일치되는 구성성분의 임의의 조합을 다양하게 택일적으로 포함하거나 이로 구성되거나 또는 이로 본질적으로 이루어질 수 있음을 이해할 것이다.
따라서, 다른 양태는, 하나 이상의 용기에, 본원에 기재된 조성물을 생성시키는데 적합한 하나 이상의 성분을 포함하는 키트에 관한 것이다. 바람직하게는, 상기 키트는, 제조공장에서 또는 사용 시점에서 추가의 용매(예를 들면 물)와 합치기 위하여, 하나 이상의 용기에 하나 이상의 4급 염기, 하나 이상의 아민, 하나 이상의 부식 억제제, 및 하나 이상의 용매를 포함한다. 상기 키트의 용기는 상기 세정 조성물 성분을 저장 및 수송하는데 적합해야 하며, 예를 들어 나우팩(NOWPak)® 용기[어드밴스드 테크놀로지 머티리얼즈 인코포레이티드(Advanced Technology Materials, Inc.), 미국 코네티컷주 댄버리 소재]이다.
상기 세정 조성물의 성분을 함유하는 하나 이상의 용기는 바람직하게는, 상기 하나 이상의 용기 내의 성분들을 혼합 및 분배하기 위하여 유체 연통시키기 위한 수단을 포함한다. 예를 들어, 나우팩® 용기를 참조하면, 상기 하나 이상의 용기의 라이너 외부에 기체 압력을 가하여, 라이너의 내용물중 적어도 일부를 방출시키고, 따라서 혼합 및 분배하기 위한 유체 연통을 가능하게 할 수 있다. 다르게는, 통상적인 가압가능한 용기의 헤드 스페이스에 기체 압력을 가하거나 또는 펌프를 사용하여 유체 연통을 가능케 할 수 있다. 또한, 상기 시스템은 바람직하게는 혼합된 세정 조성물을 공정 도구에 분배하기 위한 분배 포트를 포함한다.
고밀도 폴리에틸렌 같은 실질적으로 화학적으로 불활성이고 불순물을 함유하지 않으며 가요성이고 탄성인 중합체 필름 물질을 바람직하게 사용하여 상기 하나 이상의 용기의 라이너를 제조한다. 공압출 또는 차단 층을 필요로 하지 않고, 라이너에 배치되어야 하는 성분에 대한 순도 조건에 불리하게 영향을 끼칠 수 있는 임의의 안료, UV 억제제 또는 가공제 없이, 바람직한 라이너 물질을 가공한다. 바람직한 라이너 물질의 목록은 순수한(첨가제를 함유하지 않음) 폴리에틸렌, 순수한 폴리테트라플루오로에틸렌(PTFE), 폴리프로필렌, 폴리우레탄, 폴리비닐리덴 클로라이드, 폴리비닐클로라이드, 폴리아세탈, 폴리스타이렌, 폴리아크릴로나이트릴, 폴리뷰틸렌 등을 포함하는 필름을 포함한다. 이러한 라이너 물질의 바람직한 두께는 약 5밀(0.005인치) 내지 약 30밀(0.030인치), 예를 들어 20밀(0.020인치)이다.
키트용 용기와 관련하여, 하기 특허 및 특허원의 개시내용을 본원에 참고로 인용한다: 미국 특허 제 7,188,644 호(발명의 명칭: "초순도 액체중의 입자의 생성을 최소화하기 위한 장치 및 방법"); 미국 특허 제 6,698,619 호(발명의 명칭: "회수가능하고 재사용가능한 백-인-드럼(bag-in-drum) 유체 저장 및 분배 용기 시스템"); 및 2008년 5월 9일자로 어드밴스드 테크놀로지 머티리얼즈 인코포레이티드의 명의로 출원된 PCT/US08/63276 호(발명의 명칭: "물질 혼합 및 분배를 위한 시스템 및 방법").
마이크로전자 장치 제조 작업에 적용되는 경우, 본원에 기술된 세정 조성물은, 마이크로전자 장치의 표면으로부터 CMP-후 잔류물 및/또는 오염물, 예를 들면 BTA를 세정하는데 유용하게 사용된다. 상기 세정 조성물은 장치 표면상의 저-k 유전체 물질에 손상을 끼치지 않으며 금속 상호접속부, 예를 들면 구리를 부식시키지 않는다. 또한, 상기 세정 조성물은 탄탈륨(Ta), 질화 탄탈륨(TaNx), 티타늄(Ti), 질화 티타늄(TiN), 루테늄(Ru), 코발트(Co), 망간(Mn), 몰리브덴(Mo), 레늄(Re), 및 이들의 합금을 포함하는 장벽층 물질과 상용가능하다. 또한, 상기 세정 조성물은, 마이크로전자 장치 표면 상에 존재하는 초저 k-유전체 물질 상의 워터 마크 잔류를 최소화한다. 바람직하게는 상기 세정 조성물은, 잔류물 제거 전에 장치 상에 존재하는 잔류물 및 오염물의 85% 이상, 더욱 바람직하게는 90% 이상, 더 더욱 바람직하게는 95% 이상, 가장 바람직하게는 99% 이상을 제거한다.
CMP-후 잔류물 및 오염물 세정 용도에서, 상기 세정 조성물은, 다양한 통상적인 세정 도구, 예를 들면 메가소닉스 및 브러쉬 스크러빙, 예를 들면 비제한적으로, 버텍(Verteq) 단일 웨이퍼 메가소닉 골드핑거(Goldfinger), 온트랙(OnTrak) 시스템 DDS(이중-면(double-sided) 스크러버), SEZ, 또는 다른 단일 웨이퍼 분무 린스, 어플라이드 머티리얼즈 미라-메사(Applied Materials Mirra-Mesa)(등록상표)/리플렉시온(Reflexion)(등록상표)/리플렉시온 LK(등록상표), 및 메가소닉(Megasonic) 배취 습식 벤치 시스템으로 이용될 수 있다.
또 하나의 양태에서, 상부에 CMP-후 잔류물, 에칭-후 잔류물, 애슁-후 잔류물 및/또는 오염물을 가진 마이크로전자 장치로부터 상기 물질들을 세정하기 위해 본원에 기술된 조성물을 사용하는 방법이 기술되며, 이때 상기 세정 조성물은 전형적으로 상기 장치와 약 5초 내지 약 30분, 바람직하게는 약 1초 내지 20분, 바람직하게는 약 15초 내지 약 5분의 기간 동안 약 20 내지 약 90℃, 바람직하게는 약 20 내지 약 50℃ 범위의 온도에서 접촉된다. 그러한 접촉 시간 및 온도는 예시적이며, 상기 방법의 넓은 실시양태에서, 상기 장치로부터 CMP-후 잔류물/오염물을 적어도 부분적으로 세정하는데 효과적인 임의의 다른 적합한 시간 및 온도 조건이 사용될 수 있다. "적어도 부분적으로 세정" 및 "실질적으로 제거"는 둘다, 잔류물 제거 전에 장치 상에 존재하는 잔류물/오염물의 85% 이상, 더욱 바람직하게는 90% 이상, 더 더욱 바람직하게는 95% 이상, 가장 바람직하게는 99% 이상의 제거율에 상응한다.
목적하는 세정 작용을 달성한 후, 세정 조성물은 이전에 가한 장치로부터 용이하게 제거될 수 있으며, 이는 본원에 기재된 조성물의 주어진 최종 사용 용도에서 바람직하고 효과적일 수 있다. 바람직하게는, 린스 용액은 탈이온수를 포함한다. 이후, 상기 장치는 질소 또는 회전-건조 사이클에 의해 건조될 수 있다.
다른 양태는, 본원에 기재된 방법에 따라 제조된 개선된 마이크로전자 장치 및 이러한 마이크로전자 장치를 포함하는 제품에 관한 것이다. 바람직하게는, 상기 마이크로전자 장치는 루테늄을 포함한다.
또 다른 양태는 재순환된 세정 조성물에 관한 것으로, 이때 세정 조성물은, 잔류물 및/또는 오염물 담지량이 세정 조성물이 수용할 수 있는 최대량(이는 당분야의 숙련가들에 의해 용이하게 결정됨)에 도달할 때까지 재순환될 수 있다.
또 다른 양태는, 상기 마이크로전자 장치를 포함하는 제품을 제조하는 방법에 관한 것이며, 이 방법은, 본원에 기술된 세정 조성물을 사용하여, 상부에 CMP-후 잔류물 및 오염물을 가진 마이크로전자 장치로부터 상기 잔류물 및 오염물을 세정하기에 충분한 시간 동안 상기 마이크로전자 장치를 세정 조성물과 접촉시키고, 상기 마이크로전자 장치를 제품 내로 통합시킴을 포함한다. 바람직하게는, 상기 마이크로전자 장치는, 본원에 기술된 바와 같이 구리가 저-k 유전체 물질내로 확산되는 것을 방지하는 루테늄 장벽층을 포함한다.
또 다른 양태에서, 상부에 CMP-후 잔류물 및 오염물을 가진 마이크로전자 장치로부터 상기 잔류물 및 오염물을 제거하는 방법이 기술되며, 상기 방법은
상기 마이크로전자 장치를 CMP 슬러리로 연마하는 단계;
상기 마이크로전자 장치를, 하나 이상의 4급 염기, 하나 이상의 아민, 하나 이상의 부식 억제제, 및 하나 이상의 용매를 포함하는 조성물과, 상기 마이크로전자 장치로부터 CMP-후 잔류물 및 오염물을 제거하기에 충분한 시간 동안 접촉시켜, CMP-후 잔류물-함유 조성물을 형성하는 단계; 및
상기 마이크로전자 장치를, 상기 마이크로전자 장치의 실질적인 세정을 수행하기에 충분한 시간 동안 상기 마이크로전자 장치를 상기 CMP-후 잔류물-함유 조성물과 연속적으로 접촉시키는 단계
를 포함한다.
또 다른 양태는, 세정 조성물, 마이크로전자 장치 웨이퍼, 및 잔류물, 오염물 및 이들의 조합물로 이루어진 그룹 중에서 선택된 물질을 포함하는 제품에 관한 것으로, 이때 상기 세정 조성물은 하나 이상의 4급 염기, 하나 이상의 아민, 하나 이상의 부식 억제제, 및 하나 이상의 용매를 포함하고, 상기 잔류물은 CMP-후 잔류물, 에칭-후 잔류물 및 애슁-후 잔류물 중 하나 이상을 포함한다.
또 하나의 양태는 마이크로전자 장치의 제조 방법에 관한 것이며, 이 제조 방법은,
저-k 유전체 물질 내로 패턴을 에칭하는 단계;
에칭된 저-k 유전체 물질 상에 실질적으로 등방성 장벽 층을 침착시키는 단계;
상기 장벽 층 상에 금속 전도층을 침착시키는 단계;
상기 마이크로전자 장치를 CMP 슬러리로 화학적 기계적 연마하여 상기 금속 전도층 및 상기 장벽층을 제거하여 상기 저-k 유전체 물질을 노출시키는 단계; 및
상기 마이크로전자 장치를, 하나 이상의 4급 염기, 하나 이상의 아민, 하나 이상의 부식 억제제, 및 하나 이상의 용매를 포함하는 세정 조성물과, 상기 마이크로전자 장치로부터 CMP-후 잔류물 및 오염물을 제거하기에 충분한 시간 동안 접촉시켜 CMP-후 잔류물-함유 조성물을 형성하는 단계
를 포함하고, 이때 상기 장벽층은 탄탈륨(Ta), 질화 탄탈륨(TaNx), 티타늄(Ti), 질화 티타늄(TiN), 루테늄(Ru), 코발트(Co), 망간(Mn), 몰리브덴(Mo), 레늄(Re), 및 이들의 합금으로 이루어진 그룹 중에서 선택된 종을 포함한다.
또 하나의 양태는, 상호접속 금속(예를 들면, 구리), 장벽 층(예를 들면, 루테늄) 및 저-k 유전체 물질에 손상을 입히지 않고 상기 마이크로전자 장치 구조물로부터 잔류물 및 오염물, 예를 들면 CMP-후 잔류물, 에칭-후 잔류물, 애슁-후 잔류물을 세정하기 위한 조성물에 관한 것이며, 이때 상기 조성물은 하나 이상의 4급 염기, 하나 이상의 부식 억제제, 및 하나 이상의 용매로 이루어진다. 4급 염기, 부식 억제제 및 용매의 종은 본원에 전술되어 있다. 특히, 이 양태의 조성물은 알칸올아민 및 하이드록실아민을 함유하지 않는다.
상기 특징 및 이점은 하기에 기술하는 예시적 실시예에 의해 더욱 자세히 보여준다.
실시예 1
하기 용액을 표 1에 도시한 바와 같이 제조하였다. 나머지 성분들은 탈이온수였다.
표 1
Figure pct00001

각각의 배합물을 물로 60:1로 희석하고, BTA 잔류물을 포함하는 쿠폰(coupon) 및 구리 금속으로 이루어진 쿠폰을 각각의 용액에 30분 동안 25℃에서 400 rpm으로 침지하였다. 침지 후에, 각각의 쿠폰을 물로 30초 동안 린스하였다. 탈이온수 대비 배합물 1 내지 4의 BTA 제거 능력을 하기 표 2에 나타내었다.
표 2
Figure pct00002

구리 에칭 속도 면에서, 표 1의 배합물 1 내지 11은 모두 약 1Å/분 이하의 구리 에칭 속도를 가졌다. BTA 제거 면에서, 표 1의 배합물 1 내지 11은 모두 탈이온수보다 많거나 그와 동일한 양으로 BTA를 제거하였다.
실시예 2
배합물 A 내지 K(나머지 성분 탈이온수)를 제조하였다.
Figure pct00003

각각의 배합물을 물로 60:1로 희석하고, 구리 쿠폰을 각각의 용액에 30분 동안 25℃에서 400 rpm으로 침지하였다. 침지 후에, 각각의 쿠폰을 물로 30초 동안 린스하였다. 각각의 배합물에 대한 구리 에칭 속도를 결정하고 하기 표에 나타내었다.
Figure pct00004

본 발명을 예시적인 실시양태 및 특징을 참조로 본원에서 다양하게 기술하였지만, 상술한 실시양태 및 특징은 본 발명을 제한하려는 것이 아니며, 본원 개시내용을 기초로 다른 변화, 변경 및 다른 실시양태가 당분야의 숙련가들에게 제시될 것이다. 따라서 본 발명은 이후 기술하는 청구범위의 진의 및 범주 내에서 그러한 변화, 변경 및 대안적 실시양태를 포함하는 것으로 넓게 간주된다.

Claims (27)

  1. 상부에 잔류물 및 오염물을 가진 마이크로전자 장치로부터 상기 잔류물 및 오염물을 제거하는 방법으로서,
    상기 방법은, 상기 마이크로전자 장치로부터 잔류물 및 오염물을 적어도 부분적으로 세정하기에 충분한 시간 동안 상기 마이크로전자 장치를 세정 조성물과 접촉시키는 단계를 포함하며,
    상기 세정 조성물은 하나 이상의 4급 염기, 하나 이상의 아민, 하나 이상의 부식 억제제, 및 하나 이상의 용매를 포함하며,
    상기 마이크로전자 장치는, 구리가 저-k 유전체 물질 내로 확산되는 것을 감소시키는 노출된 장벽층을 포함하는, 방법.
  2. 제 1 항에 있어서,
    상기 세정 조성물은, 상호접속부(interconnect), 장벽 층 및 저-k 유전체 물질에 손상을 입히지 않고 마이크로전자 장치 구조물로부터 잔류물 및 오염물을 세정하는데 특히 유용한, 방법.
  3. 제 2 항에 있어서,
    상기 잔류물이 CMP-후(post-CMP) 잔류물, 에칭-후(post-etch) 잔류물, 및 애슁-후(post-ash) 잔류물로 이루어진 그룹 중에서 선택되는, 방법.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 세정 조성물이, 상기 마이크로전자 장치로부터 잔류물 물질을 제거하기 전에, 산화제, 불화물-함유 공급원, 연마제 물질, 갈산(gallic acid), 알칼리 및/또는 알칼리토 금속 염기, 유기 용매 및 이들의 조합물을 실질적으로 함유하지 않는, 방법.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 하나 이상의 부식 억제제가 피라졸, 피라졸 유도체, 인산, 인산 유도체, 아스코르브산, 아데노신, 아데노신 유도체, 또는 이들의 조합물을 포함하는, 방법.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 하나 이상의 부식 억제제가 피라졸 또는 피라졸 유도체를 포함하는, 방법.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 하나 이상의 아민이, 아미노에틸에탄올아민, N-메틸아미노에탄올, 아미노에톡시에탄올, 다이메틸아미노에톡시에탄올, 다이에탄올아민, N-메틸다이에탄올아민, 모노에탄올아민, 트라이에탄올아민, 1-아미노-2-프로판올, 2-아미노-1-부탄올, 이소부탄올아민, 트라이에틸렌다이아민, 테트라에틸렌펜타아민(TEPA), 4-(2-하이드록시에틸)모폴린(HEM), N-아미노에틸피파라진(N-AEP), 에틸렌다이아민테트라아세트산(EDTA), 1,2-사이클로헥산다이아민-N,N,N',N'-테트라아세트산(CDTA), 이미노다이아세트산(IDA), 2-(하이드록시에틸)이미노다이아세트산(HIDA), 나이트릴로트라이아세트산, 트라이메틸아민-N-옥사이드(TMAO) 및 이들의 조합물로 이루어진 그룹 중에서 선택된 종을 포함하는, 방법.
  8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 하나 이상의 아민이 모노에탄올아민, 트라이에탄올아민, 또는 모노에탄올아민과 트라이에탄올아민의 조합물을 포함하는, 방법.
  9. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 하나 이상의 4급 염기가 테트라에틸암모늄 하이드록사이드(TEAH), 테트라메틸암모늄 하이드록사이드(TMAH), 테트라프로필암모늄 하이드록사이드(TPAH), 테트라부틸암모늄 하이드록사이드(TBAH), 트라이부틸메틸암모늄 하이드록사이드(TBMAH), 벤질트라이메틸암모늄 하이드록사이드(BTMAH), 콜린 하이드록사이드 및 이들의 조합물로 이루어진 그룹 중에서 선택된 종을 포함하는, 방법.
  10. 제 1 항 내지 제 9 항 중 어느 한 항에 있어서,
    상기 하나 이상의 4급 염기가 TMAH를 포함하는, 방법.
  11. 제 1 항 내지 제 10 항 중 어느 한 항에 있어서,
    상기 하나 이상의 용매가 물을 포함하는, 방법.
  12. 제 1 항 내지 제 11 항 중 어느 한 항에 있어서,
    상기 세정 조성물의 pH가 약 10 내지 14 초과 범위인, 방법.
  13. 제 1 항 내지 제 12 항 중 어느 한 항에 있어서,
    하나 이상의 4급 염기, 트라이에탄올아민, 파라졸 및 물을 포함하는, 방법.
  14. 제 1 항 내지 제 13 항 중 어느 한 항에 있어서,
    상기 노출된 장벽 층이 코발트, 루테늄 또는 망간을 포함하는, 방법.
  15. 제 1 항 내지 제 14 항 중 어느 한 항에 있어서,
    상기 CMP-후 잔류물이, CMP 연마 슬러리로부터의 입자, CMP 연마 슬러리중에 존재하는 화학물질, CMP 연마 슬러리의 반응 부산물, 탄소-풍부 입자, 연마 패드 입자, 브러쉬 탈리 입자(brush deloading particle), 구성 입자의 장비 물질, 구리, 구리 산화물, 및 이들의 조합물로 이루어진 그룹 중에서 선택된 물질을 포함하는, 방법.
  16. 제 1 항 내지 제 15 항 중 어느 한 항에 있어서,
    상기 접촉이, 약 15초 내지 약 5분의 시간, 약 20 내지 약 50℃ 범위의 온도 및 이들의 조합으로 이루어진 그룹 중에서 선택된 조건을 포함하는, 방법.
  17. 제 1 항 내지 제 16 항 중 어느 한 항에 있어서,
    사용 시점에 또는 사용 시점 전에 상기 세정 조성물을 용매로 희석하는 것을 추가로 포함하는 방법.
  18. 제 17 항에 있어서,
    상기 용매가 물을 포함하는, 방법.
  19. 제 1 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 마이크로전자 장치가 구리-함유 물질을 포함하는, 방법.
  20. 제 1 항 내지 제 19 항 중 어느 한 항에 있어서,
    세정 조성물과의 접촉 후에 상기 마이크로전자 장치를 탈이온수로 린스하는 것을 추가로 포함하는 방법.
  21. 마이크로전자 장치의 제조 방법으로서,
    저-k 유전체 물질 내로 패턴을 에칭하는 단계;
    에칭된 저-k 유전체 물질 상에 실질적으로 등방성 장벽 층을 침착시키는 단계;
    상기 장벽 층 상에 금속 전도층을 침착시키는 단계;
    상기 마이크로전자 장치를 CMP 슬러리로 화학적 기계적 연마하여 상기 금속 전도층 및 상기 장벽층을 제거하여 상기 저-k 유전체 물질을 노출시키는 단계; 및
    상기 마이크로전자 장치를, 하나 이상의 4급 염기, 하나 이상의 아민, 하나 이상의 부식 억제제, 및 하나 이상의 용매를 포함하는 조성물과, 상기 마이크로전자 장치로부터 CMP-후 잔류물 및 오염물을 제거하기에 충분한 시간 동안 접촉시켜, CMP-후 잔류물-함유 조성물을 형성하는 단계
    를 포함하되, 이때
    상기 장벽층이 탄탈륨(Ta), 질화 탄탈륨(TaNx), 티타늄(Ti), 질화 티타늄(TiN), 루테늄(Ru), 코발트(Co), 망간(Mn), 몰리브덴(Mo), 레늄(Re), 및 이들의 합금으로 이루어진 그룹 중에서 선택된 종을 포함하는, 방법.
  22. 하나 이상의 4급 염기, 하나 이상의 아민, 하나 이상의 부식 억제제, 및 하나 이상의 용매를 포함하되, 상기 하나 이상의 부식 억제제가 피라졸, 피라졸 유도체, 인산, 인산 유도체, 아스코르브산, 아데노신, 아데노신 유도체, 또는 이들의 조합물을 포함하는, 세정 조성물
  23. 제 22 항에 있어서,
    pH가 약 10 내지 약 14 범위인, 세정 조성물.
  24. 제 22 항 또는 제 23 항에 있어서,
    상기 세정 조성물이, 상부에 잔류물 및 오염물을 가진 마이크로전자 장치로부터 상기 잔류물 및 오염물을 적어도 부분적으로 세정하는, 세정 조성물.
  25. 제 24 항에 있어서,
    상기 잔류물이 CMP-후 잔류물, 에칭-후 잔류물, 및 애슁-후 잔류물로 이루어진 그룹 중에서 선택되는, 세정 조성물.
  26. 제 22 항 내지 제 25 항 중 어느 한 항에 있어서,
    상기 마이크로전자 장치는 노출된 코발트 또는 루테늄을 포함하는, 세정 조성물.
  27. 상호접속 금속, 장벽 층 및 저-k 유전체 물질에 손상을 입히지 않고 마이크로전자 장치 구조물로부터 잔류물 및 오염물을 세정하기 위한 조성물로서,
    하나 이상의 4급 염기, 하나 이상의 부식 억제제, 및 하나 이상의 용매를 포함하며, 알칸올아민 및 하이드록실아민을 실질적으로 함유하지 않는 조성물.
KR20147035461A 2012-05-18 2013-05-17 유기 잔류물 제거 개선을 위한 낮은 구리 에칭 속도를 가진 수성 세정 용액 KR20150013830A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261648937P 2012-05-18 2012-05-18
US61/648,937 2012-05-18
US201261695548P 2012-08-31 2012-08-31
US61/695,548 2012-08-31
PCT/US2013/041634 WO2013173743A2 (en) 2012-05-18 2013-05-17 Aqueous clean solution with low copper etch rate for organic residue removal improvement

Publications (1)

Publication Number Publication Date
KR20150013830A true KR20150013830A (ko) 2015-02-05

Family

ID=49584473

Family Applications (1)

Application Number Title Priority Date Filing Date
KR20147035461A KR20150013830A (ko) 2012-05-18 2013-05-17 유기 잔류물 제거 개선을 위한 낮은 구리 에칭 속도를 가진 수성 세정 용액

Country Status (8)

Country Link
US (1) US20150114429A1 (ko)
EP (1) EP2850651A4 (ko)
JP (1) JP2015524165A (ko)
KR (1) KR20150013830A (ko)
CN (1) CN104395989A (ko)
SG (1) SG11201407657YA (ko)
TW (1) TW201404877A (ko)
WO (1) WO2013173743A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170074466A (ko) * 2015-12-22 2017-06-30 주식회사 케이씨텍 폴리실리콘막 연마용 슬러리 조성물
KR20210117228A (ko) * 2015-02-23 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 표면 패시베이션의 제거

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013142250A1 (en) * 2012-03-18 2013-09-26 Advanced Technology Materials, Inc. Post-cmp formulation having improved barrier layer compatibility and cleaning performance
US9540408B2 (en) 2012-09-25 2017-01-10 Entegris, Inc. Cobalt precursors for low temperature ALD or CVD of cobalt-based thin films
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
SG11201507014RA (en) 2013-03-04 2015-10-29 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
CN105683336A (zh) 2013-06-06 2016-06-15 高级技术材料公司 用于选择性蚀刻氮化钛的组合物和方法
CN112442374A (zh) 2013-07-31 2021-03-05 恩特格里斯公司 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂
SG11201601158VA (en) 2013-08-30 2016-03-30 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
TWI654340B (zh) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
EP3084809A4 (en) 2013-12-20 2017-08-23 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
KR102290209B1 (ko) 2013-12-31 2021-08-20 엔테그리스, 아이엔씨. 규소 및 게르마늄을 선택적으로 에칭하기 위한 배합물
US20160340620A1 (en) * 2014-01-29 2016-11-24 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
JP2015203047A (ja) * 2014-04-11 2015-11-16 三菱化学株式会社 半導体デバイス用基板洗浄液及び半導体デバイス用基板の洗浄方法
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
CN104233358B (zh) * 2014-09-10 2016-12-07 句容金猴机械研究所有限公司 一种机械设备除锈剂及其制备方法
KR102487441B1 (ko) * 2014-09-14 2023-01-12 엔테그리스, 아이엔씨. 구리 및 유전체 상의 코발트 침착 선택성
TWI726859B (zh) * 2015-01-05 2021-05-11 美商恩特葛瑞斯股份有限公司 後化學機械拋光配方及使用之方法
EP3245668B1 (en) * 2015-01-13 2021-06-30 CMC Materials, Inc. Cleaning composition and method for cleaning semiconductor wafers after cmp
US9976111B2 (en) * 2015-05-01 2018-05-22 Versum Materials Us, Llc TiN hard mask and etch residual removal
KR102088653B1 (ko) * 2016-04-28 2020-03-13 후지필름 가부시키가이샤 처리액 및 처리액 수용체
KR102051346B1 (ko) 2016-06-03 2019-12-03 후지필름 가부시키가이샤 처리액, 기판 세정 방법 및 레지스트의 제거 방법
KR20180069185A (ko) * 2016-12-14 2018-06-25 삼성전자주식회사 기판 가공 방법 및 접착층 세정 조성물
TWI703210B (zh) * 2017-04-11 2020-09-01 美商恩特葛瑞斯股份有限公司 化學機械研磨後調配物及使用方法
CN107460532B (zh) * 2017-04-12 2018-12-07 广州市双石金属制品有限公司 一种真空离子镀电化学退镀液配方
CN107419326B (zh) * 2017-04-12 2018-12-07 广州市双石金属制品有限公司 一种真空离子镀电化学退镀液配方
WO2019110681A1 (en) * 2017-12-08 2019-06-13 Basf Se Cleaning composition for post-etch or post ash residue removal from a semiconductor substrate and corresponding manufacturing process
CN110713868A (zh) * 2018-07-13 2020-01-21 巴斯夫欧洲公司 可移除氮化钛的蚀刻后残渣清理溶液
WO2020018804A1 (en) 2018-07-20 2020-01-23 Entegris, Inc. Cleaning composition with corrosion inhibitor
JP7220040B2 (ja) 2018-09-20 2023-02-09 関東化学株式会社 洗浄液組成物
CN112996893A (zh) * 2018-11-08 2021-06-18 恩特格里斯公司 化学机械研磨后(post cmp)清洁组合物
CN110499511B (zh) * 2019-09-03 2021-08-31 中国石油天然气股份有限公司 一种超临界二氧化碳下碳钢缓蚀剂及其制备方法
CN110592568A (zh) * 2019-09-16 2019-12-20 铜陵市华创新材料有限公司 环保负极集流体防氧化液及其制备和使用方法
EP4136273A4 (en) * 2020-04-14 2024-05-01 Entegris Inc METHOD AND COMPOSITION FOR ETCHING MOLYBDENUM
CN113921383B (zh) 2021-09-14 2022-06-03 浙江奥首材料科技有限公司 一种铜表面钝化组合物、其用途及包含其的光刻胶剥离液
US20230399754A1 (en) * 2022-06-08 2023-12-14 Entegris, Inc. Cleaning composition with molybdenum etching inhibitor
CN115160933B (zh) * 2022-07-27 2023-11-28 河北工业大学 一种用于钴互连集成电路钴cmp的碱性抛光液及其制备方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6723691B2 (en) * 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US7393819B2 (en) * 2002-07-08 2008-07-01 Mallinckrodt Baker, Inc. Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
SG129274A1 (en) * 2003-02-19 2007-02-26 Mitsubishi Gas Chemical Co Cleaaning solution and cleaning process using the solution
WO2007120259A2 (en) * 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
US20080076688A1 (en) * 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
KR101644763B1 (ko) * 2007-05-17 2016-08-01 엔테그리스, 아이엔씨. Cmp후 세정 제제용 신규한 항산화제
US7919446B1 (en) * 2007-12-28 2011-04-05 Intermolecular, Inc. Post-CMP cleaning compositions and methods of using same
US8357646B2 (en) * 2008-03-07 2013-01-22 Air Products And Chemicals, Inc. Stripper for dry film removal
KR101752684B1 (ko) * 2008-10-21 2017-07-04 엔테그리스, 아이엔씨. 구리 세척 및 보호 조성물
US8754021B2 (en) * 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
SG10201500387RA (en) * 2010-01-29 2015-04-29 Entegris Inc Cleaning agent for semiconductor provided with metal wiring
US8673783B2 (en) * 2010-07-02 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Metal conductor chemical mechanical polish
WO2013142250A1 (en) * 2012-03-18 2013-09-26 Advanced Technology Materials, Inc. Post-cmp formulation having improved barrier layer compatibility and cleaning performance

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210117228A (ko) * 2015-02-23 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 표면 패시베이션의 제거
KR20170074466A (ko) * 2015-12-22 2017-06-30 주식회사 케이씨텍 폴리실리콘막 연마용 슬러리 조성물

Also Published As

Publication number Publication date
US20150114429A1 (en) 2015-04-30
CN104395989A (zh) 2015-03-04
TW201404877A (zh) 2014-02-01
EP2850651A4 (en) 2016-03-09
WO2013173743A3 (en) 2014-02-20
EP2850651A2 (en) 2015-03-25
SG11201407657YA (en) 2014-12-30
JP2015524165A (ja) 2015-08-20
WO2013173743A2 (en) 2013-11-21

Similar Documents

Publication Publication Date Title
KR20150013830A (ko) 유기 잔류물 제거 개선을 위한 낮은 구리 에칭 속도를 가진 수성 세정 용액
JP6133959B2 (ja) 銅の洗浄及び保護配合物
KR101833158B1 (ko) Cmp후 세정 제제용 신규한 항산화제
US20150045277A1 (en) Post-cmp formulation having improved barrier layer compatibility and cleaning performance
EP2989231A1 (en) Copper cleaning and protection formulations
EP2997122A1 (en) Compositions and methods for removing ceria particles from a surface
WO2013138278A1 (en) Copper cleaning and protection formulations
KR102531512B1 (ko) Cmp 후 세정 조성물

Legal Events

Date Code Title Description
N231 Notification of change of applicant
N231 Notification of change of applicant
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid