KR101833158B1 - Cmp후 세정 제제용 신규한 항산화제 - Google Patents

Cmp후 세정 제제용 신규한 항산화제 Download PDF

Info

Publication number
KR101833158B1
KR101833158B1 KR1020167019997A KR20167019997A KR101833158B1 KR 101833158 B1 KR101833158 B1 KR 101833158B1 KR 1020167019997 A KR1020167019997 A KR 1020167019997A KR 20167019997 A KR20167019997 A KR 20167019997A KR 101833158 B1 KR101833158 B1 KR 101833158B1
Authority
KR
South Korea
Prior art keywords
acid
derivatives
cleaning composition
residues
delete delete
Prior art date
Application number
KR1020167019997A
Other languages
English (en)
Other versions
KR20160091450A (ko
Inventor
펑 장
제프리 바네스
프레르나 손탈리아
엠마누엘 쿠퍼
칼 보그스
Original Assignee
엔테그리스, 아이엔씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엔테그리스, 아이엔씨. filed Critical 엔테그리스, 아이엔씨.
Publication of KR20160091450A publication Critical patent/KR20160091450A/ko
Application granted granted Critical
Publication of KR101833158B1 publication Critical patent/KR101833158B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D11/00Special methods for preparing compositions containing mixtures of detergents ; Methods for using cleaning compositions
    • C11D11/0005Special cleaning or washing methods
    • C11D11/0011Special cleaning or washing methods characterised by the objects to be cleaned
    • C11D11/0023"Hard" surfaces
    • C11D11/0047Electronic devices, e.g. PCBs or semiconductors
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0084Antioxidants; Free-radical scavengers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F11/00Inhibiting corrosion of metallic material by applying inhibitors to the surface in danger of corrosion or adding them to the corrosive agent
    • C23F11/08Inhibiting corrosion of metallic material by applying inhibitors to the surface in danger of corrosion or adding them to the corrosive agent in other liquids
    • C23F11/10Inhibiting corrosion of metallic material by applying inhibitors to the surface in danger of corrosion or adding them to the corrosive agent in other liquids using organic inhibitors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/16Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions using inhibitors
    • C23G1/18Organic inhibitors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/24Cleaning or pickling metallic material with solutions or molten salts with neutral solutions
    • C23G1/26Cleaning or pickling metallic material with solutions or molten salts with neutral solutions using inhibitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • C11D2111/22

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Biochemistry (AREA)
  • Electromagnetism (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)

Abstract

본 발명은 위에 화학 기계적 연마(CMP)후 잔류물 및 오염물을 갖는 마이크로전자 장치로부터 상기 CMP후 잔류물 및 오염물을 세정하기 위한 세정 조성물 및 방법에 관한 것이다. 그 조성물은 저-k 유전체 물질 또는 구리 인터커넥트 물질을 손상시키는 일 없이 마이크로전자 장치의 표면으로부터 CMP후 잔류물 및 오염물의 효과적인 세정을 고도로 달성한다.

Description

CMP후 세정 제제용 신규한 항산화제{NEW ANTIOXIDANTS FOR POST-CMP CLEANING FORMULATIONS}
본 발명은 일반적으로 위에 잔류물 및/또는 오염물을 갖는 마이크로전자 장치로부터 그 잔류물 및/또는 오염물을 세정하기 위한 항산화제 함유 조성물에 관한 것이다.
마이크로전자 장치 웨이퍼는 집적 회로를 형성시키는데 사용된다. 그 마이크로전자 장치 웨이퍼는 규소와 같은 기판을 포함하고, 그 기판 내로는 절연성, 전도성 또는 반전도성 특성을 갖는 상이한 물질들의 침착을 위한 영역들이 패턴화되어 있다.
정확한 패턴화를 얻기 위해서는, 기판 상에 층들을 형성시키는데 사용된 과량 물질이 제거되어야 한다. 또한, 기능성 및 신뢰성 회로를 제작하기 위해서, 후속 처리 이전에 평평하거나 평탄한 마이크로전자 웨이퍼 표면을 제조하는 것이 중요하다. 따라서, 마이크로전자 장치 웨이퍼의 특정 표면을 제거 및/또는 연마하는 것이 필요하다.
화학 기계적 연마 또는 평탄화("CMP(Chemical Mechanical Polishing or Planarization)")는 임의 물질이 마이크로전자 장치 웨이퍼의 표면으로부터 제거되고, 그 표면이 연마와 같은 물리적 공정을 산화 또는 킬레이트화와 같은 화학 공정과 협력하여 연마되는(보다 구체적으로, 평탄화)되는 공정이다. 가장 기본적인 형태에서, CMP는 슬러리, 예를 들면 연마제 및 활성 화합물의 용액을, 마이크전자 장치 웨이퍼의 표면을 버핑하여 제거, 평탄화 및 연마 공정을 달성하는 연마 패드에 도포하는 것을 수반한다. 제거 또는 연마 공정은 신속한 균일 제거를 달성하기 위해서 순전히 물리적 작용 또는 순전히 화학적 작용으로 구성되는 것이 아니라 오히려 그 물리적 작용과 화학적 작용의 상승적 조합으로 구성되는 것이 바람직하다. 집적 회로의 제작에서, CMP 슬러리는 또한 고도로 평탄한 표면이 후속 리쏘그래피 또는 패턴화, 에칭 및 박막 처리를 위해 생성될 수 있도록 금속과 다른 물질의 복합 층을 포함하는 필름을 우선적으로 제거하는 것이 가능해야 한다.
최근, 구리는 집적 회로에서 금속 인터커넥트에 점차적으로 증가되게 사용되고 있다. 마이크로전자 장치 제작에서 회로의 금속화에 통상적으로 사용된 구리 다마신(damascene) 공정에서, 제거되고 평탄화되어야 하는 층은 약 1-1.5 ㎛의 두께를 갖는 구리 층 및 약 0.05-0.15 ㎛의 두께를 갖는 구리 시드 층을 포함한다. 이러한 구리 층들은 두께가 전형적으로 약 50-300Å인 배리어 물질의 층에 의해 유전체 물질 표면로부터 분리되며, 그 배리어 물질의 층은 산화물 유전체 물질 내로의 구리의 확산을 방지한다. 연마후 웨이퍼 표면에 걸쳐 우수한 균일성을 얻는 것에 대한 한가지 핵심은 각 물질에 대한 정확한 제거 선택성을 갖는 CMP 슬러리를 사용하는 것이다.
웨이퍼 기판 표면 제조, 침착, 도금화, 에칭 및 화학 기계적 연마를 수반하는 전술한 처리 조작은 마이크로전자 장치 제품이 그 제품의 기능에 달리 유해한 영향을 끼치거나 심지어는 그 제품을 의도한 기능에 대하여 무용하게 만드는 오염물을 함유하지 않는 것을 보장하도록 세정 조작을 다양하게 필요로 한다. 종종 이들 오염물의 입자는 0.3 ㎛보다 작다.
이와 관련하여 한가지 구체적인 문제점은 CMP 처리를 수행한 후 마이크로전자 장치 기판 상에 잔류된 잔류물이다. 그러한 잔류물은 CMP 물질 및 부식 억제제 화합물, 예컨대 벤조트리아졸(BTA)을 포함한다. 제거되지 않는 경우, 이러한 잔류물은 구리 라인에 대한 손상을 야기하거나 구리 금속화를 심하게 거칠게 할 수 있을 뿐만 아니라 그 장치 기판 상에 CMP 후 도포된 층의 불량한 접착을 야기할 수 있다. 구리 금속화를 심하게 거칠게 하는 것은 매우 문제가 되는데, 그 이유는 몹시 거친 구리가 제품 마이크로전 장치의 불량한 전기적 성능을 야기할 수 있기 때문이다.
마이크로전자 장치 제조에 일반적인 다른 잔류 생성 공정은 하드마스크, 레벨간 유전체(ILD) 및 에칭 정지 층으로 구성될 수 있는 이면 층으로 현상된 포토레지스트 코팅의 패턴을 전사하는 기체상 플라즈마 에칭을 수반한다. 기판 상에 존재하거나 플라즈마 기체 내에 존재하는 화학 원소를 포함할 수 있는 기체상 플라즈마 에칭후 잔류물은 전형적으로 BEOL(back end of the line) 구조물 상에 침착되고, 제거되지 않는 경우, 후속 실리사이드화 또는 콘택트 형성을 방해할 수 있다. 화학 물질의 통상적인 세정은 자주 ILD를 손상시키고, ILD의 소공 내로 흡수시켜서 유전 상수를 증가시키고/시키거나 금속 구조물을 부식시킨다.
그러므로, 마이크전자 산업은 구리 금속화된 기판을 위한 세정 제제에서의 개선점 및 마이크로전자 장치 웨이퍼의 에칭후 세정, 회화후 세정 및 화학 기계적 연마후 세정에 다양하게 유용한 조성물을 비롯한 마이크로전자 장치 구조물의 처리를 위한 조성물에서의 개선점을 지속적으로 탐색하고 있다.
발명의 개요
발명은 일반적으로 위에 잔류물 및/또는 오염물을 갖는 마이크로전자 장치로부터 그 잔류물 및/또는 오염물을 세정하기 위한 조성물 및 방법에 관한 것이다. 본 발명의 세정 조성물은 부식 억제제로서 하나 이상의 항산화제를 포함한다. 잔류물은 CMP후(post-CMP), 에칭후(post-etch) 또는 회화후(post-ash) 잔류물을 포함할 수 있다.
하나의 앙태에서는, 하나 이상의 용매, 하나 이상의 부식 억제제 및 하나 이상의 아민을 포함하는 세정 조성물이 기술되며, 여기서 부식 억제제는 사아누르산; 바르비투르산 및 이의 유도체; 글루쿠론산; 스쿠아르산; 알파-케토 산; 아데노신 및 이의 유도체; 퓨린 화합물 및 이의 유도체; 포스폰산 유도체; 펜안트롤린/아스코르브산; 글리신/아스코르브산; 니코틴아미드 및 이의 유도체; 플라보놀 및 이의 유도체; 안토시아닌 및 이의 유도체; 플라보놀/안토시아닌; 및 이들의 조합으로 이루어진 군으로부터 선택된 종을 포함하고, 세정 조성물은 위에 잔류물을 갖는 마이크로전자 장치로부터 그 잔류물을 제거하는데 효과적이다. 세정 조성물은 하나 이상의 4급 염기, 하나 이상의 착화제, 하나 이상의 계면활성제, 하나 이상의 환원제, 하나 이상의 분산제, 하나 이상의 설폰산 함유 탄화수소, 요산, 하나 이상의 알콜 및 이들의 조합으로 이루어진 군으로부터 선택된 하나 이상의 첨가 성분을 추가로 포함할 수 있다.
다른 양태에서는, 하나 이상의 용매, 하나 이상의 계면활성제, 하나 이상의 분산제, 하나 이상의 설폰산 함유 탄화수소, 및 하나 이상의 부식 억제제를 포함하는 세정 조성물이 기술되며, 여기서 하나 이상의 부식 억제제는 사아누르산; 바르비투르산 및 이의 유도체; 글루쿠론산; 스쿠아르산; 알파-케토 산; 아데노신 및 이의 유도체; 퓨린 화합물 및 이의 유도체; 포스폰산 유도체; 펜안트롤린/아스코르브산; 글리신/아스코르브산; 니코틴아미드 및 이의 유도체; 플라보놀 및 이의 유도체; 안토시아닌 및 이의 유도체; 플라보놀/안토시아닌; 및 이들의 조합으로 이루어진 군으로부터 선택된 종을 포함하고, 세정 조성물은 위에 잔류물을 갖는 마이크로전자 장치로부터 그 잔류물을 제거하는데 효과적이다.
다른 양태에서, 본 발명은, 하나 이상의 용기에, 세정 조성물을 형성하는 다음의 시약 중 하나 이상을 포함하는 키트에 관한 것이며, 상기 하나 이상의 시약은 하나 이상의 부식 억제제, 하나 이상의 4급 염기, 하나 이상의 유기 아민, 하나 이상의 착화제, 하나 이상의 계면활성제, 하나 이상의 환원제, 하나 이상의 분산제, 하나 이상의 설폰산 함유 탄화수소, 하나 이상의 알칸올아민, 요산, 하나 이상의 알콜, 및 이들의 조합으로 이루어진 군으로부터 선택된다. 하나 이상의 부식 억제제는 스쿠아르산; 아데노신 및 이의 유도체; 펜안트롤린/아스코르브산; 니토틴아미드 및 이의 유도체; 플라보노이드; 안토시아닌; 플라보놀/안트로시아닌; 케르시틴 및 이의 유도체; 글루쿠론산; 케르시틴/안토시아닌; 및 이들의 조합으로 이루어진 군으로부터 선택된 하나 이상의 종을 포함하는 것이 바람직하다.
또다른 양태에서는 위에 잔류물 및 오염물을 갖는 마이크로전자 장치로부터 그 잔류물 및 오염물을 제거하는 방법이 기술되며, 상기 방법은 마이크로전자 장치를, 마이크로전자 장치로부터 상기 잔류물 및 오염물을 적어도 일부 세정하기에 충분한 시간 동안 세정 조성물과 접촉시키는 단계를 포함하고, 상기 세정 조성물은 하나 이상의 용매, 하나 이상의 부식 억제제 및 하나 이상의 아민을 포함하며, 부식 억제제는 사아누르산; 바르비투르산 및 이의 유도체; 글루쿠론산; 스쿠아르산; 알파-케토 산; 아데노신 및 이의 유도체; 퓨린 화합물 및 이의 유도체; 포스폰산 유도체; 펜안트롤린/아스코르브산; 글리신/아스코르브산; 니코틴아미드 및 이의 유도체; 플라보놀 및 이의 유도체; 안토시아닌 및 이의 유도체; 플라보놀/안토시아닌; 및 이들의 조합으로 이루어진 군으로부터 선택된 종을 포함한다. 세정 조성물은 하나 이상의 4급 염기, 하나 이상의 착화제, 하나 이상의 계면활성제, 하나 이상의 환원제, 하나 이상의 분산제, 하나 이상의 설폰산 함유 탄화수소, 요산, 하나 이상의 알콜, 및 이들의 조합으로 이루어진 군으로부터 선택된 하나 이상의 첨가 성분을 추가로 포함할 수 있다.
다른 양태는 세정 조성물의 종점을 확인하는 방법에 관한 것이며, 상기 방법은
위에 잔류물을 갖는 마이크로전자 장치를 세정 조성물과 접촉시키는 단계로서, 세정 조성물은 하나 이상의 항산화제(즉, 부식 억제제)를 포함하고, 항산화제는 세정 조성물이 마이크로전자 장치로부터 상기 잔류물을 실질적으로 제거하는데 유용하다는 것을 의미하는 제1 상태로 존재하는 것인 단계, 및
세정 조성물을 모니터링하는 단계로서, 항산화제의 제2 상태로의 전이는 세정 조성물의 종점을 의미하는 것인 단계
를 포함하며, 항산화제의 제1 상태는 가시선 스펙트럼에서 무색 또는 제1 색상일 수 있고, 항산화제의 제2 상태는 가시선 스펙트럼에서 무색 또는 제2 색상일 수 있으며, 제1 상태와 제2 상태는 동일하지 않다.
다른 양태에서는 위에 CMP후 잔류물 및 오염물을 갖는 마이크로전자 장치로부터 그 CMP후 잔류물 및 오염물을 제거하는 방법이 기술되며, 여기서 상기 방법은
마이크로전자 장치를 CMP 슬러리로 연마하는 단계,
마이크로전자 장치를, 하나 이상의 부식 억제제를 포함하는 세정 조성물과, CMP후 잔류물 및 오염물을 제거하기에 충분한 시간 동안 접촉시켜 CMP후 잔류물 함유 조성물을 형성시키는 단계, 및
마이크로전자 장치를 CMP후 잔류물 함유 조성물과, 마이로전자 장치의 실질적인 세정을 수행하기에 충분한 양의 시간 동안 지속적으로 접촉시키는 단계
를 포함하고, 하나 이상의 부식 억제제는 사아누르산; 바르비투르산 및 이의 유도체; 글루쿠론산; 스쿠아르산; 알파-케토 산; 아데노신 및 이의 유도체; 퓨린 화합물 및 이의 유도체; 포스폰산 유도체; 펜안트롤린/아스코르브산; 글리신/아스코르브산; 니코틴아미드 및 이의 유도체; 플라보놀 및 이의 유도체; 안토시아닌 및 이의 유도체; 플라보놀/안토시아닌, 및 이들의 조합으로 이루어진 군으로부터 선택된 종을 포함한다.
추가 양태에서는 마이크로전자 장치를 제조하는 방법이 기술되며, 상기 방법은 마이크로전자 장치를 본원에 기술된 세정 조성물과, 위에 CMP후 잔류물, 에칭후 잔류물, 회화후 잔류물 및/또는 오염물을 갖는 마이크로전자 장치로부터 그 CMP후 잔류물, 에칭후 잔류물, 회화후 잔류물 및/또는 오염물을 적어도 일부 세정하기에 충분한 시간 동안 접촉시키는 단계를 포함한다.
또다른 양태는 위에 CMP후 잔류물, 에칭후 잔류물, 회화후 잔류물 및/또는 오염물을 갖는 마이크로전자 장치로부터 그 CMP후 잔류물, 에칭후 잔류물, 회화후 잔류물 및/또는 오염물을 세정하는 단계를 포함하는 본원에 기술된 방법을 이용하고, 본원에 기술된 방법 및/또는 조성물을 이용하며, 그리고 임의로 마이크로전자 장치를 제품 내로 통합하여 제조한, 개선된 마이크로전자 장치 및 이 장치를 통합한 제품에 관한 것이다.
다른 양태는 세정 조성물, 마이크로전자 장치 웨이퍼, 및 잔류물, 오염물 및 이들의 조합으로 이루어진 군으로부터 선택된 물질을 포함하는 제조 물품에 관한 것이며, 세정 조성물은 하나 이상의 용매, 하나 이상의 부식 억제제 및 하나 이상의 아민을 포함하고, 하나 이상의 부식 억제제는 사아누르산; 바르비투르산 및 이의 유도체; 글루쿠론산; 스쿠아르산; 알파-케토 산; 아데노신 및 이의 유도체; 퓨린 화합물 및 이의 유도체; 포스폰산 유도체; 펜안트롤린/아스코르브산; 글리신/아스코르브산; 니코틴아미드 및 이의 유도체; 플라보놀 및 이의 유도체; 안토시아닌 및 이의 유도체; 플라보놀/안토시아닌; 및 이들의 조합으로 이루어진 군으로부터 선택된 종을 포함하고, 잔류물은 CMP후 잔류물, 에칭후 잔류물 및 회화후 잔류물 중 하나 이상을 포함한다.
본 발명의 다른 양태, 특징 및 이점은 다음 개시내용 및 첨부된 청구의 범위로부터 보다 충분하게 이해할 수 있을 것이다.
본 발명은 위에 화학 기계적 연마(CMP)후 잔류물 및 오염물을 갖는 마이크로전자 장치로부터 상기 CMP후 잔류물 및 오염물을 세정하기 위한 세정 조성물 및 방법에 관한 것이다. 그 조성물은 저-k 유전체 물질 또는 구리 인터커넥트 물질을 손상시키는 일 없이 마이크로전자 장치의 표면으로부터 CMP후 잔류물 및 오염물의 효과적인 세정을 고도로 달성한다.
발명의 상세한 설명 및 발명의 바람직한 실시양태
본 발명은 일반적으로 위에 잔류물 및 오염물과 같은 물질(들)을 갖는 마이크로전자 장치로부터 그 잔류물 및 오염물을 제거하는데 유용한 조성물에 관한 것이다. 그 조성물은 CMP후 잔류물, 에칭후 잔류물 또는 회화후 잔류물의 제거에 특히 유용하다.
의미를 용이하기 위해서, "마이크로전자 장치"는, 마이크로전자, 집적 회로 또는 컴퓨터 칩 용도에 사용하기 위해 제조된, 반도체 기판, 평면 패널 디스플레이, 상 변화 메모리 장치, 태양 전지판(solar panel), 및 태양 전지 기판(solar substrate), 광기전력 장치 및 마이크로전자기계 시스템(MEMS)을 비롯한 기타 제품에 상응한다. 태양 전지 기판으로는 규소, 비결정 규소, 다결정 규소, 단결정 규소, CdTe, 구리 인듐 셀렌나이드, 구리 인듐 설파이드, 및 갈륨 상의 갈륨 아르세나이드가 포함되지만, 이에 국한되는 것은 아니다. 그 태양 전지 기판은 도핑되거나 비도핑될 수 있다. 용어 "마이크로전자 장치"는 어떠한 방식으로 한정하는 것을 의미하지 않고, 결국 마이크로전자 장치 또는 마이크로전자 어셈블리로 되는 임의의 기판을 포함하는 것으로 이해해야 한다.
본원에 사용된 바와 같이, "잔류물"은 플라즈마 에칭, 회화, 화학 기계적 연마, 습식 에칭 및 이들의 조합(이들에 국한되는 것은 아님)을 비롯한 마이크전자 장치의 제조 동안 발생되는 입자에 상응한다.
본원에 사용된 바와 같이, "오염물"은 CMP 슬러리 내에 존재하는 화학물질, 연마 슬러리의 반응 부산물, 습식 에칭 조성물 내에 존재하는 화학물질, 습식 에칭 조성물의 반응 부산물, 및 CMP 공정, 습식 에칭, 플라즈마 에칭 또는 플라즈마 회화 공정의 부산물인 임의의 다른 물질에 상응한다.
본원에 사용된 바와 같이, "CMP후 잔류물"은 연마 슬러리로부터 유래된 입자, 예를 들면 실리카 함유 입자, 그 슬러리 내에 존재하는 화학물질, 연마 슬러리의 반응 부산물, 카본 농후 입자, 연마 패드 입자, 브러쉬 탈로딩(deloading) 입자, 구성 장비 재료의 입자(equipment material of construction particle), 구리, 구리 산화물, 유기 잔류물, 및 CMP 공정의 부산물인 다른 임의의 물질에 상응한다.
본원에 정의된 바와 같이, "저 k 유전체 물질"은 층상화된 마이크로전자 장치에서 유전체 무질로서 사용된 임의의 물질에 상응하며, 여기서 그 물질은 약 3.5 미만의 유전 상수를 갖는다. 바람직하게는, 저-k 유전체 물질로는 저-극성 무질, 예컨대 규소 함유 유기 중합체, 규소 함유 하이브리드 유기/무기 물질, 오가노실리케이트 유리(OSG), TEOS, 플루오르화된 실리케이트 유리(FSG), 이산화규소, 및 탄소 도핑된 산화물(CDO) 유리가 포함된다. 저-k 유전체 물질은 다양한 밀도 및 다양한 다공도를 가질 수 있다.
본원에 정의된 바와 같이, "착화제"는 해당 기술 분야의 당업자에 의해 착화제, 킬레이트제 및/또는 봉쇄제(sequestering agent)인 것으로 이해되는 화합물을 포함한다. 착화제는 본 발명의 조성물을 이용하면 제거될 수 있는 금속 원자 및/또는 금속 이온과 화학적으로 결합하거나 또는 그 금속 원자 및/또는 금속 이온을 물리적으로 고정한다.
본원에 정의된 바와 같이, 용어 "배리어 물질"은 금속 라인, 예를 들면 구리 언터커넥트를 밀봉하여, 상기 금속, 예를 들면 구리의 유전체 물질 내로의 확산을 최소화하기 위해서 해당 기술 분야에 사용된 임의의 물질에 상응한다. 바람직한 배리어 층 물질은 탄탈, 티탄, 루테늄, 하프늄, 텅스텐, 및 다른 내화성 금속 및 이의 질화물 및 규화물을 포함한다.
본원에 정의된 바와 같이, "에칭후 잔류물"은 기체상 플라즈마 에칭 공정, 예를 들면 BEOL 듀얼 다마신 공정, 또는 습식 에칭 공정을 수행한 후 잔류하는 물질에 상응한다. 에칭후 잔류물은 성질상 유기, 유기금속, 유기규소, 또는 무기, 예를 들면 규소 함유 물질, 탄소계 유기 무질, 및 에칭 기체 잔류물, 예컨대 산소 및 불소일 수 있다.
본원에 정의된 바와 같이, "회화후 잔류물"은, 본원에 사용된 바와 같이, 경화된 포토레지스트 및/또는 버텀 반사방지 코팅(BARC) 물질을 제거하기 위해 산화성 또는 환원성 플라즈마 회화를 수행한 후 잔류하는 물질에 상응한다. 회화후 잔류물은 성질상 유기, 유기금속, 유기규소 또는 무기일 수 있다.
본원에 정의된 바와 같이, "실질적으로 없는"은 2 중량% 미만, 바람직하게는 1 중량% 미만, 보다 바람직하게는 0.5 중량% 미만, 가장 바람직하게는 0.1 중량% 미만으로서 정의된다.
본원에 사용된 바와 같이, "약"은 언급된 값의 ± 5%에 상응한다.
본원에 사용된 바와 같이, 위에 잔류물 및 오염물을 갖는 마이크로전자 장치료로부터 그 잔류물 및 오염물을 세정하기 위한 "적합성"은 마이크로전자 장치로부터 상기 잔류물/오염물의 적어도 일부 제거에 상응한다. 세정 효율은 마이크로전자 장치 상의 대상 갯수의 감소에 의해 등급화된다. 예를 들면, 원자력 현미경을 사용하여 세정전 및 세정후 분석을 수행할 수 있다. 샘플 상의 입자를 픽셀 범위로서 등록할 수 있다. 막대그래프(예를 들면, Sigma Scan Pro)를 적용하여 특정 강도의 픽셀, 예를 들면 231-235를 필터링할 수 있고, 입자의 수를 계수할 수 있다. 입자 감소는 하기 수학식을 이용하여 계산할 수 있다:
Figure 112016071010369-pat00001
특히, 세정 효율의 결정 방법은 단지 예로 제공된 것이며, 그 예에 국한되는 것은 아니다. 대안으로, 세정 효율은 미립자 물질에 의해 덮여 있는 전체 표면의 백분율로서 취급할 수 있다. 예를 들면, AFM은 특정 높이 한계치 위에 있는 관심 대상의 토포그래픽 영역을 확인한 후, 상기 관심 대상의 영역에 의해 덮여 있는 전체 표면 중의 영역을 계산하기 위해서 z-평면 스캔을 수행하도록 프로그램화될 수 있다. 해당 기술 분야의 당업자라면, 에칭후 상기 관심 대상의 영역에 의해 덮여 있는 영역이 작으면 작을 수록, 세정 조성물이 보다 더 효율적이라는 점을 용이하게 이해할 것이다. 바람직하게는, 본 발명에 기술된 조성물을 이용하면, 잔류물/오염물의 75% 이상, 보다 바람직하게는 90% 이상, 훨씬 더 바람작하게는 95% 이상, 가장 바람직하게는 99% 이상이 제거된다.
본원에 기술된 조성물은 이후에 보다 충분히 설명되어 있는 바와 같이, 광범위하게 다양한 특정 제제로 실시될 수 있다.
그러한 모든 조성물에서, 조성물의 특정 성분이 하한치 0을 포함하는 중량 백분율 범위를 참조하여 논의되는 경우, 그러한 성분은 조성물의 다양한 특정 실시양태 내에 존재하거나 부재할 수 있다는 점 및 그러한 성분이 존재하는 실제 예에서, 그러한 성분이 사용된 조성물의 총 중량을 기준으로 하여, 0.001 중량% 만큼의 낮은 농도로 존재할 수 있다는 점을 이해할 수 있을 것이다.
세정 조성물은 하나 이상의 항산화제 성분(즉, "부식 억제제")를 포함하고, 여기서 항산화제 성분은 세정 조성물에 첨가되어 금속, 예를 들면 구리, 알루미늄의 부식 속도를 낮출 뿐만 아니라 세정 성능을 강화시키게 된다. 고려된 항산화제(즉, 부식 억제제)로는 사아누르산; 바르비투르산 및 이의 유도체, 예컨대 1,2-디메틸바르비투르산; 글루쿠론산; 스쿠아르산; 알파-케토 산, 예컨대 피루브산; 아데노신 및 이의 유도체; 퓨린 화합물, 예컨대 아데닌, 퓨린, 구아닌, 하이포크산틴, 크산틴, 테오브로민, 카페인, 요산 및 이소구아닌, 및 이의 유도체; 포스포산 및 이의 유도체; 펜안트롤린/아스코르브산; 글리신/아스코르브산; 니코틴아미드 및 이의 유도체; 플라보노이드, 예컨대 플라보놀 및 안토시아닌, 및 이의 유도체; 플라보놀/안토시아닌; 및 이들의 조합이 포함되지만, 이에 국한되는 것은 아니다. 예를 들면, 플라보놀은 케르시틴 및 이의 유도체, 케르세틴 글루코사이드, 케르시틴(케르세틴람노사이드) 및 루틴(케르세틴 루티노사이드)를 포함할 수 있다. 안토사아닌과 플라보놀의 조합은 수 중에서 플라보놀의 용해도를 증가시킨다. 특히, 바람직한 항산화제로는 퓨린 화합물, 스쿠아르산, 아데노신 및 이의 유도체, 펜안트롤린/아스코르브산, 니코틴아미드 및 이의 유도체, 플라보노이드, 안토시아닌, 플라보놀/안토시아닌, 케르시틴 및 이의 유도체, 및 글루쿠론산이 포함될 수 있다.
하나의 양태에서는 세정 조성물이 기술되며, 여기서 상기 세정 조성물은 하나 이상의 용매, 및 사아누르산; 바르비투르산 및 이의 유도체, 예컨대 1,2-디메틸바르비투르산; 글루쿠론산; 스쿠아르산; 알파-케토 산, 예컨대 피루브산; 아데노신 및 이의 유도체; 퓨린 화합물, 예컨대 아데닌, 퓨린, 구아닌, 하이포크산틴, 크산틴, 테오브로민, 카페인, 요산 및 이소구아닌, 및 이의 유도체; 포스폰산 및 이의 유도체; 펜안트롤린/아스코르브산; 글리신/아스코르브산; 니코틴아미드 및 이의 유도체, 예컨대 니코틴아미드 아스코르베이트; 플라보노이드, 예컨대 플라보놀 및 안토시아닌, 및 이의 유도체; 플라보놀/안토시아닌; 및 이들의 조합으로 이루어진 군으로부터 선택된 하나 이상의 항산화제(즉, 부식 억제제)를 포함한다. 바람직한 용매는 물, 바람직하게는 탈이온수를 포함한다.
추가 양태에서, 세정 조성물은 하기 (i) 내지 (ix)로 이루어진 군으로부터 선택된 조성물을 포함하며, 여기서 항산화제(즉, 부식 억제제)는 시아누르산; 바르비투르산 및 유도체, 예컨대 1,2-디메틸바르비투르산; 글루쿠론산; 스쿠아르산; 알파-케토 산, 예컨대 피루브산; 아데노신 및 이의 유도체; 퓨린 화합물, 예컨대 아데닌, 퓨린, 구아닌, 하이포크산틴, 크산틴, 테오브로민, 카페인, 요산 및 이소구아닌, 및 이의 유도체; 포스폰산 및 이의 유도체; 펜안트롤린/아스코르브산; 글리신/아스코르브산; 니코틴아미드 및 이의 유도체, 예컨대 니코틴아미드 아스코르베이트; 플라보노이드, 예컨대 플라보놀 및 안토시아닌, 및 이의 유도체; 플라보놀/안토시아닌; 및 이들의 조합으로 이루어진 군으로부터 선택되고, 특히 바람직한 항산화제는 퓨린 화합물, 스쿠아르산, 아데노신 및 이의 유도체, 펜안트롤린/아스코르브산, 니코틴아미드 및 이의 유도체, 플라보노이드, 안토시아닌, 플라보놀/안토시아닌, 케르시틴 및 이의 유도체, 및 글루쿠론산을 포함한다:
(i) 하나 이상의 4급 염기, 하나 이상의 유기 아민, 하나 이상의 항산화제, 물, 및 임의로 하나 이상의 환원제를 포함하는 조성물,
(ii) 하나 이상의 4급 염기, 하나 이상의 유기 아민, 하나 이상의 항산화제, 하나 이상의 착화제, 및 물을 포함하는 조성물,
(iii) 하나 이상의 아민, 하나 이상의 항산화제, 및 물을 포함하는 조성물,
(iv) 하나 이상의 아민, 하나 이상의 항산화제, 하나 이상의 계면활성제, 물, 및 임의로 하나 이상의 환원제를 포함하는 조성물,
(v) 하나 이상의 아민, 하나 이상의 항산화제, 하나 이상의 환원제, 물, 임의로 하나 이상의 계면활성제, 및 임의로 하나 이상의 4급 염기를 포함하는 조성물,
(vi) 하나 이상의 아민, 하나 이상의 항산화제, 하나 이상의 4급 염기, 하나 이상의 환원제, 물, 및 임의로 하나 이상의 계면활성제를 포함하는 조성물,
(vii) 하나 이상의 4급 염기, 하나 이상의 알칸올아민, 요산, 물, 및 하나 이상의 항산화제를 포함하는 조성물,
(vii) 하나 이상의 4급 염기, 하나 이상의 알칸올아민, 요산, 하나 이상의 알콜, 물, 및 하나 이상의 항산화제를 포함하는 조성물, 및
(ix) 하나 이상의 계면활성제, 하나 이상의 분산제, 하나 이상의 설폰산 함유 탄화수소, 물, 및 하나 이상의 항산화제를 포함하는 조성물.
특히 바람직한 실시양태에서, 세정 조성물은 하나 이상의 4급 염기, 하나 이상의 유기 아민, 하나 이상의 항산화제, 및 물을 포함하고, 여기서 항산화제(즉, 부식 억제제)는 시아누르산; 바르비투르산 및 유도체, 예컨대 1,2-디메틸바르비투르산; 글루쿠론산; 스쿠아르산; 알파-케토 산, 예컨대 피루브산; 아데노신 및 이의 유도체; 퓨린 화합물, 예컨대 아데닌, 퓨린, 구아닌, 하이포크산틴, 크산틴, 테오브로민, 카페인, 요산 및 이소구아닌, 및 이의 유도체; 포스폰산 및 이의 유도체; 펜안트롤린/아스코르브산; 글리신/아스코르브산; 니코틴아미드 및 이의 유도체, 예컨대 니코틴아미드 아스코르베이트; 플라보노이드, 예컨대 플라보놀 및 안토시아닌, 및 이의 유도체; 플라보놀/안토시아닌; 및 이들의 조합으로 이루어진 군으로부터 선택된다. 세정 조성물은 임의로 하나 이상의 환원제, 하나 이상의 착화제, 하나 이상의 계면활성제, 잔류 물질 또는 이들의 혼합물을 추가로 포함한다.
세정 조성물은 마이크로전자 장치 구조물로부터 잔류물 및 오염물, 예를 들면 CMP후 잔류물, 에칭후 잔류물, 회화후 잔류물 및 오염물을 세정하는데 특히 유용하다. 실시양태와 상관 없이, 세정 조성물은 마이크로전자 장치로부터 잔류 물질을 제거하기 전에 산화제, 플루오르화 공급원 및 연마제 물질이 실질적으로 없는 것이 바람직하다. 중요하게도, 세정 조성물이 글루쿠론산을 포함할 때, 그 조성물의 pH는 6 이상이어야 한다.
본 발명의 추가 양태에서, 세정 조성물은 (i) 내지 (ix)로 이루어지는 군으로부터 선택된 세정 조성물을 포함할 수 있거나, 그 선택된 조성물로 이루어질 수 있거나, 또는 그 선택된 조성물로 주구성될 수 있으며, 여기서 하나 이상의 항산화제(즉, 부식 억제제)는 시아누르산; 바르비투르산 및 유도체, 예컨대 1,2-디메틸바르비투르산; 글루쿠론산; 스쿠아르산; 알파-케토 산, 예컨대 피루브산; 아데노신 및 이의 유도체; 퓨린 화합물, 예컨대 아데닌, 퓨린, 구아닌, 하이포크산틴, 크산틴, 테오브로민, 카페인, 요산 및 이소구아닌, 및 이의 유도체; 포스폰산 및 이의 유도체; 펜안트롤린/아스코르브산; 글리신/아스코르브산; 니코틴아미드 및 이의 유도체, 예컨대 니코틴아미드 아스코르베이트; 플라보노이드, 예컨대 플라보놀 및 안토시아닌, 및 이의 유도체; 플라보놀/안토시아닌; 및 이들의 조합으로 이루어진 군으로부터 선택된 종을 포함한다. 특히 바람직한 항산화제는 퓨린 화합물, 스쿠아르산, 아데노신 및 이의 유도체, 펜안트롤린/아스코르브산, 니코틴아미드 및 이의 유도체, 플라보노이드, 안토시아닌, 플라보놀/안토시아닌, 케르시틴 및 이의 유도체, 및 글루쿠론산을 포함한다.
세정 조성물은, 상기 열거된 항산화제 이외에도, 아스코르브산, L(+)-아스코르브산, 이소아스코르브산, 아스코르브산 유도체, 벤조트리아졸, 시트르산, 에틸렌디아민, 갈산, 옥살산, 타닌산, 에틸렌디아민테트라아세트산(EDTA), 요산, 1,2,4-트리아졸(TAZ), 톨릴트리아졸, 5-페닐-벤조트리아졸, 5-니트로-벤조트리아졸, 3-아미노-5-머캅토-1,2,4-트리아졸, 1-아미노-1,2,4-트리아졸, 히드록시벤조트리아졸, 2-(5-아미노펜틸)-벤조트리아졸, 1-아미노-1,2,3-트리아졸, 1-아미노-5-메틸-1,2,3-트리아졸, 3-아미노-1,2,4-트리아졸, 3-머캅토-1,2,4-트리아졸, 3-이소프로필-1,2,4-트리아졸, 5-페닐티올-벤조트리아졸, 할로-벤조트리아졸(할로 = F, Cl, Br 또는 I임), 나프토트리아졸, 2-머캅토벤즈이미다졸(MBI), 2-머캅토벤조티아졸, 4-메틸-2-페닐이미다졸, 2-머캅토티아졸린, 5-아미노테트라졸, 5-아미노-1,3,4-티아디아졸-2-티올, 2,4-디아미노-6-메틸-1,3,5-트리아진, 티아졸, 트리아진, 메틸테트라졸, 1,3-디메틸-2-이미다졸리디논, 1,5-펜타메틸렌테트라졸, 1-페닐-5-머캅토테트라졸, 디아미노메틸트리아진, 이미다졸린 티온, 머캅토벤즈이미다졸, 4-메틸-4H-1,2,4-트리아졸-3-티올, 5-아미노-1,3,4-티아디아졸-2-티올, 벤조티아졸, 트리톨릴 포스페이트, 이미다졸, 인디아졸, 벤조산, 암모늄 벤조에이트, 카테콜, 피로갈롤, 레조르시놀, 히드로퀴논, 시아누르산, 바르비투르산 및 유도체, 예컨대 1,2-디메틸바르비투르산, 알파-케토 산, 예컨대 피루브산, 아데닌, 퓨린, 포스폰산 및 이의 유도체, 글리신/아스코르브산 및 이들의 조합(이들에 국한되는 것은 아님)을 포함하는 추가 부식 억제제를 추가로 포함할 수 있다. 예를 들면, 세정 조성물은 펜안트롤린과 아스코르브산의 조합, 또는 글리산과 아스코르브산의 조합을 포함하는 것이 바람직하다.
특정 조성물에 유용할 수 있는 예시적인 아민은 화학식 NR1R2R3을 갖는 종을 포함하며, 여기서 R1, R2 및 R3은 서로 동일하거나 상이할 수 있으며, 수소, 직쇄형 또는 분지쇄형 C1-C6 알킬(예를 들면, 메틸, 에틸, 프로필, 부틸, 펜틸 및 헥실) 및 직쇄형 또는 분지쇄형 C1-C6 알콜(예를 들면, 메탄올, 에탄올, 프로판올, 부탄올, 펜탄올 및 헥산올)로 이루어진 군으로부터 선택된다. 가장 바람직하게는, R1, R2 및 R3 중 하나 이상은 직쇄형 또는 분지쇄형 C1-C6 알콜일 수 있다. 예로는 아미노에틸에탄올아민, N-메틸아미노에탄올, 아미노에톡시에탄올, 디메틸아미노에톡시에탄올, 디에탄올아민, N-메틸디에탄올아민, 모노에탄올아민, 트리에탄올아민, 1-아미노-2-프로판올, 2-아미노-1-부탄올, 이소부탄올아민, 트리에틸렌디아민, 다른 C1-C8 알칸올아민 및 이들의 조합이 포함되지만, 이에 국한되는 것은 아니다.
본원에 고려된 4급 염기는 화학식 NR1R2R3R4OH를 갖는 화합물을 포함하며, 여기서 R1, R2, R3 및 R4는 서로 동일하거나 상이할 수 있고, 수소, 직쇄형 또는 분지쇄형 C1-C6 알킬(예를 들면, 메틸, 에틸, 프로필, 부틸, 펜틸 및 헥실), 및 치환 또는 비치환된 C6-C10 아릴(예를 들면, 벤질)로 이루어진 군으로부터 선택된다. 테트라에틸암모늄 히드록사이드(TEAH), 테트라메틸암모늄 히드록사이드(TMAH), 테트라프로필암모늄 히드록사이드(TPAH), 테트라부틸암모늄 히드록사이드(TBAH), 트리부틸메틸암모늄 히드록사이드(TBMAH), 벤질트리메틸암모늄 히드록사이드(BTMAH) 및 이들의 조합을 비롯한 상업적으로 구입가능한 테트라알킬암모늄 히드록사이드가 사용될 수 있다. 상업적으로 구입가능하지 않는 테트라알킬암모늄 히드록사이드는 TMAH, TEAH, TPAH, TBAH, TBMAH 및 BTMAH를 제조하는데 이용된 공개 합성 방법과 유사한 방식으로 제조할 수 있으며, 그 합성 방법은 해당 기술 분야의 당업자에게 공지되어 있다. 다른 광범위하게 사용된 4급 암모늄 염기는 콜린 히드록사이드이다.
본원에 고려된 환원제(들)는 아스코르브산, L(+)-아스코르브산, 이소아스코르브산, 아스코르브산 유도체, 갈산, 글리옥살, 및 이들의 조합으로 이루어진 군으로부터 선택된 종을 포함한다.
예시적인 알콜은 직쇄형 또는 분지쇄형 C1-C6 알콜(예를 들면, 메탄올, 에탄올, 프로판올, 부탄올, 펜탄올 및 헥산올), 디올 및 트리올을 포함한다. 바람직하게는, 알콜은 이소프로판올(IPA)을 포함한다.
본원에 기술된 조성물에 사용하기 위한 예시적인 계면활성제로는 SURFONYL(등록상표) 104, TRITON(등록상표) CF-21, ZONYL(등록상표) UR, ZONYL(등록상표) FSO-100, ZONYL(등록상표) FSN-100, 3M Fluorad Fluorosurfactants(즉, FC-4430 및 F-4432), 디옥틸설포숙시네이트 염, 2,3-디머캅토-1-프로판설폰산 염, 도데실벤젠설폰산, 폴리에틸렌 글리콜, 프로필렌 글리콜, 폴리에틸렌 또는 폴리프로필렌 글리콜 에테르, 카르복실산 염, R1 벤젠 설폰산 또는 이의 염(R1이 직쇄형 또는 분지쇄형 C8-C18 알킬 기임), 양친성(amphiphilic) 플루오로중합체, 폴리에틸렌 글리콜, 폴리프로필렌 글리콜, 폴리에틸렌 또는 폴리프로필렌 글리콜 에테르, 카르복실산 염, 도데실벤젠설폰산, 폴리아크릴레이트 중합체, 디노닐페닐 폴리옥시에틸렌, 실리콘 중합체 또는 변성 실리콘 중합체, 아세틸렌계 디올 또는 변성 아세틸렌계 디올, 알킬암모늄 또는 변성 알킬암모늄 염, 뿐만 아니라 전술한 계면활성제, 나트륨 도데실 설페이트, 쯔비터이온성 계면활성제, 에어로졸-OT(AOT) 및 이의 플루오르화 유사체, 알킬 암모늄, 퍼플루오로중합체 계면활성제, 2-설포숙시네이트 염, 포스페이트계 계면활성제, 황계 계면활성제, 및 아세토아세테이트계 중합체 중 하나 이상을 포함하는 조합(이들에 국한되는 것은 아님)을 비롯한 양쪽성 염, 양이온성 계면활성제, 음이온성 계면활성제, 플루오로알킬 계면활성제, 비이온성 계면활성제, 및 이들의 조합이 포함되지만, 이에 국한되는 것은 아니다. 바람직한 실시양태에서, 계면활성제는 알킬 벤젠 설폰산, 보다 바람직하게는 도데실벤젠설폰산을 포함한다.
분산제는, 본원에 기술된 조성물에 사용될 때, 분산성을 증가시켜서 제거된 잔류물 및 오염물이 마이크로전자 장치 웨이퍼의 표면 상으로 재침착되는 것을 방지하기 위해서 포함된다. 본원에서 고려된 분산제는 15,000 미만의 평균 분자량을 갖는 아크릴산 또는 이의 염을 함유하는 유기 중합체(이후에는 저분자량 아크실산 함유 중합체라고 칭함)을 포함한다. 그 저분자량 아크릴산 함유 중합체는 15,000 미만, 약 3,000 내지 약 10,000의 평균 분자량을 갖는다. 저분자량 아크릴산 함유 중합체는 기본적인 아크릴산 또는 아크릴산 염 단량체 단위를 포함하는 단독중합체 또는 공중합체일 수 있다. 공중합체는 변성 아크릴산, 푸마르산, 말레산, 이타콘산, 아코니트산, 메사콘산, 시트라콘산, 및 메틸렌말론산 또는 이들의 염, 말레산 무수물, 알킬렌, 비닐메틸 에테르, 스티렌 및 이들의 임의 혼합물을 비롯한 임의의 적합한 다른 단량체 단위를 기본적으로 포함할 수 있다. 바람직한 상업적으로 구입가능한 저분자량 아크릴산 함유 단독중합체는 상표명 Acusol 445(미국 펜실베니아주 필라델피아 소재, Rohm and Hass) 하에 판매된 것들을 포함한다.
본원에서 고려된 설폰산 함유 탄화수소로는 직쇄형 및 분지쇄형 C1-C6 알칸( 예를 들면, 메탄, 에탄, 프로판, 부탄, 펜탄, 헥산) 설폰산, 직쇄형 및 분지쇄형 C2-C6 알켄(예를 들면, 에탄, 프로펜, 부탄, 펜텐, 헥산) 설폰산, 및 치환 또는 비치환된 C6-C14 아릴 설폰산, 및 이들의 염, 예를 들면 나트륨, 칼륨 염 등이 포함된다. 설폰산 함유 탄화수소는 메탄설폰산, 에탄설폰산, 프로판설폰산, 부탄설폰산, 펜탄설폰산, 헥산설폰산, 에텐설폰산, 톨루엔설폰산 및 이들의 조합을 포함한다.
본원에서 고려되는 임의의 착화제로는 아세트산, 아세톤 옥심, 아크릴산, 아디프산, 알라닌, 아르기닌, 아스파라긴, 아스파르트산, 베타인, 디메틸 글리옥심, 포름산, 푸마르산, 글루콘산, 글루탐산, 글루타민, 글루타르산, 글리세르산, 글리세롤, 글리콜산, 글리옥실산, 히스티딘, 이미노디아세트산, 이소프탈산, 이타콘산, 락트산, 류신, 리신, 말레산, 말레산 무수물, 말산, 말론산, 만델산, 2,4-펜탄디온, 페닐아세트산, 페닐알라닌, 프탈산, 프롤린, 프로피온산, 피로카테콜, 피로멜리트산, 퀸산(quinic acid), 세린, 소르비톨, 숙신산, 타르타르산, 테레프탈산, 트리멜리트산, 트리메스산, 티로신, 발린, 자일리톨, 이들의 염 및 유도체, 및 이들의 조합이 포함되지만, 이에 국한되는 것은 아니다.
조성적 양에 관하여, 본원에 기술된 각 실시양태에서 각 성분의 중량비는 다음과 같다:
실시양태 (i): 4급 염기 대 부식 억제제 약 0.1:1 내지 약 10:1, 바람직하게는 약 0.5:1 내지 약 5:1, 훨씬 더 바람직하게는 약 1:1 내지 약 2:1, 유기 아민 대 부식제 약 0.1:1 내지 약 10:1. 바람직하게는 약 0.5:1 내지 약 5:1, 훨씬 더 바람직하게는 약 2:1 내지 약 3:1,
실시양태 (ii): 4급 염기 대 착화제 약 1:1 내지 약 5:1, 바람직하게는 약 2:1 내지 약 3.5:1, 유기 아민 대 착화제 약 1:1 내지 약 10:1, 바람직하게는 약 3:1 내지 약 7:1, 부식 억제제 대 착화제 약 0.001:1 내지 약 0.5:1, 바람직하게는 약 0.01:1 내지 약 0.1:1,
실시양태 (iii): 유기 아민 대 부식 억제제 약 0.1:1 내지 약 10:1, 바람직하게는 약 1:1 내지 약 3:1,
실시양태 (iv): 유기 아민 대 부식 억제제 약 0.1:1 내지 약 10:1, 바람직하게는 약 1:1 내지 약 3:1, 계면활성제 대 부식 억제제 약 0.001:1 내지 약 0.5:1, 바람직하게는 약 0.01:1 내지 약 0.1:1,
실시양태 (v): 유기 아민 대 부식 억제제 약 0.1:1 내지 약 15:1, 바람직하게는 약 1:1 내지 약 10:1, 환원제 대 부식 억제제 약 0.1:1 내지 약 10:1, 바람직하게는 약 1:1 내지 약 8:1,
실시양태 (vi): 유기 아민 대 부식 억제제 약 1:1 내지 약 10:1, 바람직하게는 약 2:1 내지 약 7:1, 4급 염기 대 부식 억제제 약 0.5:1 내지 약 8:1, 바람직하게는 약 1:1 내지 약 4:1, 환원제 대 부식 억제제 약 0.1:1 내지 약 6:1, 바람직하게는 0.5:1 내지 약 3:1, 계면활성제(존재하는 경우) 대 부식 억제제 약 0.001:1 내지 약 0.1:1,
실시양태 (vii): 유기 아민 대 부식 억제제 약 1:1 내지 약 10:1, 바람직하게는 약 2:1 내지 약 7:1, 4급 염기 대 부식 억제제 약 0.5 내지 약 8:1, 바람직하게는 약 1:1 내지 약 4:1, 환원제 대 부식 억제제 약 0.1:1 내지 약 6:1, 바람직하게는 약 0.5:1 내지 약 3:1,
실시양태 (viii): 유기 아민 대 부식 억제제 약 1:1 내지 약 10:1, 바람직하게는 약 2:1 내지 약 7:1, 4급 염기 대 부식 억제제 약 0.5:1 내지 약 8:1, 바람직하게는 약 1:1 내지 약 4:1, 요산 대 부식 억제제 약 0.1:1 내지 약 6:1, 바람직하게는 0.5:1 내지 약 3:1, 알콜 대 부식 억제제 0.5:1 내지 약 8:1, 바람직하게는 약 1:1 내지 약 4:1,
실시양태 (ix): 부식 억제제 대 계면활성제 약 10:1 내지 약 100:1, 바람직하게는 약 30:1 내지 약 70:1, 분산제 대 계면활성제 약 0.01:1 내지 약 5:1, 바람직하게는 약 0.05:1 내지 약 1:1, 설폰산 함유 탄화수소 대 계면활성제 약 1:1 내지 약 10:1, 바람직하게는 약 3:1 내지 약 7:1.
성분들의 중량비 범위는 조성물의 가능한 모든 농축 또는 희석 실시양태를 포함한다. 이를 위해서, 하나의 실시양태에서는 세정 용액으로서 사용하도록 희석될 수 있는 농축 세정 조성물이 제공된다. 농축 조성물 또는 "농축물"은 사용자, 예를 들면 CMP 공정 엔지니어가 그 농축물을 사용 시점에서 원하는 세기 및 pH로 희석시키는 것을 유리하게 허용한다. 농축 세정 조성물의 희석은 약 1:1 내지 약 2500:1, 바람직하게는 약 5:1 내지 약 200:1이고, 세정 조성물은 도구에서 또는 바로 그 앞에서 용매, 예를 들면 탈이온수에 의해 희석된다. 해당 기술 분야의 당업자라면, 희석을 수행한 후, 본원에 개시된 성분들의 중량비의 범위가 변경되지 않은 상태로 유지된다는 점을 이해할 수 있을 것이다.
본원에 기술된 조성물은 에칭후 잔류물 제거, 회화후 잔류물 제거 표면 제조, 도금후 세정 및 CMP후 잔류물 제거(이들에 국한되는 것은 아님)를 비롯한 용도에 이용성을 가질 수 있다.
또다른 바람직한 실시양태에서, 본원에 기술된 세정 조성물은 잔류물 및/또는 오염물을 추가로 포함한다. 중요하게도, 그 잔류물 및 오염물은 조성물 중에 용해 및/또는 현탁될 수 있다. 바람직하게는, 잔류물은 CMP후 잔류물, 에칭후 잔류물, 회화후 잔류물, 오염물 또는 이들의 조합을 포함한다.
세정 조성물은 각 성분을 단순히 첨가하고 균일한 조건으로 혼합함으로써 용이하게 제제화될 수 있다. 더구나, 그 조성물은 단일 팩키지 제제로서 또는 사용 시점에서 또는 그 사용 시점 전에 혼합되는 멀티-파트 제제로서 용이하게 제제화될 수 있으며, 예를 들면 멀티-파트 제제의 개별 파트는 도구에서 또는 도구 상류 저장 탱크에서 혼합될 수 있다. 각 성분의 농도는 특정한 복수의 조성으로 광범위하게 다양할 수 있으며, 즉 본 발명의 광범위한 실시에서 보다 더 희석되거나 보다 더 농축될 수 있는데, 본 발명의 조성물은 다양하게 그리고 대안으로 본원의 개시내용과 일치하는 성분들 중 임의 조합을 포함할 수 있거나, 그 조합으로 이루어질 수 있거나, 또는 그 조합으로 주구성될 수 있다.
따라서, 다른 양태는, 하나 이상의 용기에, 본 발명의 조성물을 형성하도록 적합하게 된 하나 이상의 성분을 포함하는 키트에 관한 것이다. 그 키트는, 제조에서 또는 사용 시점에서 추가 용매, 예를 들면 물과 조합하도록, 하나 이상의 용기에, 하나 이상의 부식 억제제, 본원에 도입된 실시양태에서의 성분 중 임의 성분, 및 임의로 하나 이상의 추가 부식 성분을 포함한다. 키트의 용기, 예를 들면 NOWPak(등록상표) 용기(미국 코네티컷주 댄버리 소재, Advanced Technology Materials)은 상기 제거용 조성물을 저장 및 선적하기에 적합해야 한다. 제거용 조성물의 성분을 함유하는 하나 이상의 용기는 혼합 및 분배하기 위한 유체 소통하는 상기 하나 이상의 용기 내에 성분을 도입하는 수단을 포함하는 것이 바람직하다. 예를 들면, NOWPark(등록상표) 용기를 언급하면, 기체 압력이 상기 하나 이상의 용기내 라이너의 외측에 인가되어 그 라이너의 내용물의 적어도 일부가 방출되도록 야기할 수 있으며, 따라서 혼합 및 분배를 위한 유체 소통을 가능하게 한다. 대안으로는, 기체 기압이 통상적인 가압 용기의 헤드부 공간에 인가될 수 있거나 또는 펌프가 사용되어 유체 소통을 가능하게 할 수 있다. 또한, 시스템은 블렌딩된 제거용 조성물을 공정 도구로 분배하기 위한 분배 출입구를 포함하는 것이 바람직하다.
실질적으로 화학적 불활성, 불순물 무함유, 가요성 및 탄성 중합체 필름 물질, 예컨대 고밀도 폴리에틸렌이 상기 하나 이상의 용기용 라이너를 제조하는데 사용되는 것이 바람직하다. 바람직한 라이너 물질은 공압출 층 및 배리어 층을 필요로 하는 일 없이 그리고 임의의 안료, UV 억제제, 또는 라이너에 분배하고자 하는 성분을 위한 순도 요건에 유해한 영향을 미칠 수 있는 처리제 없이 처리된다. 바람직한 라이너 물질의 리스트는 순수 (첨가제 무함유) 폴리에틸렌, 순수 폴리테트라플루오로에틸렌(PTFE), 폴리프로필렌, 폴리우레탄, 폴리비닐리덴 클로라이드, 폴리비닐클로라이드, 폴리아세탈, 폴리스티렌, 폴리아크릴로니트릴, 폴리부틸렌 등을 포함한다. 그러한 라이너 물질의 두께는 약 5 mil(0.005 inch) 내지 약 30 mil(0.030 inch) 범위 내에 있고, 예를 들면 두께 20 mil(0.020 inch)로서 존재한다.
본 발명의 키트용 용기에 관하여, 다음의 특허 및 특허 출원의 개시내용은 그 각각 전체가 본원에 참고 인용되어 있다: 미국 특허 번호 7,188,644(발명의 명칭: "APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS"), 미국 특허 번호 6,698,619(발명의 명칭: RETURNABLE AND REUSABLE, BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSYTEM"), 미국 특허 출원 번호 60/916,966(2007년 5월 9일자로 John E.Q. Hughes의 명의로 출원됨)(발명의 명칭: "SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION") 및 PCT/US2008/63276(2008년 5월 9일자로 Advanced Technology Materials, Inc.의 명의로 출원됨)(발명의 명칭: "SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION").
마이크로전자 제조 조작에 적용된 바와 같이, 본원에서 기술된 세정 조성물은 마이크로전자 장치의 표면으로부터 CMP후 잔류물 및/또는 오염물을 세정하는데 유용하게 사용된다. 중요하게도, 그 세정 조성물은 저-k 유전체 물질을 손상시키지 않거나 또는 장치 표면 상의 금속 인터커넥트를 부식시키지 않는다. 세정 조성물은 잔류물 제거 전의 장치 상에 존재하는 잔류물의 85% 이상, 바람직하게는 90% 이상, 훨씬 더 바람직하게는 95% 이상, 가장 바람직하게는 99% 이상을 제거하는 것이 바람직하다.
CMP후 잔류물 및 오염물 세정 용도에서, 세정 조성물은 Verteq 단일 웨이퍼 메가소닉 골드핑거, OnTrak 시스템 DDS(double-sided scrubber), SEZ 또는 다른 단일 웨이퍼 분무 린스, Applied Materials Mirra-Mesa(상품명)/ReFlexion LK(상품명), 및 Megasonic 뱃치 습식 벤치 시스템(이들에 국한되는 것은 아님)을 비롯한 메가소닉스 및 브러쉬 스크러빙과 같은 크게 다양한 통상적인 세정 도구와 함께 사용될 수 있다.
위에 CMP후 잔류물, 에칭후 잔류물, 회화 잔류물 및/또는 오염물을 갖는 마이크로전자 장치로부터 그 CMP후 잔류물, 에칭후 잔류물 회화후 잔류물 및/또는 오염물을 세정하기 위한 본원에 기술된 조성물의 용도에서, 그 세정 조성물은 전형적으로 약 20℃ 내지 약 90℃, 바람직하게는 약 20℃ 내지 약 50℃ 범위의 온도에서 약 5 초 내지 약 10 분, 바람직하게는 약 1 초 내지 20 분, 바람직하게는 약 15 초 내지 약 5 분의 시간 동안 장치와 접촉하게 된다. 본 발명 방법의 광범위한 실시 내에서는, 그러한 접촉 시간 및 온도가 예시적이며, 장치로부터 CMP 잔류물/오염물을 적어도 일부 제거하는데 효과적인 임의의 다른 적합한 시간 및 온도 조건이 사용될 수 있다. "적어도 일부 제거" 및 "실질적으로 제거"는 둘다 잔류물 제거 전의 장치 상에 존재하는 잔류물의 85% 이상, 보다 바람직하게는 90% 이상, 훨씬 더 바람직하게는 95% 이상, 가장 바람직하게는 99% 이상의 제거에 상응한다.
원하는 세정 작용의 달성을 수행한 후, 세정 조성물은, 본원에서 기술된 조성물의 제시된 최종 용도 적용에서 바람직하고 효과적일 수 있더라도, 조성물이 이미 적용되어 있는 장치로부터 용이하게 제거될 수 있다. 린스 용액은 탈이온수를 포함하는 것이 바람직하다. 이후, 장치는 질소 또는 스핀 건조 사이클을 사용하여 건조시킬 수 있다.
유리하게도, 본원에 도입된 항산화제의 일부는 그것이 소모되어 감에 따라 가시적 색상 변화를 수행하는데, 이는 사용자가 세정 조성물 베스의 효능을 모니터링하는 방식을 제공한다. 모니터링 수단으로는 시각적 수단 및 분광광도계 수단(이들에 국한되는 것은 아님)이 포함된다. 본원에 정의된 바와 같이, "종점"은 세정 조성물이 마이크로전자 장치로부터 제거하고자 물질, 예를 들면 CMP 잔류물을 더 이상 효과적으로 그리고 생산적으로 제거하지 못하는 범위에 상응한다. 그 종점은 포화된(예를 들면, 로딩된) 세정 조성물, 및/또는 세정 조성물의 하나 이상 성분의 소모(이들에 국한되는 것은 아님)를 비롯한 수 많은 상이한 인자의 결과일 수 있다.
따라서, 다른 양태는 세정 조성물의 종점을 확인하는 방법을 포함하며, 상기 방법은
위에 잔류물을 갖는 마이크로전자 장치를 세정 조성물과 접촉시키는 단계로서, 세정 조성물은 하나 이상의 항산화제(즉, 부식 억제제)를 포함하고, 항산화제는 세정 조성물이 마이크로전자 장치로부터 상기 잔류물을 실질적으로 제거하는데 유용하다는 것을 의미하는 제1 상태로 존재하는 것인 단계, 및
세정 조성물을 모니터링하는 단계로서, 항산화제의 제2 상태로의 전이는 세정 조성물의 종점을 의미하는 것인 단계
를 포함한다.
해당 기술 분야의 당업자라면, 항산화제의 제1 상태는 가시선 스펙트럼에서 무색 또는 제1 색상일 수 있고, 항산화제의 제2 상태는 가시선 스펙트럼에서 무색 또는 제2 색상일 수 있으며, 제1 상태와 제2 상태는 동일하지 않다는 점을 이해할 것이다.
또다른 양태는 본 발명의 방법에 따라 제조되는 개선된 마이크전자 장치 및 그러한 마이크로전자 장치를 함유하는 제품에 관한 것이다.
다른 양태는 재생된(recycled) 세정 조성물에 관한 것이며, 여기서 세정 조성물은, 해당 기술 분야의 당업자에 의해 용이하게 결정되는 바와 같이, 잔류물 및/또는 오염물 로딩이 그 세정 조성물이 수용할 수 있는 최대량에 도달한 후에 재생될 수 있다.
또다른 양태는 마이크로전자 장치를 포함하는 물품의 제조 방법에 관한 것이며, 상기 방법은 마이크로전자 장치를,위에 CMP후 잔류물 및 오염물을 갖는 마이크로전자 장치로부터 그 CMP후 잔류물 및 오염물을 세정하기에 충분한 시간 동안, 세정 조성물과 접촉시키는 단계, 및 본원에 기술된 세정 조성물을 사용하여 상기 마이크로전자 장치를 상기 물품에 통합시키는 단계를 포함한다.
특징 및 이점은 하기 논의된 예시적 실시예에 의해 보다 충분하게 제시된다.
실시예 1
TMAH, 1-아미노-2-프로판올 및 상이한 항산화제를 포함하는 염기성 용액에 블랭킷 처리된 PVD 구리 웨이퍼를 함침시키고, PVD Cu가 작동 전극이고, Pt 메쉬가 카운터 전극이며, Ag/AgCl 전극이 기준 전극인 일정 전위기(potentiostat)를 사용하여 구리의 부식 속도를 결정하였다. 구리 애노드 부식 속도는 개방 회로 전위에 대한 0.1 내지 1.0 V의 애노드 전압 바이어스에서 계산하였다. 결과를 하기 표 1에 요약하였다.
Figure 112016071010369-pat00002
아데노신은 구리의 부식 속도를 유의적으로 감소시켰다는 것을 이해할 수 있다. 추가 이점은 구리 조도(roughness)의 최소화 및 잔류물 제거에 따른 구리(I) 옥사이드 표면의 안정화를 포함하지만, 이들에 국한되는 것은 아니다.
본 발명이 본원에서 예시적인 실시양태 및 특징을 참조하여 다양하게 개시되어 있긴 하지만, 앞서 기술된 그 실시양태 및 특징은 본 발명을 제한하기 위한 것이 아니라는 점, 그리고 다른 변경, 변형 및 다른 실시양태는 본원의 개시내용에 기초하여 해당 기술 분야의 당업자에게 그 자체를 제시한다는 점을 이해할 수 있을 것이다. 그러므로, 본 발명은 이후에 설정된 청구의 범위의 사상 및 영역 내에 그러한 모든 변경, 변형 및 대안적 실시양태를 포함하는 것으로 폭 넓게 해석되어야 한다.

Claims (26)

  1. 하나 이상의 용매, 하나 이상의 부식 억제제, 하나 이상의 계면활성제, 및 하나 이상의 유기 아민을 포함하는 세정 조성물로서, 하나 이상의 부식 억제제는 글루쿠론산; 스쿠아르산; 아데노신 및 이의 유도체; 펜안트롤린의 아스코르브산과의 조합; 플라보놀 및 이의 유도체; 안토시아닌 및 이의 유도체; 플라보놀의 안토시아닌과의 조합; 케르시틴 및 이의 유도체; 케르시틴의 안토시아닌과의 조합; 및 이들의 조합으로 이루어진 군으로부터 선택된 종을 포함하고, 세정 조성물은 마이크로전자 장치 위에 잔류물을 갖는 마이크로전자 장치로부터 그 잔류물을 제거하는데 효과적인 것인 세정 조성물.
  2. 제1항에 있어서, 부식 억제제는 아데노신 및 이의 유도체, 및 이들의 조합을 포함하는 것인 세정 조성물.
  3. 제1항 또는 제2항에 있어서, 세정 조성물은 하나 이상의 4급 염기; 하나 이상의 착화제; 하나 이상의 환원제; 하나 이상의 분산제; 하나 이상의 설폰산 함유 탄화수소; 요산; 하나 이상의 알콜; 및 이들의 조합으로 이루어진 군으로부터 선택된 하나 이상의 첨가 성분을 추가로 포함하는 것인 세정 조성물.
  4. 제1항 또는 제2항에 있어서, 용매는 물을 포함하는 것인 세정 조성물.
  5. 제1항 또는 제2항에 있어서, 하나 이상의 유기 아민은 화학식 NR1R2R3을 갖고, 여기서 R1, R2 및 R3은 서로 동일하거나 상이할 수 있으며, 수소, 직쇄형 C1-C6 알킬, 분지쇄형 C1-C6 알킬, 직쇄형 C1-C6 알콜, 및 분지쇄형 C1-C6 알콜로 이루어진 군으로부터 선택되는 것인 세정 조성물.
  6. 제1항 또는 제2항에 있어서, 하나 이상의 유기 아민은 아미노에틸에탄올아민, N-메틸아미노에탄올, 아미노에톡시에탄올, 디메틸아미노에톡시에탄올, 디에탄올아민, N-메틸디에탄올아민, 모노에탄올아민, 트리에탄올아민, 1-아미노-2-프로판올, 2-아미노-1-부탄올, 이소부탄올아민, 트리에틸렌디아민, 다른 C1-C8 알칸올아민 및 이들의 조합으로 이루어진 군으로부터 선택되는 것인 세정 조성물.
  7. 제1항 또는 제2항에 있어서, 하나 이상의 계면활성제는 플루오로알킬 계면활성제, 디옥틸설포숙시네이트 염, 2,3-디머캅토-1-프로판설폰산 염, 도데실벤젠설폰산, 폴리에틸렌 글리콜, 프로필렌 글리콜, 폴리에틸렌 글리콜 에테르, 폴리프로필렌 글리콜 에테르, R1 벤젠 설폰산 또는 이의 염(R1이 직쇄형 또는 분지쇄형 C8-C18 알킬 기임), 양친성(amphiphilic) 플루오로중합체, 폴리아크릴레이트 중합체, 디노닐페닐 폴리옥시에틸렌, 실리콘 중합체, 변성 실리콘 중합체, 아세틸렌계 디올, 변성 아세틸렌계 디올, 알킬암모늄 염, 변성 알킬암모늄 염, 나트륨 도데실 설페이트, 쯔비터이온성 계면활성제, 에어로졸-OT(AOT) 및 이의 플루오르화 유사체, 퍼플루오로중합체 계면활성제, 2-설포숙시네이트 염, 포스페이트계 계면활성제, 황계 계면활성제, 및 아세토아세테이트계 중합체로 이루어진 군으로부터 선택된 종을 포함하는 것인 세정 조성물.
  8. 제1항 또는 제2항에 있어서, 잔류물은 CMP후 잔류물, 에칭후 잔류물, 회화후 잔류물 또는 이들의 조합을 포함하는 것인 세정 조성물.
  9. 삭제
  10. 삭제
  11. 제1항 또는 제2항에 있어서, 조성물은 마이크로전자 장치로부터 잔류 물질의 제거 이전에 산화제, 플루오라이드 공급원 및/또는 연마제 물질이 없는 것인 세정 조성물.
  12. 제1항 또는 제2항에 있어서, 하나 이상의 추가 부식 억제제를 추가로 포함하고, 하나 이상의 추가 부식 억제제는 아스코르브산, L(+)-아스코르브산, 이소아스코르브산, 아스코르브산 유도체, 벤조트리아졸, 시트르산, 에틸렌디아민, 갈산, 옥살산, 타닌산, 에틸렌디아민테트라아세트산(EDTA), 요산, 1,2,4-트리아졸(TAZ), 톨릴트리아졸, 5-페닐-벤조트리아졸, 5-니트로-벤조트리아졸, 3-아미노-5-머캅토-1,2,4-트리아졸, 1-아미노-1,2,4-트리아졸, 히드록시벤조트리아졸, 2-(5-아미노-펜틸)-벤조트리아졸, 1-아미노-1,2,3-트리아졸, 1-아미노-5-메틸-1,2,3-트리아졸, 3-아미노-1,2,4-트리아졸, 3-머캅토-1,2,4-트리아졸, 3-이소프로필-1,2,4-트리아졸, 5-페닐티올-벤조트리아졸, 할로-벤조트리아졸(할로 = F, Cl, Br 또는 I임), 나프토트리아졸, 2-머캅토벤즈이미다졸(MBI), 2-머캅토벤조티아졸, 4-메틸-2-페닐이미다졸, 2-머캅토티아졸린, 5-아미노테트라졸, 5-아미노-1,3,4-티아디아졸-2-티올, 2,4-디아미노-6-메틸-1,3,5-트리아진, 티아졸, 트리아진, 메틸테트라졸, 1,3-디메틸-2-이미다졸리디논, 1,5-펜타메틸렌테트라졸, 1-페닐-5-머캅토테트라졸, 디아미노메틸트리아진, 이미다졸린 티온, 머캅토벤즈이미다졸, 4-메틸-4H-1,2,4-트리아졸-3-티올, 5-아미노-1,3,4-티아디아졸-2-티올, 벤조티아졸, 트리톨릴 포스페이트, 이미다졸, 인디아졸, 벤조산, 암모늄 벤조에이트, 카테콜, 피로갈롤, 레조르시놀, 히드로퀴논, 시아누르산, 바르비투르산, 1,2-디메틸바르비투르산, 피루브산, 아데닌, 퓨린, 포스폰산 및 이의 유도체, 글리신의 아스코르브산과의 조합, 및 이들의 조합으로 이루어진 군으로부터 선택된 종을 포함하는 것인 세정 조성물.
  13. 하나 이상의 용기에, 제1항 또는 제2항의 세정 조성물을 형성하는 시약을 포함하는 키트.
  14. 마이크로전자 장치 위에 잔류물 및 오염물을 갖는 마이크로전자 장치로부터 그 잔류물 및 오염물을 제거하는 방법으로서, 마이크로전자 장치를, 마이크로전자 장치로부터 상기 잔류물 및 오염물을 적어도 부분적으로 세정하기에 충분한 시간 동안 제1항의 세정 조성물과 접촉시키는 단계를 포함하는 것인 방법.
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
KR1020167019997A 2007-05-17 2008-05-16 Cmp후 세정 제제용 신규한 항산화제 KR101833158B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US93859107P 2007-05-17 2007-05-17
US60/938,591 2007-05-17
PCT/US2008/063885 WO2008144501A2 (en) 2007-05-17 2008-05-16 New antioxidants for post-cmp cleaning formulations

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020157006874A Division KR101644763B1 (ko) 2007-05-17 2008-05-16 Cmp후 세정 제제용 신규한 항산화제

Publications (2)

Publication Number Publication Date
KR20160091450A KR20160091450A (ko) 2016-08-02
KR101833158B1 true KR101833158B1 (ko) 2018-02-27

Family

ID=40122268

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020097026414A KR101561708B1 (ko) 2007-05-17 2008-05-16 Cmp후 세정 제제용 신규한 항산화제
KR1020167019997A KR101833158B1 (ko) 2007-05-17 2008-05-16 Cmp후 세정 제제용 신규한 항산화제
KR1020147020744A KR101622862B1 (ko) 2007-05-17 2008-05-16 Cmp후 세정 제제용 신규한 항산화제
KR1020157006874A KR101644763B1 (ko) 2007-05-17 2008-05-16 Cmp후 세정 제제용 신규한 항산화제

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020097026414A KR101561708B1 (ko) 2007-05-17 2008-05-16 Cmp후 세정 제제용 신규한 항산화제

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020147020744A KR101622862B1 (ko) 2007-05-17 2008-05-16 Cmp후 세정 제제용 신규한 항산화제
KR1020157006874A KR101644763B1 (ko) 2007-05-17 2008-05-16 Cmp후 세정 제제용 신규한 항산화제

Country Status (7)

Country Link
EP (1) EP2164938B1 (ko)
JP (3) JP5647517B2 (ko)
KR (4) KR101561708B1 (ko)
CN (2) CN101720352B (ko)
MY (1) MY162607A (ko)
TW (4) TWI454574B (ko)
WO (1) WO2008144501A2 (ko)

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
US8404626B2 (en) 2007-12-21 2013-03-26 Lam Research Corporation Post-deposition cleaning methods and formulations for substrates with cap layers
JP5873718B2 (ja) * 2008-10-21 2016-03-01 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅の洗浄及び保護配合物
US7829513B2 (en) 2009-03-12 2010-11-09 Greenology Products, Inc. Organic cleaning composition
US8765653B2 (en) * 2009-07-07 2014-07-01 Air Products And Chemicals, Inc. Formulations and method for post-CMP cleaning
FR2960163B1 (fr) * 2010-05-20 2017-07-28 Inst Francais Du Petrole Solution absorbante contenant un inhibiteur de degradation derive soufre du thiadiazole et procede d'absorption de composes acides contenus dans un effluent gazeux
KR101696390B1 (ko) * 2010-06-08 2017-01-13 주식회사 동진쎄미켐 Tft-lcd 또는 반도체 소자용 세정제 조성물
KR101894603B1 (ko) * 2010-06-09 2018-09-03 바스프 에스이 수성 알칼리 에칭 및 세정 조성물 및 실리콘 기판 표면을 처리하는 방법
CN101901782B (zh) * 2010-07-21 2011-12-14 河北工业大学 极大规模集成电路多层布线碱性抛光后防氧化方法
CN101906638B (zh) * 2010-07-21 2012-09-19 河北工业大学 硅衬底材料抛光后表面清洗方法
CN103154321B (zh) 2010-10-06 2015-11-25 安格斯公司 选择性蚀刻金属氮化物的组合物及方法
CN102453637B (zh) * 2010-10-29 2016-01-20 安集微电子(上海)有限公司 一种清洗液
MY167595A (en) * 2011-08-09 2018-09-20 Basf Se Aqueous alkaline compositions and method for treating the surface of silicon substrates
US20130045908A1 (en) * 2011-08-15 2013-02-21 Hua Cui Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
WO2013052809A1 (en) * 2011-10-05 2013-04-11 Avantor Performance Materials, Inc. Microelectronic substrate cleaning compositions having copper/azole polymer inhibition
SG11201405737VA (en) * 2012-03-18 2014-10-30 Entegris Inc Post-cmp formulation having improved barrier layer compatibility and cleaning performance
CN102677072B (zh) * 2012-05-03 2016-06-15 中国石油大学(华东) 一种热浸镀锌及锌铝合金钢材用缓蚀清洗剂
KR20150013830A (ko) * 2012-05-18 2015-02-05 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 유기 잔류물 제거 개선을 위한 낮은 구리 에칭 속도를 가진 수성 세정 용액
US9481855B2 (en) * 2012-09-17 2016-11-01 Ekc Technology Inc Cleaning composition and method for cleaning a semiconductor device substrate after chemical mechanical polishing
KR101406761B1 (ko) * 2012-10-22 2014-07-02 주식회사 케이씨텍 세정액 조성물 및 이를 이용한 세정방법
WO2014089196A1 (en) * 2012-12-05 2014-06-12 Advanced Technology Materials, Inc. Compositions for cleaning iii-v semiconductor materials and methods of using same
EP2989231A4 (en) * 2013-04-22 2016-12-07 Advanced Tech Materials FORMULATIONS FOR CLEANING AND PROTECTING COPPER
US9862914B2 (en) 2013-11-08 2018-01-09 Wako Pure Chemical Industries, Ltd. Cleaning agent for semiconductor substrates and method for processing semiconductor substrate surface
CN103695928B (zh) * 2014-01-08 2015-12-30 西南石油大学 油气田输油管道用氨基酸衍生物缓蚀剂及其制备方法
JP6228505B2 (ja) * 2014-04-11 2017-11-08 東芝メモリ株式会社 基板処理方法
FR3035403B1 (fr) * 2015-04-21 2017-05-19 Arkema France Utilisation d'acide alcane sulfonique pour le nettoyage dans les industries sucrieres
US9740094B2 (en) 2015-08-21 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Damage prevention on EUV mask
US10233413B2 (en) 2015-09-23 2019-03-19 Versum Materials Us, Llc Cleaning formulations
CN108117936A (zh) * 2016-11-30 2018-06-05 黎凯华 一种低泡沫洁厕剂
US11446708B2 (en) * 2017-12-04 2022-09-20 Entegris, Inc. Compositions and methods for reducing interaction between abrasive particles and a cleaning brush
CN108930058B (zh) * 2018-07-06 2020-07-21 鹤山市精工制版有限公司 一种电化学处理液及其应用
US11091727B2 (en) 2018-07-24 2021-08-17 Versum Materials Us, Llc Post etch residue cleaning compositions and methods of using the same
CN109097210A (zh) * 2018-08-17 2018-12-28 台州市金算子知识产权服务有限公司 一种太阳能电池板用高效清洗剂及其制备方法
CN109852977B (zh) * 2019-03-11 2024-02-02 上海新阳半导体材料股份有限公司 一种锡球生产工艺、清洗剂及其制备方法
KR102397700B1 (ko) 2019-09-06 2022-05-17 엘티씨 (주) 세정제 조성물
CN110952099A (zh) * 2019-12-17 2020-04-03 九江德福科技股份有限公司 一种防止铜箔端面氧化的方法
CN113004801B (zh) * 2019-12-20 2024-03-12 安集微电子(上海)有限公司 一种化学机械抛光液
WO2021131449A1 (ja) * 2019-12-26 2021-07-01 富士フイルムエレクトロニクスマテリアルズ株式会社 洗浄液、洗浄方法
JP7286807B2 (ja) * 2019-12-26 2023-06-05 富士フイルム株式会社 洗浄方法、洗浄液
CN111826244A (zh) * 2020-07-16 2020-10-27 安庆市新城防腐清洗有限公司 一种离子液烷基化装置结垢清洗液及其使用方法
JPWO2022168687A1 (ko) * 2021-02-03 2022-08-11
CN113652317A (zh) * 2021-07-16 2021-11-16 张家港安储科技有限公司 一种用于在半导体晶圆清洗过程中的化学机械研磨后的清洗组合物
CN113774393B (zh) * 2021-09-10 2023-01-31 珠海市板明科技有限公司 一种fpc不锈钢补强板清洗剂及其制备方法
US20230323248A1 (en) * 2022-03-23 2023-10-12 Entegris, Inc. Post cmp cleaning composition
WO2024014224A1 (ja) * 2022-07-13 2024-01-18 富士フイルム株式会社 半導体処理液、被処理物の処理方法、電子デバイスの製造方法
WO2024014220A1 (ja) * 2022-07-13 2024-01-18 富士フイルム株式会社 半導体処理液、被処理物の処理方法、電子デバイスの製造方法
CN114908341B (zh) * 2022-07-18 2022-09-27 深圳市板明科技股份有限公司 一种pcb化学镍钯金镀层专用表面处理剂及其制备方法
CN115469516B (zh) * 2022-11-03 2023-03-24 江苏奥首材料科技有限公司 一种用于三五族半导体化合物光刻胶的剥离剂、其制备方法及用途

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001097268A1 (fr) * 2000-06-16 2001-12-20 Kao Corporation Composion detergente
JP2002097584A (ja) * 2000-06-28 2002-04-02 Nec Corp 防食剤
JP2002099101A (ja) * 2000-06-28 2002-04-05 Nec Corp 剥離剤組成物および剥離方法

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01243433A (ja) * 1988-03-24 1989-09-28 Lion Corp セラミック基板用表面処理剤
US5981454A (en) * 1993-06-21 1999-11-09 Ekc Technology, Inc. Post clean treatment composition comprising an organic acid and hydroxylamine
FR2695034B1 (fr) * 1992-09-01 1994-10-07 Oreal Composition cosmétique ou pharmaceutique comprenant en association une peroxydase et un agent anti-oxygène singulet.
US6326130B1 (en) * 1993-10-07 2001-12-04 Mallinckrodt Baker, Inc. Photoresist strippers containing reducing agents to reduce metal corrosion
WO1997005228A1 (fr) * 1995-07-27 1997-02-13 Mitsubishi Chemical Corporation Procede de traitement de la surface d'un substrat et composition de traitement de surface prevue a cet effet
US6896826B2 (en) * 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
DE19714424A1 (de) * 1997-04-08 1998-10-15 Beiersdorf Ag Kosmetische und dermatologische waschaktive Zubereitungen, enthaltend Acrylatcopolymere, Alkylglucoside und Alkohole
JP2001098258A (ja) * 1999-09-29 2001-04-10 Nippon Chem Kogyo Kk 不凍液組成物
US6723691B2 (en) * 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6492308B1 (en) * 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
WO2002001300A1 (fr) * 2000-06-28 2002-01-03 Nec Corporation Composition d'agent de demontage
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6627587B2 (en) * 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US6627546B2 (en) * 2001-06-29 2003-09-30 Ashland Inc. Process for removing contaminant from a surface and composition useful therefor
TWI297102B (en) * 2001-08-03 2008-05-21 Nec Electronics Corp Removing composition
JP3792620B2 (ja) * 2001-08-03 2006-07-05 日本電気株式会社 剥離剤組成物
DE10153023A1 (de) * 2001-10-26 2003-05-15 Beiersdorf Ag Wirkstoffhaltige kosmetische Reinigungsemulsionen
JP4221191B2 (ja) * 2002-05-16 2009-02-12 関東化学株式会社 Cmp後洗浄液組成物
KR100964801B1 (ko) * 2003-06-26 2010-06-22 동우 화인켐 주식회사 포토레지스트 박리액 조성물 및 이를 이용한 포토레지스트박리방법
CN1875325B (zh) * 2003-10-29 2011-01-26 马林克罗特贝克公司 含有金属卤化物腐蚀抑制剂的碱性后等离子体蚀刻/灰化残余物去除剂和光致抗蚀剂剥离组合物
US20050205835A1 (en) * 2004-03-19 2005-09-22 Tamboli Dnyanesh C Alkaline post-chemical mechanical planarization cleaning compositions
JP2005347587A (ja) * 2004-06-04 2005-12-15 Sony Corp ドライエッチング後の洗浄液組成物および半導体装置の製造方法
DE602005000732T2 (de) 2004-06-25 2007-12-06 Jsr Corp. Reinigungszusammensetzung für Halbleiterkomponente und Verfahren zur Herstellung eines Halbleitergeräts
JP4456424B2 (ja) * 2004-06-29 2010-04-28 関東化学株式会社 フォトレジスト残渣及びポリマー残渣除去組成物
JP4810928B2 (ja) * 2004-08-18 2011-11-09 三菱瓦斯化学株式会社 洗浄液および洗浄法。
US20060148666A1 (en) * 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
KR101331747B1 (ko) * 2005-01-27 2013-11-20 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 반도체 기판 처리 조성물
SG162725A1 (en) * 2005-05-26 2010-07-29 Advanced Tech Materials Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US7316977B2 (en) * 2005-08-24 2008-01-08 Air Products And Chemicals, Inc. Chemical-mechanical planarization composition having ketooxime compounds and associated method for use
WO2007070715A2 (en) * 2005-12-15 2007-06-21 Ashland Licensing And Intellectual Property Llc Cleaning and polishing composition for metallic surfaces
US7947637B2 (en) * 2006-06-30 2011-05-24 Fujifilm Electronic Materials, U.S.A., Inc. Cleaning formulation for removing residues on surfaces
WO2008023754A1 (en) * 2006-08-24 2008-02-28 Daikin Industries, Ltd. Solution for removing residue after semiconductor dry process and method of removing the residue using the same
WO2008036823A2 (en) * 2006-09-21 2008-03-27 Advanced Technology Materials, Inc. Uric acid additive for cleaning formulations
EP2082024A4 (en) * 2006-09-25 2010-11-17 Advanced Tech Materials COMPOSITIONS AND METHODS FOR REMOVING A PHOTORESISTANT AGENT FOR RECYCLING A SILICON GALETTE

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001097268A1 (fr) * 2000-06-16 2001-12-20 Kao Corporation Composion detergente
JP2002097584A (ja) * 2000-06-28 2002-04-02 Nec Corp 防食剤
JP2002099101A (ja) * 2000-06-28 2002-04-05 Nec Corp 剥離剤組成物および剥離方法

Also Published As

Publication number Publication date
EP2164938B1 (en) 2017-06-21
WO2008144501A2 (en) 2008-11-27
TW201504430A (zh) 2015-02-01
TW200907050A (en) 2009-02-16
WO2008144501A3 (en) 2009-03-05
CN101720352A (zh) 2010-06-02
JP5647517B2 (ja) 2014-12-24
CN101720352B (zh) 2015-11-25
WO2008144501A8 (en) 2010-04-01
MY162607A (en) 2017-06-30
CN105349284A (zh) 2016-02-24
KR101561708B1 (ko) 2015-10-19
KR20100059744A (ko) 2010-06-04
KR20140101005A (ko) 2014-08-18
KR20160091450A (ko) 2016-08-02
EP2164938A4 (en) 2013-05-01
KR20150038691A (ko) 2015-04-08
KR101622862B1 (ko) 2016-05-19
TW201704536A (zh) 2017-02-01
TWI498422B (zh) 2015-09-01
EP2164938A2 (en) 2010-03-24
JP2015042751A (ja) 2015-03-05
TW201600598A (zh) 2016-01-01
TWI564387B (zh) 2017-01-01
TWI454574B (zh) 2014-10-01
TWI598468B (zh) 2017-09-11
JP2016138282A (ja) 2016-08-04
KR101644763B1 (ko) 2016-08-01
JP2010527405A (ja) 2010-08-12

Similar Documents

Publication Publication Date Title
KR101833158B1 (ko) Cmp후 세정 제제용 신규한 항산화제
USRE46427E1 (en) Antioxidants for post-CMP cleaning formulations
KR101752684B1 (ko) 구리 세척 및 보호 조성물
TW201404877A (zh) 用於改善有機殘餘物移除之具有低銅蝕刻速率之清潔水溶液
TW201634683A (zh) 後化學機械拋光配方及使用之方法
TW201348438A (zh) 具有增進之阻障層相容性及清潔效能之後cmp調配物
TW201504424A (zh) 用於自表面移除鈰氧粒子之組成物及方法
TW201542810A (zh) 化學機械研磨後配方及其使用方法
EP2768920A1 (en) Non-amine post-cmp composition and method of use
WO2008036823A2 (en) Uric acid additive for cleaning formulations

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant