TW201542810A - 化學機械研磨後配方及其使用方法 - Google Patents
化學機械研磨後配方及其使用方法 Download PDFInfo
- Publication number
- TW201542810A TW201542810A TW104102985A TW104102985A TW201542810A TW 201542810 A TW201542810 A TW 201542810A TW 104102985 A TW104102985 A TW 104102985A TW 104102985 A TW104102985 A TW 104102985A TW 201542810 A TW201542810 A TW 201542810A
- Authority
- TW
- Taiwan
- Prior art keywords
- acid
- hydroxide
- group
- cleaning composition
- residue
- Prior art date
Links
- 239000000203 mixture Substances 0.000 title claims abstract description 173
- 238000000034 method Methods 0.000 title claims abstract description 53
- 239000000126 substance Substances 0.000 title claims abstract description 32
- 238000005498 polishing Methods 0.000 title claims abstract description 10
- 238000009472 formulation Methods 0.000 title description 6
- 238000004140 cleaning Methods 0.000 claims abstract description 136
- 238000004377 microelectronic Methods 0.000 claims abstract description 55
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 claims abstract description 48
- 239000000356 contaminant Substances 0.000 claims abstract description 45
- 150000008044 alkali metal hydroxides Chemical class 0.000 claims abstract description 26
- 229910001860 alkaline earth metal hydroxide Inorganic materials 0.000 claims abstract description 25
- 238000005260 corrosion Methods 0.000 claims description 44
- 230000007797 corrosion Effects 0.000 claims description 44
- 150000001412 amines Chemical class 0.000 claims description 43
- 239000003112 inhibitor Substances 0.000 claims description 39
- KRKNYBCHXYNGOX-UHFFFAOYSA-N citric acid Chemical compound OC(=O)CC(O)(C(O)=O)CC(O)=O KRKNYBCHXYNGOX-UHFFFAOYSA-N 0.000 claims description 36
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 36
- -1 dimethylglyoxime Glyoxime Chemical compound 0.000 claims description 29
- CIWBSHSKHKDKBQ-JLAZNSOCSA-N Ascorbic acid Chemical compound OC[C@H](O)[C@H]1OC(=O)C(O)=C1O CIWBSHSKHKDKBQ-JLAZNSOCSA-N 0.000 claims description 28
- ZRALSGWEFCBTJO-UHFFFAOYSA-N Guanidine Chemical compound NC(N)=N ZRALSGWEFCBTJO-UHFFFAOYSA-N 0.000 claims description 28
- 239000002253 acid Substances 0.000 claims description 28
- QTBSBXVTEAMEQO-UHFFFAOYSA-N Acetic acid Chemical compound CC(O)=O QTBSBXVTEAMEQO-UHFFFAOYSA-N 0.000 claims description 27
- VDZOOKBUILJEDG-UHFFFAOYSA-M tetrabutylammonium hydroxide Chemical compound [OH-].CCCC[N+](CCCC)(CCCC)CCCC VDZOOKBUILJEDG-UHFFFAOYSA-M 0.000 claims description 26
- 150000007514 bases Chemical class 0.000 claims description 25
- 229910052751 metal Inorganic materials 0.000 claims description 25
- 239000002184 metal Substances 0.000 claims description 25
- 229940073455 tetraethylammonium hydroxide Drugs 0.000 claims description 25
- LRGJRHZIDJQFCL-UHFFFAOYSA-M tetraethylazanium;hydroxide Chemical compound [OH-].CC[N+](CC)(CC)CC LRGJRHZIDJQFCL-UHFFFAOYSA-M 0.000 claims description 25
- NDKBVBUGCNGSJJ-UHFFFAOYSA-M benzyltrimethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)CC1=CC=CC=C1 NDKBVBUGCNGSJJ-UHFFFAOYSA-M 0.000 claims description 24
- ARCGXLSVLAOJQL-UHFFFAOYSA-N trimellitic acid Chemical compound OC(=O)C1=CC=C(C(O)=O)C(C(O)=O)=C1 ARCGXLSVLAOJQL-UHFFFAOYSA-N 0.000 claims description 24
- 239000004094 surface-active agent Substances 0.000 claims description 23
- 239000002585 base Substances 0.000 claims description 22
- OPTASPLRGRRNAP-UHFFFAOYSA-N cytosine Chemical compound NC=1C=CNC(=O)N=1 OPTASPLRGRRNAP-UHFFFAOYSA-N 0.000 claims description 22
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 claims description 20
- WTKZEGDFNFYCGP-UHFFFAOYSA-N Pyrazole Chemical compound C=1C=NNC=1 WTKZEGDFNFYCGP-UHFFFAOYSA-N 0.000 claims description 19
- 239000000654 additive Substances 0.000 claims description 19
- 230000000996 additive effect Effects 0.000 claims description 19
- KCXVZYZYPLLWCC-UHFFFAOYSA-N EDTA Chemical compound OC(=O)CN(CC(O)=O)CCN(CC(O)=O)CC(O)=O KCXVZYZYPLLWCC-UHFFFAOYSA-N 0.000 claims description 18
- BDAGIHXWWSANSR-UHFFFAOYSA-N Formic acid Chemical compound OC=O BDAGIHXWWSANSR-UHFFFAOYSA-N 0.000 claims description 18
- DHMQDGOQFOQNFH-UHFFFAOYSA-N Glycine Chemical compound NCC(O)=O DHMQDGOQFOQNFH-UHFFFAOYSA-N 0.000 claims description 18
- CKLJMWTZIZZHCS-REOHCLBHSA-N L-aspartic acid Chemical compound OC(=O)[C@@H](N)CC(O)=O CKLJMWTZIZZHCS-REOHCLBHSA-N 0.000 claims description 18
- MUBZPKHOEPUJKR-UHFFFAOYSA-N Oxalic acid Chemical compound OC(=O)C(O)=O MUBZPKHOEPUJKR-UHFFFAOYSA-N 0.000 claims description 18
- YCIMNLLNPGFGHC-UHFFFAOYSA-N catechol Chemical compound OC1=CC=CC=C1O YCIMNLLNPGFGHC-UHFFFAOYSA-N 0.000 claims description 18
- RAXXELZNTBOGNW-UHFFFAOYSA-N imidazole Natural products C1=CNC=N1 RAXXELZNTBOGNW-UHFFFAOYSA-N 0.000 claims description 18
- 150000002466 imines Chemical class 0.000 claims description 18
- JVTAAEKCZFNVCJ-UHFFFAOYSA-N lactic acid Chemical compound CC(O)C(O)=O JVTAAEKCZFNVCJ-UHFFFAOYSA-N 0.000 claims description 18
- 239000002904 solvent Substances 0.000 claims description 17
- DBVJJBKOTRCVKF-UHFFFAOYSA-N Etidronic acid Chemical compound OP(=O)(O)C(O)(C)P(O)(O)=O DBVJJBKOTRCVKF-UHFFFAOYSA-N 0.000 claims description 16
- OFOBLEOULBTSOW-UHFFFAOYSA-N Propanedioic acid Natural products OC(=O)CC(O)=O OFOBLEOULBTSOW-UHFFFAOYSA-N 0.000 claims description 16
- MWPLVEDNUUSJAV-UHFFFAOYSA-N anthracene Chemical compound C1=CC=CC2=CC3=CC=CC=C3C=C21 MWPLVEDNUUSJAV-UHFFFAOYSA-N 0.000 claims description 16
- 238000004380 ashing Methods 0.000 claims description 16
- 238000005530 etching Methods 0.000 claims description 16
- FEWJPZIEWOKRBE-UHFFFAOYSA-N Tartaric acid Natural products [H+].[H+].[O-]C(=O)C(O)C(O)C([O-])=O FEWJPZIEWOKRBE-UHFFFAOYSA-N 0.000 claims description 15
- WDJHALXBUFZDSR-UHFFFAOYSA-N acetoacetic acid Chemical compound CC(=O)CC(O)=O WDJHALXBUFZDSR-UHFFFAOYSA-N 0.000 claims description 15
- 235000003704 aspartic acid Nutrition 0.000 claims description 15
- OQFSQFPPLPISGP-UHFFFAOYSA-N beta-carboxyaspartic acid Natural products OC(=O)C(N)C(C(O)=O)C(O)=O OQFSQFPPLPISGP-UHFFFAOYSA-N 0.000 claims description 15
- 235000002906 tartaric acid Nutrition 0.000 claims description 15
- 239000011975 tartaric acid Substances 0.000 claims description 15
- CHJJGSNFBQVOTG-UHFFFAOYSA-N N-methyl-guanidine Natural products CNC(N)=N CHJJGSNFBQVOTG-UHFFFAOYSA-N 0.000 claims description 14
- 239000008139 complexing agent Substances 0.000 claims description 14
- SWSQBOPZIKWTGO-UHFFFAOYSA-N dimethylaminoamidine Natural products CN(C)C(N)=N SWSQBOPZIKWTGO-UHFFFAOYSA-N 0.000 claims description 14
- RIKMMFOAQPJVMX-UHFFFAOYSA-N fomepizole Chemical compound CC=1C=NNC=1 RIKMMFOAQPJVMX-UHFFFAOYSA-N 0.000 claims description 14
- 229960004285 fomepizole Drugs 0.000 claims description 14
- FEWJPZIEWOKRBE-JCYAYHJZSA-N Dextrotartaric acid Chemical compound OC(=O)[C@H](O)[C@@H](O)C(O)=O FEWJPZIEWOKRBE-JCYAYHJZSA-N 0.000 claims description 13
- WHUUTDBJXJRKMK-UHFFFAOYSA-N Glutamic acid Natural products OC(=O)C(N)CCC(O)=O WHUUTDBJXJRKMK-UHFFFAOYSA-N 0.000 claims description 13
- WHUUTDBJXJRKMK-VKHMYHEASA-N L-glutamic acid Chemical compound OC(=O)[C@@H](N)CCC(O)=O WHUUTDBJXJRKMK-VKHMYHEASA-N 0.000 claims description 13
- KZSNJWFQEVHDMF-BYPYZUCNSA-N L-valine Chemical compound CC(C)[C@H](N)C(O)=O KZSNJWFQEVHDMF-BYPYZUCNSA-N 0.000 claims description 13
- KZSNJWFQEVHDMF-UHFFFAOYSA-N Valine Natural products CC(C)C(N)C(O)=O KZSNJWFQEVHDMF-UHFFFAOYSA-N 0.000 claims description 13
- 239000003431 cross linking reagent Substances 0.000 claims description 13
- 235000013922 glutamic acid Nutrition 0.000 claims description 13
- 239000004220 glutamic acid Substances 0.000 claims description 13
- 229910052757 nitrogen Inorganic materials 0.000 claims description 13
- LPSKDVINWQNWFE-UHFFFAOYSA-M tetrapropylazanium;hydroxide Chemical compound [OH-].CCC[N+](CCC)(CCC)CCC LPSKDVINWQNWFE-UHFFFAOYSA-M 0.000 claims description 13
- 239000004474 valine Substances 0.000 claims description 13
- 239000004475 Arginine Substances 0.000 claims description 12
- RGHNJXZEOKUKBD-SQOUGZDYSA-N D-gluconic acid Chemical compound OC[C@@H](O)[C@@H](O)[C@H](O)[C@@H](O)C(O)=O RGHNJXZEOKUKBD-SQOUGZDYSA-N 0.000 claims description 12
- VZCYOOQTPOCHFL-OWOJBTEDSA-N Fumaric acid Chemical compound OC(=O)\C=C\C(O)=O VZCYOOQTPOCHFL-OWOJBTEDSA-N 0.000 claims description 12
- ODKSFYDXXFIFQN-BYPYZUCNSA-P L-argininium(2+) Chemical compound NC(=[NH2+])NCCC[C@H]([NH3+])C(O)=O ODKSFYDXXFIFQN-BYPYZUCNSA-P 0.000 claims description 12
- OUYCCCASQSFEME-QMMMGPOBSA-N L-tyrosine Chemical compound OC(=O)[C@@H](N)CC1=CC=C(O)C=C1 OUYCCCASQSFEME-QMMMGPOBSA-N 0.000 claims description 12
- KKEYFWRCBNTPAC-UHFFFAOYSA-N Terephthalic acid Chemical compound OC(=O)C1=CC=C(C(O)=O)C=C1 KKEYFWRCBNTPAC-UHFFFAOYSA-N 0.000 claims description 12
- YRKCREAYFQTBPV-UHFFFAOYSA-N acetylacetone Chemical compound CC(=O)CC(C)=O YRKCREAYFQTBPV-UHFFFAOYSA-N 0.000 claims description 12
- WNLRTRBMVRJNCN-UHFFFAOYSA-N adipic acid Chemical compound OC(=O)CCCCC(O)=O WNLRTRBMVRJNCN-UHFFFAOYSA-N 0.000 claims description 12
- ODKSFYDXXFIFQN-UHFFFAOYSA-N arginine Natural products OC(=O)C(N)CCCNC(N)=N ODKSFYDXXFIFQN-UHFFFAOYSA-N 0.000 claims description 12
- 235000009697 arginine Nutrition 0.000 claims description 12
- XUJNEKJLAYXESH-UHFFFAOYSA-N cysteine Natural products SCC(N)C(O)=O XUJNEKJLAYXESH-UHFFFAOYSA-N 0.000 claims description 12
- 235000018417 cysteine Nutrition 0.000 claims description 12
- XBDQKXXYIPTUBI-UHFFFAOYSA-N dimethylselenoniopropionate Natural products CCC(O)=O XBDQKXXYIPTUBI-UHFFFAOYSA-N 0.000 claims description 12
- LNTHITQWFMADLM-UHFFFAOYSA-N gallic acid Chemical compound OC(=O)C1=CC(O)=C(O)C(O)=C1 LNTHITQWFMADLM-UHFFFAOYSA-N 0.000 claims description 12
- KWIUHFFTVRNATP-UHFFFAOYSA-N glycine betaine Chemical compound C[N+](C)(C)CC([O-])=O KWIUHFFTVRNATP-UHFFFAOYSA-N 0.000 claims description 12
- HHLFWLYXYJOTON-UHFFFAOYSA-N glyoxylic acid Chemical compound OC(=O)C=O HHLFWLYXYJOTON-UHFFFAOYSA-N 0.000 claims description 12
- QQVIHTHCMHWDBS-UHFFFAOYSA-N isophthalic acid Chemical compound OC(=O)C1=CC=CC(C(O)=O)=C1 QQVIHTHCMHWDBS-UHFFFAOYSA-N 0.000 claims description 12
- VZCYOOQTPOCHFL-UHFFFAOYSA-N trans-butenedioic acid Natural products OC(=O)C=CC(O)=O VZCYOOQTPOCHFL-UHFFFAOYSA-N 0.000 claims description 12
- QVOFCQBZXGLNAA-UHFFFAOYSA-M tributyl(methyl)azanium;hydroxide Chemical compound [OH-].CCCC[N+](C)(CCCC)CCCC QVOFCQBZXGLNAA-UHFFFAOYSA-M 0.000 claims description 12
- OUYCCCASQSFEME-UHFFFAOYSA-N tyrosine Natural products OC(=O)C(N)CC1=CC=C(O)C=C1 OUYCCCASQSFEME-UHFFFAOYSA-N 0.000 claims description 12
- HZAXFHJVJLSVMW-UHFFFAOYSA-N 2-Aminoethan-1-ol Chemical compound NCCO HZAXFHJVJLSVMW-UHFFFAOYSA-N 0.000 claims description 11
- FCKYPQBAHLOOJQ-UHFFFAOYSA-N Cyclohexane-1,2-diaminetetraacetic acid Chemical compound OC(=O)CN(CC(O)=O)C1CCCCC1N(CC(O)=O)CC(O)=O FCKYPQBAHLOOJQ-UHFFFAOYSA-N 0.000 claims description 11
- AEMRFAOFKBGASW-UHFFFAOYSA-N Glycolic acid Chemical compound OCC(O)=O AEMRFAOFKBGASW-UHFFFAOYSA-N 0.000 claims description 11
- 235000010323 ascorbic acid Nutrition 0.000 claims description 11
- 239000011668 ascorbic acid Substances 0.000 claims description 11
- 229960005070 ascorbic acid Drugs 0.000 claims description 11
- 229940104302 cytosine Drugs 0.000 claims description 11
- 229920000642 polymer Polymers 0.000 claims description 11
- QUKGLNCXGVWCJX-UHFFFAOYSA-N 1,3,4-thiadiazol-2-amine Chemical compound NC1=NN=CS1 QUKGLNCXGVWCJX-UHFFFAOYSA-N 0.000 claims description 10
- RAIPHJJURHTUIC-UHFFFAOYSA-N 1,3-thiazol-2-amine Chemical compound NC1=NC=CS1 RAIPHJJURHTUIC-UHFFFAOYSA-N 0.000 claims description 10
- RTZKZFJDLAIYFH-UHFFFAOYSA-N Diethyl ether Chemical compound CCOCC RTZKZFJDLAIYFH-UHFFFAOYSA-N 0.000 claims description 10
- 239000003638 chemical reducing agent Substances 0.000 claims description 10
- CYIDZMCFTVVTJO-UHFFFAOYSA-N pyromellitic acid Chemical compound OC(=O)C1=CC(C(O)=O)=C(C(O)=O)C=C1C(O)=O CYIDZMCFTVVTJO-UHFFFAOYSA-N 0.000 claims description 10
- MTCFGRXMJLQNBG-REOHCLBHSA-N (2S)-2-Amino-3-hydroxypropansäure Chemical compound OC[C@H](N)C(O)=O MTCFGRXMJLQNBG-REOHCLBHSA-N 0.000 claims description 9
- HMBHAQMOBKLWRX-UHFFFAOYSA-N 2,3-dihydro-1,4-benzodioxine-3-carboxylic acid Chemical compound C1=CC=C2OC(C(=O)O)COC2=C1 HMBHAQMOBKLWRX-UHFFFAOYSA-N 0.000 claims description 9
- PEDCQBHIVMGVHV-UHFFFAOYSA-N Glycerine Chemical compound OCC(O)CO PEDCQBHIVMGVHV-UHFFFAOYSA-N 0.000 claims description 9
- 239000004471 Glycine Substances 0.000 claims description 9
- XUJNEKJLAYXESH-REOHCLBHSA-N L-Cysteine Chemical compound SC[C@H](N)C(O)=O XUJNEKJLAYXESH-REOHCLBHSA-N 0.000 claims description 9
- QNAYBMKLOCPYGJ-REOHCLBHSA-N L-alanine Chemical compound C[C@H](N)C(O)=O QNAYBMKLOCPYGJ-REOHCLBHSA-N 0.000 claims description 9
- ZDXPYRJPNDTMRX-VKHMYHEASA-N L-glutamine Chemical compound OC(=O)[C@@H](N)CCC(N)=O ZDXPYRJPNDTMRX-VKHMYHEASA-N 0.000 claims description 9
- HNDVDQJCIGZPNO-YFKPBYRVSA-N L-histidine Chemical compound OC(=O)[C@@H](N)CC1=CN=CN1 HNDVDQJCIGZPNO-YFKPBYRVSA-N 0.000 claims description 9
- ROHFNLRQFUQHCH-YFKPBYRVSA-N L-leucine Chemical compound CC(C)C[C@H](N)C(O)=O ROHFNLRQFUQHCH-YFKPBYRVSA-N 0.000 claims description 9
- KDXKERNSBIXSRK-YFKPBYRVSA-N L-lysine Chemical compound NCCCC[C@H](N)C(O)=O KDXKERNSBIXSRK-YFKPBYRVSA-N 0.000 claims description 9
- COLNVLDHVKWLRT-QMMMGPOBSA-N L-phenylalanine Chemical compound OC(=O)[C@@H](N)CC1=CC=CC=C1 COLNVLDHVKWLRT-QMMMGPOBSA-N 0.000 claims description 9
- ROHFNLRQFUQHCH-UHFFFAOYSA-N Leucine Natural products CC(C)CC(N)C(O)=O ROHFNLRQFUQHCH-UHFFFAOYSA-N 0.000 claims description 9
- KDXKERNSBIXSRK-UHFFFAOYSA-N Lysine Natural products NCCCCC(N)C(O)=O KDXKERNSBIXSRK-UHFFFAOYSA-N 0.000 claims description 9
- 239000004472 Lysine Substances 0.000 claims description 9
- LRHPLDYGYMQRHN-UHFFFAOYSA-N N-Butanol Chemical compound CCCCO LRHPLDYGYMQRHN-UHFFFAOYSA-N 0.000 claims description 9
- RWRDLPDLKQPQOW-UHFFFAOYSA-N Pyrrolidine Chemical compound C1CCNC1 RWRDLPDLKQPQOW-UHFFFAOYSA-N 0.000 claims description 9
- MTCFGRXMJLQNBG-UHFFFAOYSA-N Serine Natural products OCC(N)C(O)=O MTCFGRXMJLQNBG-UHFFFAOYSA-N 0.000 claims description 9
- ZMANZCXQSJIPKH-UHFFFAOYSA-N Triethylamine Chemical compound CCN(CC)CC ZMANZCXQSJIPKH-UHFFFAOYSA-N 0.000 claims description 9
- XSQUKJJJFZCRTK-UHFFFAOYSA-N Urea Natural products NC(N)=O XSQUKJJJFZCRTK-UHFFFAOYSA-N 0.000 claims description 9
- 235000004279 alanine Nutrition 0.000 claims description 9
- 125000000217 alkyl group Chemical group 0.000 claims description 9
- 125000003118 aryl group Chemical group 0.000 claims description 9
- 229940075419 choline hydroxide Drugs 0.000 claims description 9
- UAOMVDZJSHZZME-UHFFFAOYSA-N diisopropylamine Chemical compound CC(C)NC(C)C UAOMVDZJSHZZME-UHFFFAOYSA-N 0.000 claims description 9
- ZDXPYRJPNDTMRX-UHFFFAOYSA-N glutamine Natural products OC(=O)C(N)CCC(N)=O ZDXPYRJPNDTMRX-UHFFFAOYSA-N 0.000 claims description 9
- 235000004554 glutamine Nutrition 0.000 claims description 9
- HNDVDQJCIGZPNO-UHFFFAOYSA-N histidine Natural products OC(=O)C(N)CC1=CN=CN1 HNDVDQJCIGZPNO-UHFFFAOYSA-N 0.000 claims description 9
- 239000004310 lactic acid Substances 0.000 claims description 9
- 235000014655 lactic acid Nutrition 0.000 claims description 9
- COLNVLDHVKWLRT-UHFFFAOYSA-N phenylalanine Natural products OC(=O)C(N)CC1=CC=CC=C1 COLNVLDHVKWLRT-UHFFFAOYSA-N 0.000 claims description 9
- 125000004076 pyridyl group Chemical group 0.000 claims description 9
- DFQPZDGUFQJANM-UHFFFAOYSA-M tetrabutylphosphanium;hydroxide Chemical compound [OH-].CCCC[P+](CCCC)(CCCC)CCCC DFQPZDGUFQJANM-UHFFFAOYSA-M 0.000 claims description 9
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 8
- FBPFZTCFMRRESA-FSIIMWSLSA-N D-Glucitol Natural products OC[C@H](O)[C@H](O)[C@@H](O)[C@H](O)CO FBPFZTCFMRRESA-FSIIMWSLSA-N 0.000 claims description 8
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 claims description 8
- 150000003839 salts Chemical class 0.000 claims description 8
- 239000000600 sorbitol Substances 0.000 claims description 8
- 125000005210 alkyl ammonium group Chemical group 0.000 claims description 7
- 239000001257 hydrogen Substances 0.000 claims description 7
- 229910052739 hydrogen Inorganic materials 0.000 claims description 7
- BJEPYKJPYRNKOW-REOHCLBHSA-N (S)-malic acid Chemical compound OC(=O)[C@@H](O)CC(O)=O BJEPYKJPYRNKOW-REOHCLBHSA-N 0.000 claims description 6
- RBNPOMFGQQGHHO-UHFFFAOYSA-N -2,3-Dihydroxypropanoic acid Natural products OCC(O)C(O)=O RBNPOMFGQQGHHO-UHFFFAOYSA-N 0.000 claims description 6
- SMZOUWXMTYCWNB-UHFFFAOYSA-N 2-(2-methoxy-5-methylphenyl)ethanamine Chemical compound COC1=CC=C(C)C=C1CCN SMZOUWXMTYCWNB-UHFFFAOYSA-N 0.000 claims description 6
- PUZDJVHACWSZFE-UHFFFAOYSA-N 2-(21,23-dihydro-2H-porphyrin-1-yl)ethanol Chemical compound OCCC12CC=C(N1)C=C1C=CC(=N1)C=C1C=CC(N1)=CC=1C=CC(N1)=C2 PUZDJVHACWSZFE-UHFFFAOYSA-N 0.000 claims description 6
- JAHNSTQSQJOJLO-UHFFFAOYSA-N 2-(3-fluorophenyl)-1h-imidazole Chemical compound FC1=CC=CC(C=2NC=CN=2)=C1 JAHNSTQSQJOJLO-UHFFFAOYSA-N 0.000 claims description 6
- NIXOWILDQLNWCW-UHFFFAOYSA-N 2-Propenoic acid Natural products OC(=O)C=C NIXOWILDQLNWCW-UHFFFAOYSA-N 0.000 claims description 6
- WLJVXDMOQOGPHL-PPJXEINESA-N 2-phenylacetic acid Chemical compound O[14C](=O)CC1=CC=CC=C1 WLJVXDMOQOGPHL-PPJXEINESA-N 0.000 claims description 6
- OSWFIVFLDKOXQC-UHFFFAOYSA-N 4-(3-methoxyphenyl)aniline Chemical compound COC1=CC=CC(C=2C=CC(N)=CC=2)=C1 OSWFIVFLDKOXQC-UHFFFAOYSA-N 0.000 claims description 6
- KLSJWNVTNUYHDU-UHFFFAOYSA-N Amitrole Chemical compound NC1=NC=NN1 KLSJWNVTNUYHDU-UHFFFAOYSA-N 0.000 claims description 6
- XNSPQPOQXWCGKC-UHFFFAOYSA-N C(C)(=O)O.C(C)(=O)O.C(C)(=O)O.[N] Chemical compound C(C)(=O)O.C(C)(=O)O.C(C)(=O)O.[N] XNSPQPOQXWCGKC-UHFFFAOYSA-N 0.000 claims description 6
- FBPFZTCFMRRESA-JGWLITMVSA-N D-glucitol Chemical compound OC[C@H](O)[C@@H](O)[C@H](O)[C@H](O)CO FBPFZTCFMRRESA-JGWLITMVSA-N 0.000 claims description 6
- RGHNJXZEOKUKBD-UHFFFAOYSA-N D-gluconic acid Natural products OCC(O)C(O)C(O)C(O)C(O)=O RGHNJXZEOKUKBD-UHFFFAOYSA-N 0.000 claims description 6
- RBNPOMFGQQGHHO-UWTATZPHSA-N D-glyceric acid Chemical compound OC[C@@H](O)C(O)=O RBNPOMFGQQGHHO-UWTATZPHSA-N 0.000 claims description 6
- PIICEJLVQHRZGT-UHFFFAOYSA-N Ethylenediamine Chemical compound NCCN PIICEJLVQHRZGT-UHFFFAOYSA-N 0.000 claims description 6
- KRHYYFGTRYWZRS-UHFFFAOYSA-M Fluoride anion Chemical compound [F-] KRHYYFGTRYWZRS-UHFFFAOYSA-M 0.000 claims description 6
- QIGBRXMKCJKVMJ-UHFFFAOYSA-N Hydroquinone Chemical compound OC1=CC=C(O)C=C1 QIGBRXMKCJKVMJ-UHFFFAOYSA-N 0.000 claims description 6
- AGPKZVBTJJNPAG-WHFBIAKZSA-N L-isoleucine Chemical compound CC[C@H](C)[C@H](N)C(O)=O AGPKZVBTJJNPAG-WHFBIAKZSA-N 0.000 claims description 6
- FFEARJCKVFRZRR-BYPYZUCNSA-N L-methionine Chemical compound CSCC[C@H](N)C(O)=O FFEARJCKVFRZRR-BYPYZUCNSA-N 0.000 claims description 6
- AYFVYJQAPQTCCC-GBXIJSLDSA-N L-threonine Chemical compound C[C@@H](O)[C@H](N)C(O)=O AYFVYJQAPQTCCC-GBXIJSLDSA-N 0.000 claims description 6
- QIVBCDIJIAJPQS-VIFPVBQESA-N L-tryptophane Chemical compound C1=CC=C2C(C[C@H](N)C(O)=O)=CNC2=C1 QIVBCDIJIAJPQS-VIFPVBQESA-N 0.000 claims description 6
- AFVFQIVMOAPDHO-UHFFFAOYSA-N Methanesulfonic acid Chemical compound CS(O)(=O)=O AFVFQIVMOAPDHO-UHFFFAOYSA-N 0.000 claims description 6
- 229910019142 PO4 Inorganic materials 0.000 claims description 6
- NQRYJNQNLNOLGT-UHFFFAOYSA-N Piperidine Chemical compound C1CCNCC1 NQRYJNQNLNOLGT-UHFFFAOYSA-N 0.000 claims description 6
- 239000002202 Polyethylene glycol Substances 0.000 claims description 6
- 229920002675 Polyoxyl Polymers 0.000 claims description 6
- LCTONWCANYUPML-UHFFFAOYSA-N Pyruvic acid Chemical compound CC(=O)C(O)=O LCTONWCANYUPML-UHFFFAOYSA-N 0.000 claims description 6
- KDYFGRWQOYBRFD-UHFFFAOYSA-N Succinic acid Natural products OC(=O)CCC(O)=O KDYFGRWQOYBRFD-UHFFFAOYSA-N 0.000 claims description 6
- AYFVYJQAPQTCCC-UHFFFAOYSA-N Threonine Natural products CC(O)C(N)C(O)=O AYFVYJQAPQTCCC-UHFFFAOYSA-N 0.000 claims description 6
- 239000004473 Threonine Substances 0.000 claims description 6
- GSEJCLTVZPLZKY-UHFFFAOYSA-N Triethanolamine Chemical compound OCCN(CCO)CCO GSEJCLTVZPLZKY-UHFFFAOYSA-N 0.000 claims description 6
- QIVBCDIJIAJPQS-UHFFFAOYSA-N Tryptophan Natural products C1=CC=C2C(CC(N)C(O)=O)=CNC2=C1 QIVBCDIJIAJPQS-UHFFFAOYSA-N 0.000 claims description 6
- TVXBFESIOXBWNM-UHFFFAOYSA-N Xylitol Natural products OCCC(O)C(O)C(O)CCO TVXBFESIOXBWNM-UHFFFAOYSA-N 0.000 claims description 6
- PXAJQJMDEXJWFB-UHFFFAOYSA-N acetone oxime Chemical compound CC(C)=NO PXAJQJMDEXJWFB-UHFFFAOYSA-N 0.000 claims description 6
- OIRDTQYFTABQOQ-KQYNXXCUSA-N adenosine Chemical compound C1=NC=2C(N)=NC=NC=2N1[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O OIRDTQYFTABQOQ-KQYNXXCUSA-N 0.000 claims description 6
- 239000001361 adipic acid Substances 0.000 claims description 6
- 235000011037 adipic acid Nutrition 0.000 claims description 6
- BJEPYKJPYRNKOW-UHFFFAOYSA-N alpha-hydroxysuccinic acid Natural products OC(=O)C(O)CC(O)=O BJEPYKJPYRNKOW-UHFFFAOYSA-N 0.000 claims description 6
- WPYMKLBDIGXBTP-UHFFFAOYSA-N benzoic acid Chemical compound OC(=O)C1=CC=CC=C1 WPYMKLBDIGXBTP-UHFFFAOYSA-N 0.000 claims description 6
- 229960003237 betaine Drugs 0.000 claims description 6
- KDYFGRWQOYBRFD-NUQCWPJISA-N butanedioic acid Chemical compound O[14C](=O)CC[14C](O)=O KDYFGRWQOYBRFD-NUQCWPJISA-N 0.000 claims description 6
- 150000007942 carboxylates Chemical class 0.000 claims description 6
- JQDCIBMGKCMHQV-UHFFFAOYSA-M diethyl(dimethyl)azanium;hydroxide Chemical compound [OH-].CC[N+](C)(C)CC JQDCIBMGKCMHQV-UHFFFAOYSA-M 0.000 claims description 6
- 150000002009 diols Chemical class 0.000 claims description 6
- DUYCTCQXNHFCSJ-UHFFFAOYSA-N dtpmp Chemical compound OP(=O)(O)CN(CP(O)(O)=O)CCN(CP(O)(=O)O)CCN(CP(O)(O)=O)CP(O)(O)=O DUYCTCQXNHFCSJ-UHFFFAOYSA-N 0.000 claims description 6
- NIHNNTQXNPWCJQ-UHFFFAOYSA-N fluorene Chemical compound C1=CC=C2CC3=CC=CC=C3C2=C1 NIHNNTQXNPWCJQ-UHFFFAOYSA-N 0.000 claims description 6
- 235000019253 formic acid Nutrition 0.000 claims description 6
- 239000001530 fumaric acid Substances 0.000 claims description 6
- 235000011087 fumaric acid Nutrition 0.000 claims description 6
- 229940074391 gallic acid Drugs 0.000 claims description 6
- 235000004515 gallic acid Nutrition 0.000 claims description 6
- 239000000174 gluconic acid Substances 0.000 claims description 6
- 235000012208 gluconic acid Nutrition 0.000 claims description 6
- NAQMVNRVTILPCV-UHFFFAOYSA-N hexane-1,6-diamine Chemical compound NCCCCCCN NAQMVNRVTILPCV-UHFFFAOYSA-N 0.000 claims description 6
- 150000002431 hydrogen Chemical class 0.000 claims description 6
- AGPKZVBTJJNPAG-UHFFFAOYSA-N isoleucine Natural products CCC(C)C(N)C(O)=O AGPKZVBTJJNPAG-UHFFFAOYSA-N 0.000 claims description 6
- 229960000310 isoleucine Drugs 0.000 claims description 6
- DJQJFMSHHYAZJD-UHFFFAOYSA-N lidofenin Chemical compound CC1=CC=CC(C)=C1NC(=O)CN(CC(O)=O)CC(O)=O DJQJFMSHHYAZJD-UHFFFAOYSA-N 0.000 claims description 6
- VZCYOOQTPOCHFL-UPHRSURJSA-N maleic acid Chemical compound OC(=O)\C=C/C(O)=O VZCYOOQTPOCHFL-UPHRSURJSA-N 0.000 claims description 6
- 239000011976 maleic acid Substances 0.000 claims description 6
- 239000001630 malic acid Substances 0.000 claims description 6
- 235000011090 malic acid Nutrition 0.000 claims description 6
- IWYDHOAUDWTVEP-UHFFFAOYSA-N mandelic acid Chemical compound OC(=O)C(O)C1=CC=CC=C1 IWYDHOAUDWTVEP-UHFFFAOYSA-N 0.000 claims description 6
- 229960002510 mandelic acid Drugs 0.000 claims description 6
- HEBKCHPVOIAQTA-UHFFFAOYSA-N meso ribitol Natural products OCC(O)C(O)C(O)CO HEBKCHPVOIAQTA-UHFFFAOYSA-N 0.000 claims description 6
- 229930182817 methionine Natural products 0.000 claims description 6
- LVHBHZANLOWSRM-UHFFFAOYSA-N methylenebutanedioic acid Natural products OC(=O)CC(=C)C(O)=O LVHBHZANLOWSRM-UHFFFAOYSA-N 0.000 claims description 6
- 235000006408 oxalic acid Nutrition 0.000 claims description 6
- 229940116315 oxalic acid Drugs 0.000 claims description 6
- NBIIXXVUZAFLBC-UHFFFAOYSA-K phosphate Chemical compound [O-]P([O-])([O-])=O NBIIXXVUZAFLBC-UHFFFAOYSA-K 0.000 claims description 6
- 239000010452 phosphate Substances 0.000 claims description 6
- 229920001223 polyethylene glycol Polymers 0.000 claims description 6
- 229920001451 polypropylene glycol Polymers 0.000 claims description 6
- SUVIGLJNEAMWEG-UHFFFAOYSA-N propane-1-thiol Chemical compound CCCS SUVIGLJNEAMWEG-UHFFFAOYSA-N 0.000 claims description 6
- 235000019260 propionic acid Nutrition 0.000 claims description 6
- IUVKMZGDUIUOCP-BTNSXGMBSA-N quinbolone Chemical compound O([C@H]1CC[C@H]2[C@H]3[C@@H]([C@]4(C=CC(=O)C=C4CC3)C)CC[C@@]21C)C1=CCCC1 IUVKMZGDUIUOCP-BTNSXGMBSA-N 0.000 claims description 6
- GHMLBKRAJCXXBS-UHFFFAOYSA-N resorcinol Chemical compound OC1=CC=CC(O)=C1 GHMLBKRAJCXXBS-UHFFFAOYSA-N 0.000 claims description 6
- YGSDEFSMJLZEOE-UHFFFAOYSA-N salicylic acid Chemical compound OC(=O)C1=CC=CC=C1O YGSDEFSMJLZEOE-UHFFFAOYSA-N 0.000 claims description 6
- UMGDCJDMYOKAJW-UHFFFAOYSA-N thiourea Chemical compound NC(N)=S UMGDCJDMYOKAJW-UHFFFAOYSA-N 0.000 claims description 6
- IMNIMPAHZVJRPE-UHFFFAOYSA-N triethylenediamine Chemical compound C1CN2CCN1CC2 IMNIMPAHZVJRPE-UHFFFAOYSA-N 0.000 claims description 6
- GETQZCLCWQTVFV-UHFFFAOYSA-N trimethylamine Chemical compound CN(C)C GETQZCLCWQTVFV-UHFFFAOYSA-N 0.000 claims description 6
- GPRLSGONYQIRFK-MNYXATJNSA-N triton Chemical compound [3H+] GPRLSGONYQIRFK-MNYXATJNSA-N 0.000 claims description 6
- 239000000811 xylitol Substances 0.000 claims description 6
- HEBKCHPVOIAQTA-SCDXWVJYSA-N xylitol Chemical compound OC[C@H](O)[C@@H](O)[C@H](O)CO HEBKCHPVOIAQTA-SCDXWVJYSA-N 0.000 claims description 6
- 229960002675 xylitol Drugs 0.000 claims description 6
- 235000010447 xylitol Nutrition 0.000 claims description 6
- RTBFRGCFXZNCOE-UHFFFAOYSA-N 1-methylsulfonylpiperidin-4-one Chemical compound CS(=O)(=O)N1CCC(=O)CC1 RTBFRGCFXZNCOE-UHFFFAOYSA-N 0.000 claims description 5
- QWENRTYMTSOGBR-UHFFFAOYSA-N 1H-1,2,3-Triazole Chemical compound C=1C=NNN=1 QWENRTYMTSOGBR-UHFFFAOYSA-N 0.000 claims description 5
- 229930024421 Adenine Natural products 0.000 claims description 5
- GFFGJBXGBJISGV-UHFFFAOYSA-N Adenine Chemical compound NC1=NC=NC2=C1N=CN2 GFFGJBXGBJISGV-UHFFFAOYSA-N 0.000 claims description 5
- 239000003082 abrasive agent Substances 0.000 claims description 5
- 229960000643 adenine Drugs 0.000 claims description 5
- JFCQEDHGNNZCLN-UHFFFAOYSA-N anhydrous glutaric acid Natural products OC(=O)CCCC(O)=O JFCQEDHGNNZCLN-UHFFFAOYSA-N 0.000 claims description 5
- MTHSVFCYNBDYFN-UHFFFAOYSA-N diethylene glycol Chemical compound OCCOCCO MTHSVFCYNBDYFN-UHFFFAOYSA-N 0.000 claims description 5
- FPYJFEHAWHCUMM-UHFFFAOYSA-N maleic anhydride Chemical compound O=C1OC(=O)C=C1 FPYJFEHAWHCUMM-UHFFFAOYSA-N 0.000 claims description 5
- 239000007800 oxidant agent Substances 0.000 claims description 5
- APSBXTVYXVQYAB-UHFFFAOYSA-M sodium docusate Chemical compound [Na+].CCCCC(CC)COC(=O)CC(S([O-])(=O)=O)C(=O)OCC(CC)CCCC APSBXTVYXVQYAB-UHFFFAOYSA-M 0.000 claims description 5
- JNYAEWCLZODPBN-JGWLITMVSA-N (2r,3r,4s)-2-[(1r)-1,2-dihydroxyethyl]oxolane-3,4-diol Polymers OC[C@@H](O)[C@H]1OC[C@H](O)[C@H]1O JNYAEWCLZODPBN-JGWLITMVSA-N 0.000 claims description 4
- KYVBNYUBXIEUFW-UHFFFAOYSA-N 1,1,3,3-tetramethylguanidine Chemical compound CN(C)C(=N)N(C)C KYVBNYUBXIEUFW-UHFFFAOYSA-N 0.000 claims description 4
- VZXTWGWHSMCWGA-UHFFFAOYSA-N 1,3,5-triazine-2,4-diamine Chemical compound NC1=NC=NC(N)=N1 VZXTWGWHSMCWGA-UHFFFAOYSA-N 0.000 claims description 4
- IMBBXSASDSZJSX-UHFFFAOYSA-N 4-Carboxypyrazole Chemical compound OC(=O)C=1C=NNC=1 IMBBXSASDSZJSX-UHFFFAOYSA-N 0.000 claims description 4
- NSPMIYGKQJPBQR-UHFFFAOYSA-N 4H-1,2,4-triazole Chemical compound C=1N=CNN=1 NSPMIYGKQJPBQR-UHFFFAOYSA-N 0.000 claims description 4
- LINDOXZENKYESA-UHFFFAOYSA-N TMG Natural products CNC(N)=NC LINDOXZENKYESA-UHFFFAOYSA-N 0.000 claims description 4
- SRSXLGNVWSONIS-UHFFFAOYSA-N benzenesulfonic acid Chemical compound OS(=O)(=O)C1=CC=CC=C1 SRSXLGNVWSONIS-UHFFFAOYSA-N 0.000 claims description 4
- 229940092714 benzenesulfonic acid Drugs 0.000 claims description 4
- JGUQDUKBUKFFRO-CIIODKQPSA-N dimethylglyoxime Chemical compound O/N=C(/C)\C(\C)=N\O JGUQDUKBUKFFRO-CIIODKQPSA-N 0.000 claims description 4
- WQGWDDDVZFFDIG-UHFFFAOYSA-N pyrogallol Chemical compound OC1=CC=CC(O)=C1O WQGWDDDVZFFDIG-UHFFFAOYSA-N 0.000 claims description 4
- AVQQQNCBBIEMEU-UHFFFAOYSA-N 1,1,3,3-tetramethylurea Chemical compound CN(C)C(=O)N(C)C AVQQQNCBBIEMEU-UHFFFAOYSA-N 0.000 claims description 3
- JPIGSMKDJQPHJC-UHFFFAOYSA-N 1-(2-aminoethoxy)ethanol Chemical compound CC(O)OCCN JPIGSMKDJQPHJC-UHFFFAOYSA-N 0.000 claims description 3
- HXKKHQJGJAFBHI-UHFFFAOYSA-N 1-aminopropan-2-ol Chemical compound CC(O)CN HXKKHQJGJAFBHI-UHFFFAOYSA-N 0.000 claims description 3
- JLVSRWOIZZXQAD-UHFFFAOYSA-N 2,3-disulfanylpropane-1-sulfonic acid Chemical compound OS(=O)(=O)CC(S)CS JLVSRWOIZZXQAD-UHFFFAOYSA-N 0.000 claims description 3
- JNYAEWCLZODPBN-UHFFFAOYSA-N 2-(1,2-dihydroxyethyl)oxolane-3,4-diol Polymers OCC(O)C1OCC(O)C1O JNYAEWCLZODPBN-UHFFFAOYSA-N 0.000 claims description 3
- MIJDSYMOBYNHOT-UHFFFAOYSA-N 2-(ethylamino)ethanol Chemical compound CCNCCO MIJDSYMOBYNHOT-UHFFFAOYSA-N 0.000 claims description 3
- CIWBSHSKHKDKBQ-SZSCBOSDSA-N 2-[(1s)-1,2-dihydroxyethyl]-3,4-dihydroxy-2h-furan-5-one Chemical compound OC[C@H](O)C1OC(=O)C(O)=C1O CIWBSHSKHKDKBQ-SZSCBOSDSA-N 0.000 claims description 3
- YSAANLSYLSUVHB-UHFFFAOYSA-N 2-[2-(dimethylamino)ethoxy]ethanol Chemical compound CN(C)CCOCCO YSAANLSYLSUVHB-UHFFFAOYSA-N 0.000 claims description 3
- WXHLLJAMBQLULT-UHFFFAOYSA-N 2-[[6-[4-(2-hydroxyethyl)piperazin-1-yl]-2-methylpyrimidin-4-yl]amino]-n-(2-methyl-6-sulfanylphenyl)-1,3-thiazole-5-carboxamide;hydrate Chemical compound O.C=1C(N2CCN(CCO)CC2)=NC(C)=NC=1NC(S1)=NC=C1C(=O)NC1=C(C)C=CC=C1S WXHLLJAMBQLULT-UHFFFAOYSA-N 0.000 claims description 3
- KWIPUXXIFQQMKN-UHFFFAOYSA-N 2-azaniumyl-3-(4-cyanophenyl)propanoate Chemical compound OC(=O)C(N)CC1=CC=C(C#N)C=C1 KWIPUXXIFQQMKN-UHFFFAOYSA-N 0.000 claims description 3
- WBIQQQGBSDOWNP-UHFFFAOYSA-N 2-dodecylbenzenesulfonic acid Chemical compound CCCCCCCCCCCCC1=CC=CC=C1S(O)(=O)=O WBIQQQGBSDOWNP-UHFFFAOYSA-N 0.000 claims description 3
- ASUDFOJKTJLAIK-UHFFFAOYSA-N 2-methoxyethanamine Chemical compound COCCN ASUDFOJKTJLAIK-UHFFFAOYSA-N 0.000 claims description 3
- CJNRGSHEMCMUOE-UHFFFAOYSA-N 2-piperidin-1-ylethanamine Chemical compound NCCN1CCCCC1 CJNRGSHEMCMUOE-UHFFFAOYSA-N 0.000 claims description 3
- ULRPISSMEBPJLN-UHFFFAOYSA-N 2h-tetrazol-5-amine Chemical compound NC1=NN=NN1 ULRPISSMEBPJLN-UHFFFAOYSA-N 0.000 claims description 3
- NYYSPVRERVXMLJ-UHFFFAOYSA-N 4,4-difluorocyclohexan-1-one Chemical compound FC1(F)CCC(=O)CC1 NYYSPVRERVXMLJ-UHFFFAOYSA-N 0.000 claims description 3
- ZHBXGHWSVIBUCQ-UHFFFAOYSA-N 5-tert-butyl-1h-pyrazol-3-amine Chemical compound CC(C)(C)C1=CC(N)=NN1 ZHBXGHWSVIBUCQ-UHFFFAOYSA-N 0.000 claims description 3
- 239000005711 Benzoic acid Substances 0.000 claims description 3
- 239000002126 C01EB10 - Adenosine Substances 0.000 claims description 3
- CIWBSHSKHKDKBQ-DUZGATOHSA-N D-isoascorbic acid Chemical compound OC[C@@H](O)[C@H]1OC(=O)C(O)=C1O CIWBSHSKHKDKBQ-DUZGATOHSA-N 0.000 claims description 3
- RPNUMPOLZDHAAY-UHFFFAOYSA-N Diethylenetriamine Chemical compound NCCNCCN RPNUMPOLZDHAAY-UHFFFAOYSA-N 0.000 claims description 3
- 239000002211 L-ascorbic acid Substances 0.000 claims description 3
- 235000000069 L-ascorbic acid Nutrition 0.000 claims description 3
- 150000000996 L-ascorbic acids Chemical class 0.000 claims description 3
- OPKOKAMJFNKNAS-UHFFFAOYSA-N N-methylethanolamine Chemical compound CNCCO OPKOKAMJFNKNAS-UHFFFAOYSA-N 0.000 claims description 3
- 229920003171 Poly (ethylene oxide) Polymers 0.000 claims description 3
- WUGQZFFCHPXWKQ-UHFFFAOYSA-N Propanolamine Chemical compound NCCCO WUGQZFFCHPXWKQ-UHFFFAOYSA-N 0.000 claims description 3
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 claims description 3
- 229920004890 Triton X-100 Polymers 0.000 claims description 3
- LEHOTFFKMJEONL-UHFFFAOYSA-N Uric Acid Chemical compound N1C(=O)NC(=O)C2=C1NC(=O)N2 LEHOTFFKMJEONL-UHFFFAOYSA-N 0.000 claims description 3
- TVWHNULVHGKJHS-UHFFFAOYSA-N Uric acid Natural products N1C(=O)NC(=O)C2NC(=O)NC21 TVWHNULVHGKJHS-UHFFFAOYSA-N 0.000 claims description 3
- 229960005305 adenosine Drugs 0.000 claims description 3
- CBTVGIZVANVGBH-UHFFFAOYSA-N aminomethyl propanol Chemical compound CC(C)(N)CO CBTVGIZVANVGBH-UHFFFAOYSA-N 0.000 claims description 3
- 229940090948 ammonium benzoate Drugs 0.000 claims description 3
- 229940009098 aspartate Drugs 0.000 claims description 3
- HNYOPLTXPVRDBG-UHFFFAOYSA-N barbituric acid Chemical compound O=C1CC(=O)NC(=O)N1 HNYOPLTXPVRDBG-UHFFFAOYSA-N 0.000 claims description 3
- 235000010233 benzoic acid Nutrition 0.000 claims description 3
- KGBXLFKZBHKPEV-UHFFFAOYSA-N boric acid Chemical compound OB(O)O KGBXLFKZBHKPEV-UHFFFAOYSA-N 0.000 claims description 3
- 239000004327 boric acid Substances 0.000 claims description 3
- FJDQFPXHSGXQBY-UHFFFAOYSA-L caesium carbonate Chemical compound [Cs+].[Cs+].[O-]C([O-])=O FJDQFPXHSGXQBY-UHFFFAOYSA-L 0.000 claims description 3
- 229910000024 caesium carbonate Inorganic materials 0.000 claims description 3
- 239000004202 carbamide Substances 0.000 claims description 3
- ZBCBWPMODOFKDW-UHFFFAOYSA-N diethanolamine Chemical compound OCCNCCO ZBCBWPMODOFKDW-UHFFFAOYSA-N 0.000 claims description 3
- 229940043279 diisopropylamine Drugs 0.000 claims description 3
- WQABCVAJNWAXTE-UHFFFAOYSA-N dimercaprol Chemical compound OCC(S)CS WQABCVAJNWAXTE-UHFFFAOYSA-N 0.000 claims description 3
- 229960000878 docusate sodium Drugs 0.000 claims description 3
- 229940060296 dodecylbenzenesulfonic acid Drugs 0.000 claims description 3
- BJAJDJDODCWPNS-UHFFFAOYSA-N dotp Chemical compound O=C1N2CCOC2=NC2=C1SC=C2 BJAJDJDODCWPNS-UHFFFAOYSA-N 0.000 claims description 3
- 235000010350 erythorbic acid Nutrition 0.000 claims description 3
- XLYOFNOQVPJJNP-UHFFFAOYSA-M hydroxide Chemical compound [OH-] XLYOFNOQVPJJNP-UHFFFAOYSA-M 0.000 claims description 3
- 150000004679 hydroxides Chemical class 0.000 claims description 3
- 229940026239 isoascorbic acid Drugs 0.000 claims description 3
- JJWLVOIRVHMVIS-UHFFFAOYSA-N isopropylamine Chemical compound CC(C)N JJWLVOIRVHMVIS-UHFFFAOYSA-N 0.000 claims description 3
- 229940098779 methanesulfonic acid Drugs 0.000 claims description 3
- CRVGTESFCCXCTH-UHFFFAOYSA-N methyl diethanolamine Chemical compound OCCN(C)CCO CRVGTESFCCXCTH-UHFFFAOYSA-N 0.000 claims description 3
- 125000000325 methylidene group Chemical group [H]C([H])=* 0.000 claims description 3
- 125000000896 monocarboxylic acid group Chemical group 0.000 claims description 3
- FJKROLUGYXJWQN-UHFFFAOYSA-N papa-hydroxy-benzoic acid Natural products OC(=O)C1=CC=C(O)C=C1 FJKROLUGYXJWQN-UHFFFAOYSA-N 0.000 claims description 3
- 239000010702 perfluoropolyether Substances 0.000 claims description 3
- 229920001992 poloxamer 407 Polymers 0.000 claims description 3
- 229920000058 polyacrylate Polymers 0.000 claims description 3
- 229940107700 pyruvic acid Drugs 0.000 claims description 3
- 229960004889 salicylic acid Drugs 0.000 claims description 3
- JNYAEWCLZODPBN-CTQIIAAMSA-N sorbitan Polymers OCC(O)C1OCC(O)[C@@H]1O JNYAEWCLZODPBN-CTQIIAAMSA-N 0.000 claims description 3
- 239000011593 sulfur Substances 0.000 claims description 3
- 229910052717 sulfur Inorganic materials 0.000 claims description 3
- CRUVUWATNULHFA-UHFFFAOYSA-M tetramethylphosphanium;hydroxide Chemical compound [OH-].C[P+](C)(C)C CRUVUWATNULHFA-UHFFFAOYSA-M 0.000 claims description 3
- 150000003672 ureas Chemical class 0.000 claims description 3
- 229940116269 uric acid Drugs 0.000 claims description 3
- YGKHJWTVMIMEPQ-UHFFFAOYSA-N 1,2-propanedithiol Chemical compound CC(S)CS YGKHJWTVMIMEPQ-UHFFFAOYSA-N 0.000 claims description 2
- ZROILLPDIUNLSE-UHFFFAOYSA-N 1-phenyl-1h-pyrazole-4-carboxylic acid Chemical compound C1=C(C(=O)O)C=NN1C1=CC=CC=C1 ZROILLPDIUNLSE-UHFFFAOYSA-N 0.000 claims description 2
- JCBPETKZIGVZRE-UHFFFAOYSA-N 2-aminobutan-1-ol Chemical compound CCC(N)CO JCBPETKZIGVZRE-UHFFFAOYSA-N 0.000 claims description 2
- ZALYLXDYLPNASZ-UHFFFAOYSA-N C1COCCCOC=CCOC1 Chemical compound C1COCCCOC=CCOC1 ZALYLXDYLPNASZ-UHFFFAOYSA-N 0.000 claims description 2
- MXGATKSYWRCGTK-UHFFFAOYSA-N CCCN(C1=CC=CC=C1)N(C1=CC=CC=C1)C1=CC=CC=C1.O Chemical compound CCCN(C1=CC=CC=C1)N(C1=CC=CC=C1)C1=CC=CC=C1.O MXGATKSYWRCGTK-UHFFFAOYSA-N 0.000 claims description 2
- OHVQONJECVGYKQ-UHFFFAOYSA-N CCN(C1=CC=CC=C1)N(C1=CC=CC=C1)C1=CC=CC=C1.O Chemical compound CCN(C1=CC=CC=C1)N(C1=CC=CC=C1)C1=CC=CC=C1.O OHVQONJECVGYKQ-UHFFFAOYSA-N 0.000 claims description 2
- ONIBWKKTOPOVIA-BYPYZUCNSA-N L-Proline Chemical compound OC(=O)[C@@H]1CCCN1 ONIBWKKTOPOVIA-BYPYZUCNSA-N 0.000 claims description 2
- BAVYZALUXZFZLV-UHFFFAOYSA-O Methylammonium ion Chemical compound [NH3+]C BAVYZALUXZFZLV-UHFFFAOYSA-O 0.000 claims description 2
- FPOQLQZHRCEVOT-UHFFFAOYSA-N N-hydroxy-2-phenylacetamide Chemical compound ONC(=O)CC1=CC=CC=C1 FPOQLQZHRCEVOT-UHFFFAOYSA-N 0.000 claims description 2
- ONIBWKKTOPOVIA-UHFFFAOYSA-N Proline Natural products OC(=O)C1CCCN1 ONIBWKKTOPOVIA-UHFFFAOYSA-N 0.000 claims description 2
- DBMJMQXJHONAFJ-UHFFFAOYSA-M Sodium laurylsulphate Chemical compound [Na+].CCCCCCCCCCCCOS([O-])(=O)=O DBMJMQXJHONAFJ-UHFFFAOYSA-M 0.000 claims description 2
- YDONNITUKPKTIG-UHFFFAOYSA-N [Nitrilotris(methylene)]trisphosphonic acid Chemical compound OP(O)(=O)CN(CP(O)(O)=O)CP(O)(O)=O YDONNITUKPKTIG-UHFFFAOYSA-N 0.000 claims description 2
- NTUWKVKWEMHXNZ-UHFFFAOYSA-N [OH-].C(C)N(C(N(CC)CC)=[NH2+])CC Chemical compound [OH-].C(C)N(C(N(CC)CC)=[NH2+])CC NTUWKVKWEMHXNZ-UHFFFAOYSA-N 0.000 claims description 2
- 229960004106 citric acid Drugs 0.000 claims description 2
- 230000018044 dehydration Effects 0.000 claims description 2
- 238000006297 dehydration reaction Methods 0.000 claims description 2
- 229960001051 dimercaprol Drugs 0.000 claims description 2
- ZFSLODLOARCGLH-UHFFFAOYSA-N isocyanuric acid Chemical compound OC1=NC(O)=NC(O)=N1 ZFSLODLOARCGLH-UHFFFAOYSA-N 0.000 claims description 2
- 229960000448 lactic acid Drugs 0.000 claims description 2
- YACKEPLHDIMKIO-UHFFFAOYSA-N methylphosphonic acid Chemical compound CP(O)(O)=O YACKEPLHDIMKIO-UHFFFAOYSA-N 0.000 claims description 2
- 229940079877 pyrogallol Drugs 0.000 claims description 2
- 235000019333 sodium laurylsulphate Nutrition 0.000 claims description 2
- UHOVQNZJYSORNB-UHFFFAOYSA-N Benzene Chemical compound C1=CC=CC=C1 UHOVQNZJYSORNB-UHFFFAOYSA-N 0.000 claims 4
- 239000003085 diluting agent Substances 0.000 claims 4
- OIXUJRCCNNHWFI-UHFFFAOYSA-N 1,2-dioxane Chemical compound C1CCOOC1 OIXUJRCCNNHWFI-UHFFFAOYSA-N 0.000 claims 2
- TUSDEZXZIZRFGC-UHFFFAOYSA-N 1-O-galloyl-3,6-(R)-HHDP-beta-D-glucose Natural products OC1C(O2)COC(=O)C3=CC(O)=C(O)C(O)=C3C3=C(O)C(O)=C(O)C=C3C(=O)OC1C(O)C2OC(=O)C1=CC(O)=C(O)C(O)=C1 TUSDEZXZIZRFGC-UHFFFAOYSA-N 0.000 claims 2
- ULUAUXLGCMPNKK-UHFFFAOYSA-K 2-sulfonatobutanedioate Chemical compound [O-]C(=O)CC(C([O-])=O)S([O-])(=O)=O ULUAUXLGCMPNKK-UHFFFAOYSA-K 0.000 claims 2
- CNPURSDMOWDNOQ-UHFFFAOYSA-N 4-methoxy-7h-pyrrolo[2,3-d]pyrimidin-2-amine Chemical compound COC1=NC(N)=NC2=C1C=CN2 CNPURSDMOWDNOQ-UHFFFAOYSA-N 0.000 claims 2
- CFNQEOQNTYZSFF-UHFFFAOYSA-N C(C1=CC=CC=C1)N(C1=CC=CC=C1)N(C1=CC=CC=C1)C1=CC=CC=C1.O Chemical compound C(C1=CC=CC=C1)N(C1=CC=CC=C1)N(C1=CC=CC=C1)C1=CC=CC=C1.O CFNQEOQNTYZSFF-UHFFFAOYSA-N 0.000 claims 2
- CBZSVAKTPDFCLQ-UHFFFAOYSA-N CCCN(CCC)N(CCC)CCC.O Chemical compound CCCN(CCC)N(CCC)CCC.O CBZSVAKTPDFCLQ-UHFFFAOYSA-N 0.000 claims 2
- AQYGLXJHFMINGZ-UHFFFAOYSA-N CN(C1=CC=CC=C1)N(C1=CC=CC=C1)C1=CC=CC=C1.O Chemical compound CN(C1=CC=CC=C1)N(C1=CC=CC=C1)C1=CC=CC=C1.O AQYGLXJHFMINGZ-UHFFFAOYSA-N 0.000 claims 2
- 239000001263 FEMA 3042 Substances 0.000 claims 2
- LRBQNJMCXXYXIU-PPKXGCFTSA-N Penta-digallate-beta-D-glucose Natural products OC1=C(O)C(O)=CC(C(=O)OC=2C(=C(O)C=C(C=2)C(=O)OC[C@@H]2[C@H]([C@H](OC(=O)C=3C=C(OC(=O)C=4C=C(O)C(O)=C(O)C=4)C(O)=C(O)C=3)[C@@H](OC(=O)C=3C=C(OC(=O)C=4C=C(O)C(O)=C(O)C=4)C(O)=C(O)C=3)[C@H](OC(=O)C=3C=C(OC(=O)C=4C=C(O)C(O)=C(O)C=4)C(O)=C(O)C=3)O2)OC(=O)C=2C=C(OC(=O)C=3C=C(O)C(O)=C(O)C=3)C(O)=C(O)C=2)O)=C1 LRBQNJMCXXYXIU-PPKXGCFTSA-N 0.000 claims 2
- ABLZXFCXXLZCGV-UHFFFAOYSA-N Phosphorous acid Chemical compound OP(O)=O ABLZXFCXXLZCGV-UHFFFAOYSA-N 0.000 claims 2
- ZOAIGCHJWKDIPJ-UHFFFAOYSA-M caesium acetate Chemical compound [Cs+].CC([O-])=O ZOAIGCHJWKDIPJ-UHFFFAOYSA-M 0.000 claims 2
- GHVNFZFCNZKVNT-UHFFFAOYSA-N decanoic acid Chemical compound CCCCCCCCCC(O)=O GHVNFZFCNZKVNT-UHFFFAOYSA-N 0.000 claims 2
- KVFVBPYVNUCWJX-UHFFFAOYSA-M ethyl(trimethyl)azanium;hydroxide Chemical compound [OH-].CC[N+](C)(C)C KVFVBPYVNUCWJX-UHFFFAOYSA-M 0.000 claims 2
- 239000004811 fluoropolymer Substances 0.000 claims 2
- 229920002313 fluoropolymer Polymers 0.000 claims 2
- LRBQNJMCXXYXIU-QWKBTXIPSA-N gallotannic acid Chemical compound OC1=C(O)C(O)=CC(C(=O)OC=2C(=C(O)C=C(C=2)C(=O)OC[C@H]2[C@@H]([C@@H](OC(=O)C=3C=C(OC(=O)C=4C=C(O)C(O)=C(O)C=4)C(O)=C(O)C=3)[C@H](OC(=O)C=3C=C(OC(=O)C=4C=C(O)C(O)=C(O)C=4)C(O)=C(O)C=3)[C@@H](OC(=O)C=3C=C(OC(=O)C=4C=C(O)C(O)=C(O)C=4)C(O)=C(O)C=3)O2)OC(=O)C=2C=C(OC(=O)C=3C=C(O)C(O)=C(O)C=3)C(O)=C(O)C=2)O)=C1 LRBQNJMCXXYXIU-QWKBTXIPSA-N 0.000 claims 2
- 235000015523 tannic acid Nutrition 0.000 claims 2
- 229940033123 tannic acid Drugs 0.000 claims 2
- 229920002258 tannic acid Polymers 0.000 claims 2
- VVZRKVYGKNFTRR-UHFFFAOYSA-N 12h-benzo[a]xanthene Chemical compound C1=CC=CC2=C3CC4=CC=CC=C4OC3=CC=C21 VVZRKVYGKNFTRR-UHFFFAOYSA-N 0.000 claims 1
- 239000005632 Capric acid (CAS 334-48-5) Substances 0.000 claims 1
- 229920000877 Melamine resin Polymers 0.000 claims 1
- FZWLAAWBMGSTSO-UHFFFAOYSA-N Thiazole Chemical compound C1=CSC=N1 FZWLAAWBMGSTSO-UHFFFAOYSA-N 0.000 claims 1
- 239000007983 Tris buffer Substances 0.000 claims 1
- 239000008280 blood Substances 0.000 claims 1
- 210000004369 blood Anatomy 0.000 claims 1
- KVNRLNFWIYMESJ-UHFFFAOYSA-N butyronitrile Chemical compound CCCC#N KVNRLNFWIYMESJ-UHFFFAOYSA-N 0.000 claims 1
- 150000002148 esters Chemical class 0.000 claims 1
- SMSCVBBYKOFGCY-UHFFFAOYSA-M ethyl(triphenyl)phosphanium;hydroxide Chemical compound [OH-].C=1C=CC=CC=1[P+](C=1C=CC=CC=1)(CC)C1=CC=CC=C1 SMSCVBBYKOFGCY-UHFFFAOYSA-M 0.000 claims 1
- JDSHMPZPIAZGSV-UHFFFAOYSA-N melamine Chemical compound NC1=NC(N)=NC(N)=N1 JDSHMPZPIAZGSV-UHFFFAOYSA-N 0.000 claims 1
- ZEKHQGJLMVUSKE-UHFFFAOYSA-N n-ethylethanamine;hydrate Chemical compound [OH-].CC[NH2+]CC ZEKHQGJLMVUSKE-UHFFFAOYSA-N 0.000 claims 1
- 229910052708 sodium Inorganic materials 0.000 claims 1
- 239000011734 sodium Substances 0.000 claims 1
- 239000000463 material Substances 0.000 abstract description 36
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 abstract description 17
- 229910052802 copper Inorganic materials 0.000 abstract description 16
- 239000010949 copper Substances 0.000 abstract description 16
- 230000008569 process Effects 0.000 abstract description 16
- 239000003989 dielectric material Substances 0.000 abstract description 9
- 229910001854 alkali hydroxide Inorganic materials 0.000 abstract 1
- 239000000758 substrate Substances 0.000 description 12
- 239000002245 particle Substances 0.000 description 11
- 235000012431 wafers Nutrition 0.000 description 11
- 239000010410 layer Substances 0.000 description 10
- 239000002002 slurry Substances 0.000 description 10
- OKKJLVBELUTLKV-UHFFFAOYSA-N Methanol Chemical compound OC OKKJLVBELUTLKV-UHFFFAOYSA-N 0.000 description 9
- 239000006227 byproduct Substances 0.000 description 8
- 239000003795 chemical substances by application Substances 0.000 description 8
- 239000000047 product Substances 0.000 description 8
- 238000006243 chemical reaction Methods 0.000 description 7
- AMQJEAYHLZJPGS-UHFFFAOYSA-N N-Pentanol Chemical compound CCCCCO AMQJEAYHLZJPGS-UHFFFAOYSA-N 0.000 description 6
- 229910052732 germanium Inorganic materials 0.000 description 6
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 6
- 238000000227 grinding Methods 0.000 description 6
- ZSIAUFGUXNUGDI-UHFFFAOYSA-N hexan-1-ol Chemical compound CCCCCCO ZSIAUFGUXNUGDI-UHFFFAOYSA-N 0.000 description 6
- 150000002739 metals Chemical class 0.000 description 6
- 150000001875 compounds Chemical class 0.000 description 5
- 238000004519 manufacturing process Methods 0.000 description 5
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 4
- 125000000484 butyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 4
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 4
- 239000007789 gas Substances 0.000 description 4
- 125000004051 hexyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 4
- 125000001147 pentyl group Chemical group C(CCCC)* 0.000 description 4
- 125000001436 propyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])[H] 0.000 description 4
- 230000009467 reduction Effects 0.000 description 4
- 125000004169 (C1-C6) alkyl group Chemical group 0.000 description 3
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 3
- KWYUFKZDYYNOTN-UHFFFAOYSA-M Potassium hydroxide Chemical compound [OH-].[K+] KWYUFKZDYYNOTN-UHFFFAOYSA-M 0.000 description 3
- JUJWROOIHBZHMG-UHFFFAOYSA-N Pyridine Chemical compound C1=CC=NC=C1 JUJWROOIHBZHMG-UHFFFAOYSA-N 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- 230000004888 barrier function Effects 0.000 description 3
- 125000001797 benzyl group Chemical group [H]C1=C([H])C([H])=C(C([H])=C1[H])C([H])([H])* 0.000 description 3
- 229910052799 carbon Inorganic materials 0.000 description 3
- 239000012141 concentrate Substances 0.000 description 3
- 239000013078 crystal Substances 0.000 description 3
- 239000008367 deionised water Substances 0.000 description 3
- 229910021641 deionized water Inorganic materials 0.000 description 3
- 238000010790 dilution Methods 0.000 description 3
- 239000012895 dilution Substances 0.000 description 3
- 238000001465 metallisation Methods 0.000 description 3
- 230000003647 oxidation Effects 0.000 description 3
- 238000007254 oxidation reaction Methods 0.000 description 3
- 229920002120 photoresistant polymer Polymers 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- 238000002360 preparation method Methods 0.000 description 3
- BDERNNFJNOPAEC-UHFFFAOYSA-N propan-1-ol Chemical compound CCCO BDERNNFJNOPAEC-UHFFFAOYSA-N 0.000 description 3
- 238000001039 wet etching Methods 0.000 description 3
- KOPFEFZSAMLEHK-UHFFFAOYSA-N 1h-pyrazole-5-carboxylic acid Chemical compound OC(=O)C=1C=CNN=1 KOPFEFZSAMLEHK-UHFFFAOYSA-N 0.000 description 2
- MFGOFGRYDNHJTA-UHFFFAOYSA-N 2-amino-1-(2-fluorophenyl)ethanol Chemical compound NCC(O)C1=CC=CC=C1F MFGOFGRYDNHJTA-UHFFFAOYSA-N 0.000 description 2
- KDCGOANMDULRCW-UHFFFAOYSA-N 7H-purine Chemical compound N1=CNC2=NC=NC2=C1 KDCGOANMDULRCW-UHFFFAOYSA-N 0.000 description 2
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- 229910052684 Cerium Inorganic materials 0.000 description 2
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 2
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 2
- ATUOYWHBWRKTHZ-UHFFFAOYSA-N Propane Chemical compound CCC ATUOYWHBWRKTHZ-UHFFFAOYSA-N 0.000 description 2
- 229910052784 alkaline earth metal Inorganic materials 0.000 description 2
- 150000001342 alkaline earth metals Chemical class 0.000 description 2
- 125000003277 amino group Chemical group 0.000 description 2
- QRUDEWIWKLJBPS-UHFFFAOYSA-N benzotriazole Chemical compound C1=CC=C2N[N][N]C2=C1 QRUDEWIWKLJBPS-UHFFFAOYSA-N 0.000 description 2
- 239000012964 benzotriazole Substances 0.000 description 2
- HUCVOHYBFXVBRW-UHFFFAOYSA-M caesium hydroxide Inorganic materials [OH-].[Cs+] HUCVOHYBFXVBRW-UHFFFAOYSA-M 0.000 description 2
- GWXLDORMOJMVQZ-UHFFFAOYSA-N cerium Chemical compound [Ce] GWXLDORMOJMVQZ-UHFFFAOYSA-N 0.000 description 2
- 239000002738 chelating agent Substances 0.000 description 2
- 239000007795 chemical reaction product Substances 0.000 description 2
- 239000007857 degradation product Substances 0.000 description 2
- 238000001035 drying Methods 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- 239000004615 ingredient Substances 0.000 description 2
- 230000005764 inhibitory process Effects 0.000 description 2
- 239000000138 intercalating agent Substances 0.000 description 2
- PYIGXCSOLWAMGG-UHFFFAOYSA-M methyl(triphenyl)phosphanium;hydroxide Chemical compound [OH-].C=1C=CC=CC=1[P+](C=1C=CC=CC=1)(C)C1=CC=CC=C1 PYIGXCSOLWAMGG-UHFFFAOYSA-M 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 125000002524 organometallic group Chemical group 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 238000007747 plating Methods 0.000 description 2
- 238000006116 polymerization reaction Methods 0.000 description 2
- 239000000244 polyoxyethylene sorbitan monooleate Substances 0.000 description 2
- 235000010482 polyoxyethylene sorbitan monooleate Nutrition 0.000 description 2
- 229920000053 polysorbate 80 Polymers 0.000 description 2
- 229940068968 polysorbate 80 Drugs 0.000 description 2
- VQMSRUREDGBWKT-UHFFFAOYSA-N quinoline-4-carboxylic acid Chemical compound C1=CC=C2C(C(=O)O)=CC=NC2=C1 VQMSRUREDGBWKT-UHFFFAOYSA-N 0.000 description 2
- 239000000243 solution Substances 0.000 description 2
- 229910052715 tantalum Inorganic materials 0.000 description 2
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 2
- 150000005622 tetraalkylammonium hydroxides Chemical class 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- BIGYLAKFCGVRAN-UHFFFAOYSA-N 1,3,4-thiadiazolidine-2,5-dithione Chemical compound S=C1NNC(=S)S1 BIGYLAKFCGVRAN-UHFFFAOYSA-N 0.000 description 1
- JOZSYOPADROCMP-UHFFFAOYSA-N 1,3-thiazol-2-ylmethanamine Chemical compound NCC1=NC=CS1 JOZSYOPADROCMP-UHFFFAOYSA-N 0.000 description 1
- KAESVJOAVNADME-UHFFFAOYSA-N 1H-pyrrole Natural products C=1C=CNC=1 KAESVJOAVNADME-UHFFFAOYSA-N 0.000 description 1
- KJUGUADJHNHALS-UHFFFAOYSA-N 1H-tetrazole Substances C=1N=NNN=1 KJUGUADJHNHALS-UHFFFAOYSA-N 0.000 description 1
- HZKMBJCDAXLMDN-UHFFFAOYSA-N 2-amino-1,3-thiazole-5-carbaldehyde Chemical compound NC1=NC=C(C=O)S1 HZKMBJCDAXLMDN-UHFFFAOYSA-N 0.000 description 1
- YJTBHWXNEMGNDC-UHFFFAOYSA-N 2-amino-1,3-thiazole-5-carbonitrile Chemical compound NC1=NC=C(C#N)S1 YJTBHWXNEMGNDC-UHFFFAOYSA-N 0.000 description 1
- UWTGFRRQPGZXCA-UHFFFAOYSA-N 2-n,3-n-dimethoxybutane-2,3-diimine Chemical compound CON=C(C)C(C)=NOC UWTGFRRQPGZXCA-UHFFFAOYSA-N 0.000 description 1
- OCVLSHAVSIYKLI-UHFFFAOYSA-N 3h-1,3-thiazole-2-thione Chemical compound SC1=NC=CS1 OCVLSHAVSIYKLI-UHFFFAOYSA-N 0.000 description 1
- FYTLHYRDGXRYEY-UHFFFAOYSA-N 5-Methyl-3-pyrazolamine Chemical compound CC=1C=C(N)NN=1 FYTLHYRDGXRYEY-UHFFFAOYSA-N 0.000 description 1
- QZBGOTVBHYKUDS-UHFFFAOYSA-N 5-amino-1,2-dihydropyrazol-3-one Chemical compound NC1=CC(=O)NN1 QZBGOTVBHYKUDS-UHFFFAOYSA-N 0.000 description 1
- FPVUWZFFEGYCGB-UHFFFAOYSA-N 5-methyl-3h-1,3,4-thiadiazole-2-thione Chemical compound CC1=NN=C(S)S1 FPVUWZFFEGYCGB-UHFFFAOYSA-N 0.000 description 1
- QGZKDVFQNNGYKY-UHFFFAOYSA-O Ammonium Chemical compound [NH4+] QGZKDVFQNNGYKY-UHFFFAOYSA-O 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- 229910004613 CdTe Inorganic materials 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- 229920002565 Polyethylene Glycol 400 Polymers 0.000 description 1
- CZPWVGJYEJSRLH-UHFFFAOYSA-N Pyrimidine Chemical compound C1=CN=CN=C1 CZPWVGJYEJSRLH-UHFFFAOYSA-N 0.000 description 1
- NWGKJDSIEKMTRX-AAZCQSIUSA-N Sorbitan monooleate Chemical compound CCCCCCCC\C=C/CCCCCCCC(=O)OC[C@@H](O)[C@H]1OC[C@H](O)[C@H]1O NWGKJDSIEKMTRX-AAZCQSIUSA-N 0.000 description 1
- ULUAUXLGCMPNKK-UHFFFAOYSA-N Sulfobutanedioic acid Chemical class OC(=O)CC(C(O)=O)S(O)(=O)=O ULUAUXLGCMPNKK-UHFFFAOYSA-N 0.000 description 1
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- KTSFMFGEAAANTF-UHFFFAOYSA-N [Cu].[Se].[Se].[In] Chemical compound [Cu].[Se].[Se].[In] KTSFMFGEAAANTF-UHFFFAOYSA-N 0.000 description 1
- RUYRXLJUIXVHOV-UHFFFAOYSA-N [OH-].OCC[NH2+]C.[Bi] Chemical compound [OH-].OCC[NH2+]C.[Bi] RUYRXLJUIXVHOV-UHFFFAOYSA-N 0.000 description 1
- 238000005299 abrasion Methods 0.000 description 1
- WDJHALXBUFZDSR-UHFFFAOYSA-M acetoacetate Chemical compound CC(=O)CC([O-])=O WDJHALXBUFZDSR-UHFFFAOYSA-M 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- UDMBCSSLTHHNCD-KQYNXXCUSA-N adenosine 5'-monophosphate Chemical compound C1=NC=2C(N)=NC=NC=2N1[C@@H]1O[C@H](COP(O)(O)=O)[C@@H](O)[C@H]1O UDMBCSSLTHHNCD-KQYNXXCUSA-N 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 239000003513 alkali Substances 0.000 description 1
- 125000005262 alkoxyamine group Chemical group 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 150000004716 alpha keto acids Chemical class 0.000 description 1
- 235000001014 amino acid Nutrition 0.000 description 1
- 229940024606 amino acid Drugs 0.000 description 1
- 150000001413 amino acids Chemical class 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 238000004458 analytical method Methods 0.000 description 1
- 239000003945 anionic surfactant Substances 0.000 description 1
- 239000006117 anti-reflective coating Substances 0.000 description 1
- 229910052787 antimony Inorganic materials 0.000 description 1
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 1
- 125000004429 atom Chemical group 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 239000011230 binding agent Substances 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 229910052797 bismuth Inorganic materials 0.000 description 1
- JCXGWMGPZLAOME-UHFFFAOYSA-N bismuth atom Chemical compound [Bi] JCXGWMGPZLAOME-UHFFFAOYSA-N 0.000 description 1
- 230000001680 brushing effect Effects 0.000 description 1
- 125000002843 carboxylic acid group Chemical group 0.000 description 1
- 238000006555 catalytic reaction Methods 0.000 description 1
- 239000003093 cationic surfactant Substances 0.000 description 1
- VGBWDOLBWVJTRZ-UHFFFAOYSA-K cerium(3+);triacetate Chemical compound [Ce+3].CC([O-])=O.CC([O-])=O.CC([O-])=O VGBWDOLBWVJTRZ-UHFFFAOYSA-K 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 229910052729 chemical element Inorganic materials 0.000 description 1
- 238000001311 chemical methods and process Methods 0.000 description 1
- 239000003153 chemical reaction reagent Substances 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 239000011247 coating layer Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 239000000470 constituent Substances 0.000 description 1
- 239000004035 construction material Substances 0.000 description 1
- LCUOIYYHNRBAFS-UHFFFAOYSA-N copper;sulfanylideneindium Chemical compound [Cu].[In]=S LCUOIYYHNRBAFS-UHFFFAOYSA-N 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 238000000151 deposition Methods 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 238000004100 electronic packaging Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- QUSNBJAOOMFDIB-UHFFFAOYSA-O ethylaminium Chemical compound CC[NH3+] QUSNBJAOOMFDIB-UHFFFAOYSA-O 0.000 description 1
- 125000003709 fluoroalkyl group Chemical group 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 239000010931 gold Substances 0.000 description 1
- 125000000623 heterocyclic group Chemical group 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 239000000543 intermediate Substances 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 229910021645 metal ion Inorganic materials 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- NVTNQIBQPLGHGE-UHFFFAOYSA-N n,n-dibutylbutan-1-amine;hydrate Chemical compound [OH-].CCCC[NH+](CCCC)CCCC NVTNQIBQPLGHGE-UHFFFAOYSA-N 0.000 description 1
- 229910052758 niobium Inorganic materials 0.000 description 1
- 239000010955 niobium Substances 0.000 description 1
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 239000002736 nonionic surfactant Substances 0.000 description 1
- 239000011368 organic material Substances 0.000 description 1
- 229920000620 organic polymer Polymers 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 239000013618 particulate matter Substances 0.000 description 1
- 150000003009 phosphonic acids Chemical class 0.000 description 1
- 239000011148 porous material Substances 0.000 description 1
- 150000003141 primary amines Chemical class 0.000 description 1
- 239000001294 propane Substances 0.000 description 1
- UMJSCPRVCHMLSP-UHFFFAOYSA-N pyridine Natural products COC1=CC=CN=C1 UMJSCPRVCHMLSP-UHFFFAOYSA-N 0.000 description 1
- 125000001453 quaternary ammonium group Chemical group 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 238000006722 reduction reaction Methods 0.000 description 1
- 239000003870 refractory metal Substances 0.000 description 1
- 239000012487 rinsing solution Substances 0.000 description 1
- 238000007788 roughening Methods 0.000 description 1
- 150000003335 secondary amines Chemical class 0.000 description 1
- 239000004065 semiconductor Substances 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- 150000004760 silicates Chemical class 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 229950004959 sorbitan oleate Drugs 0.000 description 1
- 239000007921 spray Substances 0.000 description 1
- 125000001424 substituent group Chemical group 0.000 description 1
- 239000011885 synergistic combination Substances 0.000 description 1
- 238000010189 synthetic method Methods 0.000 description 1
- 229920001864 tannin Polymers 0.000 description 1
- 235000018553 tannin Nutrition 0.000 description 1
- 239000001648 tannin Substances 0.000 description 1
- 150000004772 tellurides Chemical class 0.000 description 1
- 150000003512 tertiary amines Chemical class 0.000 description 1
- QEMXHQIAXOOASZ-UHFFFAOYSA-N tetramethylammonium Chemical compound C[N+](C)(C)C QEMXHQIAXOOASZ-UHFFFAOYSA-N 0.000 description 1
- OORMKVJAUGZYKP-UHFFFAOYSA-M tetrapropylphosphanium;hydroxide Chemical compound [OH-].CCC[P+](CCC)(CCC)CCC OORMKVJAUGZYKP-UHFFFAOYSA-M 0.000 description 1
- 239000003451 thiazide diuretic agent Substances 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 230000009466 transformation Effects 0.000 description 1
- 238000000844 transformation Methods 0.000 description 1
- 238000011144 upstream manufacturing Methods 0.000 description 1
- 239000010455 vermiculite Substances 0.000 description 1
- 235000019354 vermiculite Nutrition 0.000 description 1
- 229910052902 vermiculite Inorganic materials 0.000 description 1
- 239000002888 zwitterionic surfactant Substances 0.000 description 1
Classifications
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D3/00—Other compounding ingredients of detergent compositions covered in group C11D1/00
- C11D3/16—Organic compounds
- C11D3/26—Organic compounds containing nitrogen
- C11D3/30—Amines; Substituted amines ; Quaternized amines
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D1/00—Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
- C11D1/38—Cationic compounds
- C11D1/62—Quaternary ammonium compounds
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D3/00—Other compounding ingredients of detergent compositions covered in group C11D1/00
- C11D3/0005—Other compounding ingredients characterised by their effect
- C11D3/0073—Anticorrosion compositions
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D7/00—Compositions of detergents based essentially on non-surface-active compounds
- C11D7/22—Organic compounds
- C11D7/32—Organic compounds containing nitrogen
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D7/00—Compositions of detergents based essentially on non-surface-active compounds
- C11D7/22—Organic compounds
- C11D7/32—Organic compounds containing nitrogen
- C11D7/3209—Amines or imines with one to four nitrogen atoms; Quaternized amines
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23G—CLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
- C23G1/00—Cleaning or pickling metallic material with solutions or molten salts
- C23G1/14—Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
- C23G1/20—Other heavy metals
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/0206—Cleaning during device manufacture during, before or after processing of insulating layers
- H01L21/02063—Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/0206—Cleaning during device manufacture during, before or after processing of insulating layers
- H01L21/02065—Cleaning during device manufacture during, before or after processing of insulating layers the processing being a planarization of insulating layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/02068—Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
- H01L21/02074—Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D2111/00—Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
- C11D2111/10—Objects to be cleaned
- C11D2111/14—Hard surfaces
- C11D2111/22—Electronic devices, e.g. PCBs or semiconductors
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Organic Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Life Sciences & Earth Sciences (AREA)
- Wood Science & Technology (AREA)
- Oil, Petroleum & Natural Gas (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Detergent Compositions (AREA)
- Cleaning Or Drying Semiconductors (AREA)
Abstract
本發明係關於一種用於自其上具有化學機械研磨(CMP)後殘留物及污染物之微電子裝置清除該等殘留物及污染物之清潔組成物及方法。該等清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲基銨。該組成物達成自微電子裝置之表面高度有效地清除CMP後殘留物及污染物質,而不會損壞低k介電材料或銅互連材料。
Description
本發明大致係關於用於自其上具有殘留物及/或污染物之微電子裝置清除該等物質之包含腐蝕抑制劑的組成物。
微電子裝置晶圓被用來形成積體電路。微電子裝置晶圓包括其中經圖案化出用來沉積具有絕緣、導電或半導電性質之不同材料之區域的基板(諸如矽)。
為獲得準確的圖案化,必需將用於在基板上形成層的過剩材料移除。此外,為製造功能性及可靠的電路,應在後續加工之前製備平坦或平面的微電子晶圓表面。因此,需移除及/或研磨微電子裝置晶圓的特定表面。
化學機械研磨或平面化(「CMP」)係一種自微電子裝置晶圓之表面移除材料,並藉由偶合諸如磨蝕之物理過程與諸如氧化或鉗合之化學過程來研磨(更明確言之,平面化)表面的過程。CMP之最基本形式包括將漿液(例如,磨蝕及活性化學物質之溶液)施加至擦光微電子裝置晶圓之表面的研磨墊,以達成移除、平面化、及研磨過程。並不期望移除或研磨過程純粹包括物理或純粹包括化學作用,而係期望兩者的增效組合,以達成快速且均勻的移除。在積體電路之製造中,CMP漿液亦應能優先移除包含金屬及其他材料之
複合層的膜,以致可產生高度平面的表面供後續微影、或圖案化、蝕刻及薄膜加工用。
近來,銅逐漸被用於積體電路中之金屬互連體。在通常用於微電子裝置製造中之電路金屬化的銅鑲嵌製程中,必需經移除及平面化的層包括具有約1-1.5微米厚度之銅層及具有約0.05-0.15微米厚度之銅晶種層。此等銅層藉由一層阻障材料(通常約50-300埃(Å)厚,其阻止銅擴散至氧化物介電材料中)與介電材料表面隔離。於研磨後獲得跨越晶圓表面之良好均勻度的一項關鍵係使用針對各材料具有正確移除選擇性的CMP漿液。
前述加工操作,包括晶圓基板表面製備、沉積、電鍍、蝕刻及化學機械研磨,需要不同清潔操作來確保微電子裝置產品不含否則將會不利地影響產品功能,或甚至使其無法用於其預期功能的污染物。通常,此等污染物的顆粒係小於0.3微米。
在此方面的一個特定問題係於CMP加工後殘留於微電子裝置基板上的殘留物。該等殘留物包括CMP材料及腐蝕抑制劑化合物諸如苯并三唑(BTA)。若未經移除,則此等殘留物會導致損壞銅線或使銅金屬化嚴重變粗糙,以及導致CMP後塗覆層於裝置基板上之不良黏著。銅金屬化之嚴重粗糙化尤其成為問題,因過度粗糙的銅會導致產品微電子裝置之不良電效能。
微電子裝置製造常見之另一個會產生殘留物的過程涉及將經顯影光阻劑塗層之圖案轉移至可由硬光罩、層間介電質(ILD)、及蝕刻終止層組成之下方層的氣相電漿蝕刻。可包括存於基板上及存於電漿氣體中之化學元素的氣相電漿蝕刻後殘留物通常會沉積於後段製程(BEOL)結構上,及若未經移除的話,其會干擾
後續的矽化或接點形成。習知之清潔化學物質通常會損壞ILD,吸收至ILD之孔隙中,因而提高介電常數、及/或腐蝕金屬結構。
技藝中持續需要提供能有效地自基板移除殘留物(例如,CMP後殘留物、蝕刻後殘留物、及灰化後殘留物)的組成物及方法。該等組成物較先前技藝組成物更環保且可包括創新的組分,因此,可被視為係先前技藝之組成物的替代選擇。
本發明大致係關於一種用於自其上具有殘留物及/或污染物之微電子裝置清除該等殘留物及污染物之組成物及方法。本發明之清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲基銨。該殘留物可包括CMP後、蝕刻後、及/或灰化後殘留物。
其他態樣、特徵及優點將可由隨後之揭示內容及隨附之申請專利範圍而更完整明瞭。
本發明大致係關於適用於自其上具有殘留物及污染物之微電子裝置移除該等物質之組成物。該等組成物尤其適用於移除CMP後、蝕刻後或灰化後殘留物。
為容易參考起見,「微電子裝置」係對應於經製造用於微電子、積體電路、或電腦晶片應用中之半導體基板、平板顯示器、相變記憶體裝置、太陽能面板及包括太陽能基板、光伏打元件、及微機電系統(MEMS)的其他產品。太陽能基板包括,但不限於,
矽、非晶矽、多晶矽、單晶矽、CdTe、硒化銅銦、硫化銅銦、及砷化鎵/鎵。太陽能基板可為經摻雜或未經摻雜。應瞭解術語「微電子裝置」不具任何限制意味,且包括任何最終將成為微電子裝置或微電子組件的基板。
如本文所用之「殘留物」係相當於在微電子裝置之製造期間(包括,但不限於,電漿蝕刻、灰化、化學機械研磨、濕式蝕刻、及其組合)產生的顆粒。
如本文所用之「污染物」係相當於存在於CMP漿液中之化學物質、研磨漿液之反應副產物、存在於濕式蝕刻組成物中之化學物質、濕式蝕刻組成物之反應副產物、及任何其他作為CMP製程、濕式蝕刻、電漿蝕刻或電漿灰化製程之副產物的物質。
如本文所用之「CMP後殘留物」係相當於來自拋光漿液之顆粒(例如,含矽石顆粒)、存在於漿液中之化學物質、拋光漿液之反應副產物、富碳顆粒、研磨墊顆粒、刷卸載顆粒、設備構造材料顆粒、金屬、金屬氧化物、有機殘留物、及任何其他作為CMP製程之副產物的物質。如本文所定義,通常經研磨的「金屬」包括銅、鋁及鎢。
如本文所定義之「低k介電材料」係相當於任何在層狀微電子裝置中使用作為介電材料的材料,其中該材料具有小於約3.5之介電常數。低k介電材料較佳包括低極性材料諸如含矽有機聚合物、含矽之有機/無機混合材料、有機矽酸鹽玻璃(OSG)、TEOS、氟化矽酸鹽玻璃(FSG)、二氧化矽、及摻碳氧化物(CDO)玻璃。應明瞭低k介電材料可具有不同密度及不同孔隙度。
如本文所定義,「錯合劑」包括熟悉技藝人士理解為
錯合劑、鉗合劑及/或螯合劑的該等化合物。錯合劑將與待使用本文所述之組成物移除的金屬原子及/或金屬離子化學結合或以物理固持。
如本文所定義,術語「阻障材料」係相當於任何在技藝中用來密封金屬線(例如,銅互連體),以使該金屬(例如,銅)之擴散至介電材料中減至最小的材料。較佳的阻障層材料包括鉭、鈦、釕、鉿、鎢、鈷、及其他耐火金屬及其氮化物及矽化物。
如本文所定義,「蝕刻後殘留物」係相當於在氣相電漿蝕刻製程(例如,BEOL雙重鑲嵌加工)、或濕式蝕刻製程後殘留的材料。蝕刻後殘留物之性質可為有機、有機金屬、有機矽、或無機,例如,含矽材料、碳基有機材料、及蝕刻氣體殘留物(諸如氧及氟)。
如本文所定義,本文所使用之「灰化後殘留物」係相當於在用來移除硬化光阻劑及/或底部抗反射塗層(BARC)材料之氧化或還原電漿灰化後殘留的材料。灰化後殘留物之性質可為有機、有機金屬、有機矽、或無機。
「實質上不含」在本文係定義為小於2重量%,較佳小於1重量%,更佳小於0.5重量%,及最佳小於0.1重量%。在一具體例中,「實質上不含」係相當於0%。
如本文所使用之「約」係意指相當於所述值之±5%。
關於本發明,「胺」係定義為至少一種一級、二級、或三級胺、及/或氨,其限制條件為(i)醯胺基、(ii)同時包括羧酸基及胺基之物質(即,胺基酸)、(iii)包括胺基之界面活性劑、及(iv)其中之胺基係取代基(例如,附接至芳基或雜環部分)的物質,不被
視為根據此定義之「胺」。胺之化學式可以NR1R2R3表示,其中R1、R2及R3可彼此相同或不同且係選自由氫、直鏈或分支鏈C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基、己基)、C6-C10芳基(例如,苄基)、直鏈或分支鏈C1-C6烷醇(例如,甲醇、乙醇、丙醇、丁醇、戊醇、己醇)、及其組合所組成之群,其限制條件為R1、R2及R3不可皆為氫。
如本文所定義,「反應或降解產物」包括,但不限於,由於在表面處之催化作用、氧化、還原、與組成物組分之反應、或者其他聚合作用所形成之產物或副產物;由於物質或材料(例如,分子、化合物等)與其他物質或材料組合、與其他物質或材料交換組分、分解、重組、或以其他方式化學及/或物理改變之變化或轉變所形成之產物或副產物,包括前述反應、變化及/或轉變之任何前述或任何組合之中間產物或副產物。應明瞭反應或降解產物可具有較原始反應物更大或更小的莫耳質量。
如本文所用,「適用」於自其上具有殘留物及污染物之微電子裝置清除該等殘留物及污染物係相當於自該微電子裝置至少部分移除該等殘留物/污染物。清潔效力係藉由在微電子裝置上的物體減少來評定。舉例來說,可使用原子力顯微鏡來進行清潔前及清潔後分析。可將樣品上之顆粒登錄為一像數範圍。可應用直方圖(例如,Sigma Scan Pro)來過濾特定強度(例如,231-235)中之像素,且計算顆粒數目。顆粒減少可使用下式來計算:
值得注意地,清潔效力之測定方法僅係提供作為實例,而不意
欲對其造成限制。或者,可將清潔效力視為經顆粒物質覆蓋之總表面的百分比。舉例來說,AFM可經程式化以執行z平面掃描,來識別高於一特定高度臨限值之相關形貌面積,然後再計算經該相關面積覆蓋之總表面面積。熟悉技藝人士當可輕易明瞭在清潔後經該相關面積覆蓋的面積愈小,清潔組成物就愈有效。較佳地,使用文中所述之組成物自微電子裝置移除至少75%之殘留物/污染物,更佳至少90%,再更佳至少95%,及最佳移除至少99%之殘留物/污染物。
本文所述之組成物可以如更完整說明於下文之相當多樣的特定調配物具體實施。
在所有此等組成物中,當參照包括零下限之重量百分比範圍論述組成物之特定組分時,當明瞭在組成物之各種特定具體例中可存在或不存在此等組分,且在存在此等組分之情況中,其可以基於其中使用此等組分之組成物之總重量計低至0.001重量百分比之濃度存在。
在第一態樣中,清潔組成物包含至少一種腐蝕抑制劑,其中該腐蝕抑制劑組分係經添加至清潔組成物中來降低金屬(例如,銅、鋁)之腐蝕速率、以及增進清潔效能。涵蓋的腐蝕抑制劑包括,但不限於:4-甲基吡唑、吡唑、2-胺基噻唑、腺苷酸、2-胺基-1,3,4-噻二唑、5-胺基-1H-四唑、腺嘌呤、喋呤、嘧啶、吡、胞嘧啶、嗒、1H-吡唑-3-羧酸、1H-吡唑-4-羧酸、3-胺基-5-羥基-1H-吡唑、3-胺基-5-甲基-1H-吡唑、3-胺基-5-第三丁基-1H-吡唑、2-胺基-甲基噻唑、2-巰基噻唑、2,5-二巰基-1,3,4-噻二唑、2-巰基-5-甲基-1,3,4-噻二唑、2-胺基噻唑-5-甲腈、2-胺基噻唑-5-甲醛、2-胺
基噻唑-4-羧酸乙酯、1,2,3-三唑、1,2,4-三唑、咪唑、3-胺基-1,2,4-三唑、其衍生物、及其組合。較佳地,腐蝕抑制劑係選自由4-甲基吡唑、吡唑、2-胺基噻唑、2-胺基-1,3,4-噻二唑、喋呤、吡、胞嘧啶、嗒、其衍生物、及其組合所組成之群。最佳地,腐蝕抑制劑包括吡唑。
在一具體例中,第一態樣之清潔組成物包含至少一種溶劑及至少一種腐蝕抑制劑。較佳地,該溶劑包含水,及更佳為去離子水。
在再一具體例中,第一態樣之清潔組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種腐蝕抑制劑、至少一種四級鹼、至少一種有機胺、至少一種溶劑(例如,水)、及視情況至少一種錯合劑,其中該清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲基銨。
在一特佳具體例中,第一態樣之清潔組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種四級鹼、至少一種有機胺、至少一種腐蝕抑制劑、及至少一種溶劑(例如,水),其中該腐蝕抑制劑係選自由4-甲基吡唑、吡唑、2-胺基噻唑、2-胺基-1,3,4-噻二唑、喋呤、吡、胞嘧啶、嗒、其衍生物、及其組合所組成之群,及其中該清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲基銨。第一態樣之清潔組成物可進一步包含至少一種錯合劑。
在另一特佳具體例中,第一態樣之清潔組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種四級鹼、至少一種有機胺、至少一種腐蝕抑制劑、至少一種錯合劑、及至少一
種溶劑(例如,水),其中該腐蝕抑制劑係選自由4-甲基吡唑、吡唑、2-胺基噻唑、2-胺基-1,3,4-噻二唑、喋呤、吡、胞嘧啶、嗒、其衍生物、及其組合所組成之群,及其中該清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲基銨。
可適用於特定組成物之說明性的有機胺包括具有通式NR1R2R3之物質,其中R1、R2及R3可彼此相同或不同且係選自由下列基團組成之群:氫、直鏈或分支鏈C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基、及己基)、直鏈或分支鏈C1-C6醇(例如,甲醇、乙醇、丙醇、丁醇、戊醇、及己醇)、及具有式R4-O-R5之直鏈或分支鏈醚,其中R4及R5可彼此相同或不同且係選自由如以上所定義之C1-C6烷基組成之群。最佳地,R1、R2及R3中之至少一者為直鏈或分支鏈C1-C6醇。實例包括,但不限於,烷醇胺諸如胺乙基乙醇胺、N-甲胺基乙醇、胺基乙氧乙醇、二甲胺基乙氧乙醇、二乙醇胺、N-甲基二乙醇胺、單乙醇胺、三乙醇胺、1-胺基-2-丙醇、3-胺基-1-丙醇、二異丙胺、異丙胺、2-胺基-1-丁醇、異丁醇胺、其他C1-C8烷醇胺、及其組合;胺類諸如三伸乙二胺、乙二胺、己二胺、二伸乙三胺、三乙胺、三甲胺、及其組合;及胺類及烷醇胺之組合。當該胺包括醚組分時,該胺可被視為烷氧胺,例如,1-甲氧基-2-胺基乙烷。或者,或除NR1R2R3胺外,胺可為多官能胺,包括,但不限於,四伸乙五胺(TEPA)、4-(2-羥乙基)啉(HEM)、N-胺乙基哌(N-AEP)、乙二胺四乙酸(EDTA)、1,2-環己烷二胺-N,N,N’,N’-四乙酸(CDTA)、甘胺酸/抗壞血酸、亞胺二乙酸(IDA)、2-(羥乙基)亞胺二乙酸(HIDA)、氮基三乙酸、硫脲、1,1,3,3-四甲基脲、尿素、尿素衍生物、甘胺酸、丙胺酸、精胺酸、天冬醯胺酸、天門冬胺酸、
半胱胺酸、麩胺酸、麩胺醯胺、組胺酸、異白胺酸、白胺酸、離胺酸、甲硫胺酸、苯基丙胺酸、哌啶、N-(2-胺乙基)哌啶、脯胺酸、吡咯啶、絲胺酸、蘇胺酸、色胺酸、酪胺酸、纈胺酸、及其組合。較佳地,該等胺包括至少一種選自由單乙醇胺、三乙醇胺、半胱胺酸、或其組合組成之群之物質。
文中涵蓋之四級鹼包括具有式NR1R2R3R4OH之化合物,其中R1、R2、R3及R4可彼此相同或不同且係選自由氫、直鏈或分支鏈C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基、及己基)、及經取代或未經取代之C6-C10芳基(例如,苄基)組成之群,其限制條件為R1、R2、R3及R4不可同時皆為甲基。可使用可於市面購得的氫氧化四烷基銨,包括氫氧化四乙基銨(TEAH)、氫氧化四丙基銨(TPAH)、氫氧化四丁基銨(TBAH)、氫氧化三丁基甲基銨(TBMAH)、氫氧化苄基三甲基銨(BTMAH)、氫氧化膽鹼、氫氧化叁(2-羥乙基)甲基銨、氫氧化二乙基二甲基銨、及其組合。不可於市面購得的氫氧化四烷基銨可以類似於用於製備TEAH、TPAH、TBAH、TBMAH、及BTMAH之公開合成方法的方式來製備,其係熟悉技藝人士所知曉。另一種廣泛使用的四級銨鹼為氫氧化膽鹼。雖然不為四級鹼,但涵蓋可在存在或不存在以上指出之四級鹼的情況下使用氫氧化鉀、氫氧化銫或氫氧化銣之鹼。較佳地,該四級鹼包括TEAH、BTMAH、或TEAH及BTMAH之組合。
此處涵蓋的視情況之錯合劑包括,但不限於,乙酸、丙酮肟、丙烯酸、己二酸、丙胺酸、精胺酸、天冬醯胺酸、天門冬胺酸、甜菜鹼、二甲基乙二肟(dimethyl glyoxime)、甲酸、反丁烯二酸、葡萄糖酸、麩胺酸、麩胺醯胺、戊二酸、甘油酸、甘油、羥
乙酸、乙醛酸、組胺酸、亞胺二乙酸、間苯二甲酸、衣康酸、乳酸、白胺酸、離胺酸、順丁烯二酸、順丁烯二酸酐、蘋果酸、丙二酸、苯乙醇酸、2,4-戊二酮、苯基乙酸、苯基丙胺酸、酞酸、脯胺酸、丙酸、鄰苯二酚、苯均四酸、金雞納酸(quinic acid)、絲胺酸、山梨糖醇、琥珀酸、酒石酸、對苯二甲酸、偏苯三甲酸、對稱苯三甲酸、酪胺酸、纈胺酸、木醣醇、乙二胺、草酸、單寧酸、苯甲酸、苯甲酸銨、兒茶酚、鄰苯三酚、間苯二酚、氫醌、三聚氰酸、巴比妥酸及衍生物諸如1,2-二甲基巴比妥酸、α-酮酸諸如丙酮酸、膦酸及其衍生物諸如1-羥基亞乙基-1,1-二膦酸(HEDP)、丙硫醇、苯甲羥肟酸、其鹽及衍生物、及其組合。在一較佳具體例中,該錯合劑較佳包括HEDP、酒石酸、或其組合。
在一態樣中,用於清除CMP後殘留物及污染物之組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種四級鹼、至少一種有機胺、至少一種腐蝕抑制劑、至少一種溶劑(例如,水)、及視情況至少一種錯合劑,其中該腐蝕抑制劑係選自由4-甲基吡唑、吡唑、2-胺基噻唑、2-胺基-1,3,4-噻二唑、喋呤、吡、胞嘧啶、嗒、其衍生物、及其組合所組成之群,且其中該清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲基銨,其等係基於組成物之總重量以以下之重量百分比範圍存在:
在一特佳具體例中,第一態樣之清潔組成物包含以下組分,由其所組成,或基本上由其所組成:氫氧化四乙銨、至少一種胺、至少一種腐蝕抑制劑、至少一種錯合劑、及水。較佳地,該腐蝕抑制劑係選自由4-甲基吡唑、吡唑、2-胺基噻唑、2-胺基-1,3,4-噻二唑、喋呤、吡、胞嘧啶、嗒、其衍生物、及其組合所組成之群,且該清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲基銨。舉例而言,第一態樣之清潔組成物可包含以下組分,由其所組成,或基本上由其所組成:TEAH、至少一種烷醇胺、吡唑、至少一種錯合劑、及水;較佳為TEAH、單乙醇胺(MEA)、吡唑、HEDP及水。較佳地,第一態樣之清潔組成物的濃縮物包含以下組分,由其所組成,或基本上由其所組成:約6重量%至約10重量%之TEAH、約2重量%至約7重量%之MEA、約1重量%至約4重量%之HEDP、及約0.05重量%至約0.3重量%之吡唑。
在另一特佳具體例中,第一態樣之清潔組成物包含以下組分,由其所組成,或基本上由其所組成:氫氧化苄基三甲銨、至少一種胺、至少一種腐蝕抑制劑、至少一種錯合劑、及水。較佳地,該腐蝕抑制劑係選自由4-甲基吡唑、吡唑、2-胺基噻唑、2-胺基-1,3,4-噻二唑、喋呤、吡、胞嘧啶、嗒、其衍生物、及其組合所組成之群,且該清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲基銨。舉例而言,第一態樣之清潔組成物可包含以下組分,由其所組成,或基本上由其所組成:BTMAH、至少一種烷醇胺、吡唑、至少一種錯合劑、及水;較佳為BTMAH、單乙醇胺、吡唑、HEDP及水。較佳地,第一態樣之
清潔組成物包含以下組分,由其所組成,或基本上由其所組成:約7重量%至約11重量%之BTMAH、約2重量%至約7重量%之MEA、約1重量%至約4重量%之HEDP、及約0.05重量%至約0.3重量%之吡唑。
在第二態樣中,清潔組成物大致為水性且包含至少一種清潔添加劑、至少一種錯合劑、及至少一種鹼性化合物,其中該組成物實質上不含胺、鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲基銨。在一具體例中,第二態樣之清潔組成物包含至少一種清潔添加劑、至少一種錯合劑、至少一種鹼性化合物、及水,其中該組成物實質上不含胺、鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲基銨。第二態樣之清潔組成物適用於移除選自由CMP後殘留物、蝕刻後殘留物、灰化後殘留物及其組合所組成之群之殘留物。較佳地,第二態樣之組成物係用於移除CMP後殘留物。該至少一種鹼性化合物可為選自由(NR1R2R3R4)OH、(PR1R2R3R4)OH、(R1R2N)(R3R4N)C=NR5、及其任何組合所組成之群之物質,其中R1、R2、R3、R4及R5可彼此相同或不同且係選自由氫、直鏈C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基、己基)、分支鏈C1-C6烷基、C1-C6烷醇(例如,甲醇、乙醇、丙醇、丁醇、戊醇、己醇)、經取代之C6-C10芳基、未經取代之C6-C10芳基(例如,苄基)、CH2CH2CH2C(H)NH2COOH、及其任何組合所組成之群,其限制條件為當該鹼性化合物為(NR1R2R3R4)OH時,R1、R2、R3及R4不可同時為甲基。鹼性化合物包括氫氧化四丁基鏻(TBPH)、氫氧化四甲基鏻、氫氧化四乙基鏻、氫氧化四丙基鏻、氫氧化苄基三苯鏻、氫氧化甲基三苯鏻、氫氧化乙基三苯鏻、氫氧化N-丙基三苯
鏻、氫氧化四乙銨(TEAH)、氫氧化四丙銨(TPAH)、氫氧化四丁銨(TBAH)、氫氧化三甲基乙基銨、氫氧化二乙基二甲基銨、氫氧化三丁基甲基銨(TBMAH)、氫氧化苄基三甲基銨(BTMAH)、氫氧化膽鹼、氫氧化叁(2-羥乙基)甲基銨、氫氧化二乙基二甲基銨、乙酸胍、1,1,3,3-四甲基胍、碳酸胍、精胺酸、及其組合。較佳地,該鹼性化合物包括TBPH、TEAH、BTMAH、1,1,3,3-四甲基胍、或其任何組合。在一較佳具體例中,該鹼性化合物包括1,1,3,3-四甲基胍。
第二態樣之組成物的該至少一種錯合劑包括,但不限於,乙二胺四乙酸(EDTA)、1,2-環己烷二胺-N,N,N’,N’-四乙酸(CDTA)、4-(2-羥乙基)啉(HEM)、N-胺乙基哌(N-AEP)、甘胺酸、抗壞血酸、亞胺二乙酸(IDA)、2-(羥乙基)亞胺二乙酸(HIDA)、氮基三乙酸、丙胺酸、精胺酸、天冬醯胺酸、天門冬胺酸、半胱胺酸、麩胺酸、麩胺醯胺、組胺酸、異白胺酸、白胺酸、離胺酸、甲硫胺酸、苯基丙胺酸、脯胺酸、絲胺酸、蘇胺酸、色胺酸、酪胺酸、纈胺酸、五倍子酸、硼酸、乙酸、丙酮肟、丙烯酸、己二酸、甜菜鹼、二甲基乙二肟、甲酸、反丁烯二酸、葡萄糖酸、戊二酸、甘油酸、羥乙酸、乙醛酸、間苯二甲酸、衣康酸、乳酸、順丁烯二酸、順丁烯二酸酐、蘋果酸、丙二酸、苯乙醇酸、2,4-戊二酮、苯基乙酸、酞酸、脯胺酸、丙酸、鄰苯二酚、苯均四酸、金雞納酸、山梨糖醇、琥珀酸、酒石酸、對苯二甲酸、偏苯三甲酸、對稱苯三甲酸、酪胺酸、木醣醇、1,5,9-三吖環十二烷-N,N’,N”-叁(亞甲基膦酸)(DOTRP)、1,4,7,10-四吖環十二烷-N,N’,N”,N’”-肆(亞甲基膦酸)(DOTP)、氮基叁(亞甲基)三膦酸、二伸乙三胺五(亞甲基膦酸)(DETAP)、胺基三(亞甲基膦酸)、1-羥亞乙基-1,1-二膦酸(HEDP)、
雙(六亞甲基)三胺膦酸、1,4,7-三吖環壬烷-N,N’,N”-叁(亞甲基膦酸)(NOTP)、二硫甘油(dimercaprol)、1,2-丙二硫醇、1,2-二巰丙烷、乙醇、2,2-二巰基-(9CI)、其鹽及衍生物、及其組合。較佳地,該至少一種錯合劑包括半胱胺酸。
該至少一種清潔添加劑較佳能夠同時充作錯合劑及緩衝劑,且可包括至少一種選自由下列所組成之群之物質:檸檬酸、乙二胺四乙酸(EDTA)、1-羥基亞乙基-1,1-二膦酸(HEDP)、麩胺酸、亞胺二乙酸、磺基水楊酸、甲磺酸、水楊酸、酞酸、苯磺酸、草酸、乳酸、及其組合。在一較佳具體例中,該清潔添加劑包括檸檬酸。
第二態樣之清潔組成物可進一步包含至少一種還原劑、至少一種金屬腐蝕抑制劑、至少一種界面活性劑、或其任何組合。在一具體例中,第二態樣之清潔組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種清潔添加劑、至少一種錯合劑、至少一種鹼性化合物、及水,其中該組成物實質上不含胺、鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲基銨。在另一具體例中,第二態樣之清潔組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種清潔添加劑、至少一種錯合劑、至少一種鹼性化合物、水、及至少一種還原劑,其中該組成物實質上不含胺、鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲基銨。在又另一具體例中,第二態樣之清潔組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種清潔添加劑、至少一種錯合劑、至少一種鹼性化合物、水、及至少一種金屬腐蝕抑制劑,其中該組成物實質上不含胺、鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧
化四甲基銨。在又另一具體例中,第二態樣之清潔組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種清潔添加劑、至少一種錯合劑、至少一種鹼性化合物、水、及至少一種界面活性劑,其中該組成物實質上不含胺、鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲基銨。在另一具體例中,第二態樣之清潔組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種清潔添加劑、至少一種錯合劑、至少一種鹼性化合物、水、至少一種還原劑、及至少一種金屬腐蝕抑制劑,其中該組成物實質上不含胺、鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲基銨。在又另一具體例中,第二態樣之清潔組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種清潔添加劑、至少一種錯合劑、至少一種鹼性化合物、水、至少一種還原劑、及至少一種界面活性劑,其中該組成物實質上不含胺、鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲基銨。在又另一具體例中,第二態樣之清潔組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種清潔添加劑、至少一種錯合劑、至少一種鹼性化合物、水、至少一種金屬腐蝕抑制劑、及至少一種界面活性劑,其中該組成物實質上不含胺、鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲基銨。在另一具體例中,第二態樣之清潔組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種清潔添加劑、至少一種錯合劑、至少一種鹼性化合物、水、至少一種還原劑、至少一種金屬腐蝕抑制劑、及至少一種界面活性劑,其中該組成物實質上不含胺、鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲基銨。
當存在時,該至少一種金屬腐蝕抑制劑係經添加至第
二態樣之清潔組成物中來降低金屬(例如,銅、鋁)之腐蝕速率、以及增進清潔效能。涵蓋的腐蝕抑制劑包括,但不限於:腺苷酸、腺嘌呤、吡唑、1,2,4-三唑、1,2,3-三唑、咪唑、3-胺基-1,2,4-三唑、1H-吡唑-4-羧酸、3-胺基-5-第三丁基-1H-吡唑、5-胺基-1H-四唑、4-甲基吡唑、其衍生物、及其組合。在一較佳具體例中,該金屬腐蝕抑制劑包括腺嘌呤。
涵蓋的還原劑包括抗壞血酸、L(+)-抗壞血酸、異抗壞血酸、抗壞血酸衍生物、五倍子酸、甲脒亞磺酸、尿酸、酒石酸、及其任何組合,較佳為抗壞血酸、酒石酸、或其組合。
用於第二態樣組成物中之界面活性劑包括,但不限於,兩性鹽、陽離子性界面活性劑、陰離子性界面活性劑、氟烷基界面活性劑、非離子性界面活性劑、及其組合,包括,但不限於,SURFONYL® 104、TRITON® CF-21、TRITON® CF-10、TRITON® X-100、ZONYL® UR、ZONYL® FSO-100、ZONYL® FSN-100、PLURONIC F-127、3M Fluorad氟界面活性劑(即FC-4430及FC-4432)、二辛基磺基琥珀酸鹽、2,3-二巰基-1-丙磺酸鹽、聚乙二醇(例如,PEG 400)、聚丙二醇、聚乙二醇或聚丙二醇醚、羧酸鹽、R1苯磺酸或其鹽(其中R1係直鏈或分支鏈C8-C18烷基)、兩親性氟聚合物、C8-C18烷基磷酸酯醚、羧酸鹽、烷基芳基磺酸(其中該烷基係C8-C18烷基,諸如十二烷基苯磺酸)、烷基芳基膦酸(其中該烷基係C8-C18烷基)、聚丙烯酸酯聚合物、二壬苯基聚氧乙烯、聚乙氧基化脫水山梨糖醇(例如,聚山梨醇酯80(polysorbate 80))、脫水山梨糖醇(例如,脫水山梨糖醇油酸酯)、聚矽氧或經改質之聚矽氧聚合物、炔屬二醇或經改質之炔屬二醇、烷基銨或經改質之烷基銨
鹽,以及包含至少一種前述界面活性劑之組合、十二烷基硫酸鈉、兩性離子界面活性劑、氣溶膠-OT(AOT)及其氟化類似物、烷基銨、全氟聚醚界面活性劑、2-磺基琥珀酸酯鹽、基於磷酸鹽之界面活性劑、基於硫之界面活性劑、及基於乙醯乙酸酯之聚合物。
在一具體例中,第二態樣之清潔組成物係以下列具體例調配,其中所有百分比係基於配方之總重量以重量計:
當存在時,組成物中之還原劑及界面活性劑之下限係約0.0001%。當存在時,組成物中之腐蝕抑制劑之下限係約0.001%。
任一態樣之清潔組成物尤其適用於自微電子裝置結構清潔殘留物及污染物,例如,CMP後殘留物、蝕刻後殘留物、灰化後殘留物、及污染物。不管具體例為何,任一態樣之清潔組成物在自微電子裝置移除殘留物質之前較佳實質上不含下列中之至少一者:氧化劑(例如,過氧化氫);含氟化物來源;研磨材料;鹼金及/或鹼土金屬鹼;氫氧化四甲基銨;及其組合。此外,清潔組成物不應固化形成聚合固體,例如,光阻劑。
任一態樣之清潔組成物之pH係大於7,較佳在約10至大於14之範圍內,最佳在約12至約14之範圍內。
組分之重量百分比比例的範圍將涵蓋任一態樣組成
物之所有可能的濃縮或稀釋具體例。為此,在一具體例中,提供可經稀釋用作清潔溶液之濃縮清潔組成物。濃縮清潔組成物或「濃縮物」有利地容許使用者(例如,CMP製程工程師)在使用點將濃縮物稀釋至期望濃度及pH。濃縮清潔組成物之稀釋可在約1:1至約2500:1之範圍內,較佳約5:1至約200:1,及最佳約10:1至約50:1,其中清潔組成物係在工具處或工具之前方才用溶劑(例如,去離子水)稀釋。熟悉技藝人士應明瞭於稀釋後,此處揭示之組分的重量百分比比例範圍應維持不變。
任一態樣之組成物可有用於包括,但不限於,蝕刻後殘留物移除、灰化後殘留物移除表面製備、電鍍後清潔及CMP後殘留物移除的應用。此外,涵蓋任一態樣之清潔組成物可有用於清潔及保護其他金屬(例如,含銅)產品,包括,但不限於,裝飾性金屬、金屬線接合、印刷電路板及其他使用金屬或金屬合金之電子封裝。
在又另一較佳具體例中,任一態樣之清潔組成物進一步包含殘留物及/或污染物。殘留物及污染物可溶解於組成物中。或者,殘留物及污染物可懸浮於組成物中。殘留物較佳包括CMP後殘留物、蝕刻後殘留物、灰化後殘留物、污染物、或其組合。
任一態樣之清潔組成物係經由簡單地添加各別成分及混合至均勻狀態而容易地調配得。此外,可輕易地將任一態樣之組成物調配為在使用點處或使用點之前混合的單一包裝調配物或多份調配物,例如,可將多份調配物之個別份於工具處或於工具上游之儲槽中混合。各別成分的濃度可在組成物的特定倍數內寬廣地改變,即更稀或更濃,且當明瞭本文所述之組成物可變化及替代地
包含與本文之揭示內容一致之成分的任何組合,由其所組成,或基本上由其所組成。
因此,另一態樣係關於一種套組,其包括存於一或多個容器中之一或多種適於形成本文所述之清潔組成物的組分。套組可包括用於在工廠或使用點處與溶劑(例如,水)結合之存於一或多個容器中之至少一種腐蝕抑制劑、至少一種四級鹼、至少一種有機胺、及視需要之至少一種溶劑及至少一種錯合劑。或者,套組可包括用於在工廠或使用點處與彼此及與溶劑(例如,水)結合之存於第一容器中之至少一種腐蝕抑制劑,及存於第二容器中之至少一種四級鹼、至少一種有機胺、及視需要之至少一種溶劑及至少一種錯合劑。在又另一替代選擇中,套組包括用於在工廠或使用點處與溶劑(例如,水)結合之存於一或多個容器中之至少一種清潔添加劑、至少一種錯合劑、至少一種鹼性化合物、視情況之至少一種還原劑、視情況之至少一種金屬腐蝕抑制劑、及視情況之至少一種界面活性劑。套組之容器必需適於儲存及運送該清潔組成物,例如,NOWPak®容器(Advanced Technology Materials,Inc.,Danbury,Conn.,USA)。套組容器較佳實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲基銨。
當應用至微電子製造操作時,任一態樣之清潔組成物可有效用於自微電子裝置之表面清潔CMP後殘留物及/或污染物。清潔組成物不會損壞低k介電材料或腐蝕裝置表面上的金屬互連體。任一態樣之清潔組成物較佳移除在殘留物移除前存在於裝置上之殘留物的至少85%,更佳至少90%,再更佳至少95%,及最佳至少99%。
在CMP後殘留物及污染物清潔應用中,任一態樣之清潔組成物可配合相當多樣之習知清潔工具諸如超音波震盪(megasonics)及刷洗使用,其包括,但不限於,Verteq單晶圓超音波震盪Goldfinger、OnTrak系統DDS(雙面洗滌器)、SEZ或其他單晶圓噴霧洗滌、Applied Materials Mirra-MesaTM/ReflexionTM/Reflexion LKTM、及Megasonic批式濕式台面系統。
在使用任一態樣之組成物於自其上具有CMP後殘留物、蝕刻後殘留物、灰化後殘留物及/或污染物之微電子裝置清除該等物質時,典型上使清潔組成物與裝置在約20℃至約90℃範圍內之溫度下(較佳約20℃至約50℃)接觸約5秒至約10分鐘之時間(較佳約1秒至20分鐘,較佳約15秒至約5分鐘)。此等接觸時間及溫度係為說明性,在方法的廣泛實務中,可採用任何其他可有效於自裝置至少部分地清潔CMP後殘留物/污染物的適當時間及溫度條件。「至少部分地清潔」及「實質移除」皆係相當於移除在殘留物移除前存在於裝置上之殘留物的至少85%,更佳至少90%,再更佳至少95%,及最佳至少99%。
於達成期望的清潔作用後,可輕易地將清潔組成物自其先前經施用的裝置移除,此可能係在本文所述組成物之指定最終應用中所需且有效。沖洗溶液較佳包括去離子水。其後可使用氮氣或旋轉乾燥循環乾燥裝置。
又另一態樣係關於根據本文所述方法製得之改良的微電子裝置,及含有該等微電子裝置之產品。
另一態樣係關於一種經再循環的清潔組成物,其中該清潔組成物可經再循環直至殘留物及/或污染物載入量達到清潔
組成物所可容納之最大量為止,此係可由熟悉技藝人士輕易地決定。
又再一態樣係關於製造包含微電子裝置之物件的方法,該方法包括使用文中所述之清潔組成物,使微電子裝置與清潔組成物接觸足夠的時間,以自其上具有CMP後殘留物及污染物之微電子裝置清除該等殘留物及污染物,及將該微電子裝置併入至該物件中。
在另一態樣中,描述一種自其上具有CMP後殘留物及污染物之微電子裝置移除該等物質之方法,該方法包括:使用CMP漿液研磨微電子裝置;使微電子裝置與包含至少一種腐蝕抑制劑之清潔組成物接觸足夠的時間,以自微電子裝置移除CMP後殘留物及污染物,而形成含有CMP後殘留物之組成物;及使微電子裝置與含有CMP後殘留物之組成物持續接觸足夠的時間長度,以達成微電子裝置的實質清潔,其中該至少一種腐蝕抑制劑包含選自由下列所組成之群之物質:4-甲基吡唑、吡唑、1,2,4-三唑、1,2,3-三唑、咪唑、3-胺基-1,2,4-三唑、2-胺基噻唑、2-胺基-1,3,4-噻二唑、喋呤、吡、胞嘧啶、嗒、其衍生物、及其組合。
在又另一態樣中,描述一種自其上具有CMP後殘留物及污染物之微電子裝置移除該等物質之方法,該方法包括:使用CMP漿液研磨微電子裝置;及使微電子裝置與包含至少一種清潔添加劑、至少一種錯合劑、至少一種鹼性化合物、及水之清潔組成物接觸足夠的時間,以自微
電子裝置移除CMP後殘留物及污染物,而形成含有CMP後殘留物之組成物;其中該清潔組成物實質上不含胺、鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲基銨。
另一態樣係關於一種包含清潔組成物、微電子裝置晶圓、及選自由殘留物、污染物及其組合所組成之群之物質的製造物件,其中該清潔組成物包含至少一種溶劑、至少一種腐蝕抑制劑、至少一種胺、至少一種四級鹼、及視情況之至少一種錯合劑,其中該至少一種腐蝕抑制劑包含選自由下列所組成之群之物質:4-甲基吡唑、吡唑、2-胺基噻唑、2-胺基-1,3,4-噻二唑、喋呤、吡、胞嘧啶、嗒、1,2,4-三唑、1,2,3-三唑、咪唑、3-胺基-1,2,4-三唑、其衍生物、及其組合,該清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲基銨,及該殘留物包括CMP後殘留物、蝕刻後殘留物及灰化後殘留物中至少一者。
另一態樣係關於一種包含清潔組成物、微電子裝置晶圓、及選自由殘留物、污染物及其組合所組成之群之物質的製造物件,其中該清潔組成物包含至少一種清潔添加劑、至少一種錯合劑、至少一種鹼性化合物、及水,其中該組成物實質上不含胺、鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲基銨,及該殘留物包括CMP後殘留物、蝕刻後殘留物及灰化後殘留物中至少一者。
雖然本發明已參照說明具體例及特徵以不同方式揭示於文中,但當明瞭前文所述之具體例及特徵並非要限制本發明,且熟悉技藝人士當可基於文中之揭示內容明白其他的變化、修改及其他具體例。因此,本發明係應廣泛解釋為涵蓋在後文所述之申請
專利範圍之精神及範疇內的所有此等變化、修改及替代具體例。
Claims (46)
- 一種清潔組成物,其包含至少一種清潔添加劑、至少一種錯合劑、及至少一種鹼性化合物,其中該組成物實質上不含胺、鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲基銨。
- 如申請專利範圍第1項之清潔組成物,其中,該組成物適用於移除選自由化學機械研磨(CMP)後殘留物、蝕刻後殘留物、灰化後殘留物及其組合所組成之群之殘留物。
- 如申請專利範圍第1項之清潔組成物,其中,該至少一種鹼性化合物係選自由(NR1R2R3R4)OH、(PR1R2R3R4)OH、(R1R2N)(R3R4N)C=NR5、及其任何組合所組成之群之物質,其中R1、R2、R3、R4及R5可彼此相同或不同且係選自由氫、直鏈C1-C6烷基、分支鏈C1-C6烷基、C1-C6烷醇、經取代之C6-C10芳基、未經取代之C6-C10芳基、CH2CH2CH2C(H)NH2COOH、及其任何組合所組成之群,其限制條件為當該鹼性化合物為(NR1R2R3R4)OH時,R1、R2、R3及R4不可同時為甲基。
- 如申請專利範圍第1項之清潔組成物,其中,該至少一種鹼性化合物係選自由下列所組成之群:氫氧化四丁基鏻(TBPH)、氫氧化四甲基鏻、氫氧化四乙基鏻、氫氧化四丙基鏻、氫氧化苄基三苯鏻、氫氧化甲基三苯鏻、氫氧化乙基三苯鏻、氫氧化N-丙基三苯鏻、氫氧化四乙銨(TEAH)、氫氧化四丙銨(TPAH)、氫氧化四丁銨(TBAH)、氫氧化三甲基乙基銨、氫氧化二乙基二甲基銨、氫氧化三丁基甲基銨(TBMAH)、氫氧化苄基三甲基銨(BTMAH)、氫氧化膽鹼、氫氧化四丁基鏻、乙酸胍、1,1,3,3-四甲基胍、碳酸胍、精胺酸、及其組合。
- 如申請專利範圍第1至4項中任一項之清潔組成物,其中,該錯合劑包括至少一種選自由下列所組成之群之物質:乙二胺四乙酸(EDTA)、1,2-環己烷二胺-N,N,N’,N’-四乙酸(CDTA)、4-(2-羥乙基)啉(HEM)、N-胺乙基哌(N-AEP)、甘胺酸、抗壞血酸、亞胺二乙酸(IDA)、2-(羥乙基)亞胺二乙酸(HIDA)、氮基三乙酸、丙胺酸、精胺酸、天冬醯胺酸、天門冬胺酸、半胱胺酸、麩胺酸、麩胺醯胺、組胺酸、異白胺酸、白胺酸、離胺酸、甲硫胺酸、苯基丙胺酸、脯胺酸、絲胺酸、蘇胺酸、色胺酸、酪胺酸、纈胺酸、五倍子酸、硼酸、乙酸、丙酮肟、丙烯酸、己二酸、甜菜鹼、二甲基乙二肟(dimethyl glyoxime)、甲酸、反丁烯二酸、葡萄糖酸、戊二酸、甘油酸、羥乙酸、乙醛酸、間苯二甲酸、衣康酸、乳酸、順丁烯二酸、順丁烯二酸酐、蘋果酸、丙二酸、苯乙醇酸、2,4-戊二酮、苯基乙酸、酞酸、脯胺酸、丙酸、鄰苯二酚、苯均四酸、金雞納酸(quinic acid)、山梨糖醇、琥珀酸、酒石酸、對苯二甲酸、偏苯三甲酸、對稱苯三甲酸、酪胺酸、木醣醇、1,5,9-三吖環十二烷-N,N’,N”-叁(亞甲基膦酸)(DOTRP)、1,4,7,10-四吖環十二烷-N,N’,N”,N’”-肆(亞甲基膦酸)(DOTP)、氮基叁(亞甲基)三膦酸、二伸乙三胺五(亞甲基膦酸)(DETAP)、胺基三(亞甲基膦酸)、1-羥亞乙基-1,1-二膦酸(HEDP)、雙(六亞甲基)三胺膦酸、1,4,7-三吖環壬烷-N,N’,N”-叁(亞甲基膦酸)(NOTP)、二硫甘油(dimercaprol)、1,2-丙二硫醇、1,2-二巰丙烷、乙醇、2,2-二巰基-(9CI)、其鹽及衍生物、及其組合,較佳為半胱胺酸。
- 如申請專利範圍第1至4項中任一項之清潔組成物,其中,該至少一種清潔添加劑包括選自由下列所組成之群之物質:檸檬酸、 乙二胺四乙酸(EDTA)、1-羥基亞乙基-1,1-二膦酸(HEDP)、麩胺酸、亞胺二乙酸、磺基水楊酸、甲磺酸、水楊酸、酞酸、苯磺酸、草酸、乳酸、及其組合。
- 如申請專利範圍第1至4項中任一項之清潔組成物,其中,該組成物進一步包含至少一種選自由下列所組成之群之金屬腐蝕抑制劑:腺苷酸、腺嘌呤、吡唑、1,2,4-三唑、1,2,3-三唑、咪唑、3-胺基-1,2,4-三唑、1H-吡唑-4-羧酸、3-胺基-5-第三丁基-1H-吡唑、5-胺基-1H-四唑、4-甲基吡唑、其衍生物、及其組合。
- 如申請專利範圍第1至4項中任一項之清潔組成物,其中,該組成物進一步包含至少一種選自由下列所組成之群之還原劑:抗壞血酸、L(+)-抗壞血酸、異抗壞血酸、抗壞血酸衍生物、五倍子酸、甲脒亞磺酸、尿酸、酒石酸、及其任何組合,較佳為抗壞血酸、酒石酸、或其組合。
- 如申請專利範圍第1至4項中任一項之清潔組成物,其中,該組成物進一步包含至少一種選自由下列所組成之群之界面活性劑:SURFONYL® 104、TRITON® CF-21、TRITON® CF-10、TRITON® X-100、ZONYL® UR、ZONYL® FSO-100、ZONYL® FSN-100、PLURONIC F-127、3M Fluorad氟界面活性劑、二辛基磺基琥珀酸鹽、2,3-二巰基-1-丙磺酸鹽、聚乙二醇、聚丙二醇、聚乙二醇醚、聚丙二醇醚、羧酸鹽、烷基苯磺酸、兩親性氟聚合物、C8-C18烷基磷酸酯醚、羧酸鹽、十二烷基苯磺酸、烷基芳基膦酸、聚丙烯酸酯聚合物、二壬苯基聚氧乙烯、聚乙氧基化脫水山梨糖醇、脫水山梨糖醇、聚矽氧聚合物、經改質之聚矽氧聚合物、炔屬二醇、經改質之炔屬二醇、烷基銨鹽、經改質之烷基銨鹽、十二烷基硫酸鈉、 全氟聚醚界面活性劑、2-磺基琥珀酸酯鹽、基於磷酸鹽之界面活性劑、基於硫之界面活性劑、基於乙醯乙酸酯之聚合物、及其組合。
- 如申請專利範圍第1至4項中任一項之清潔組成物,其中,該清潔組成物包含水。
- 如申請專利範圍第1至4項中任一項之清潔組成物,其進一步包含殘留物及污染物,其中該殘留物包含CMP後殘留物、蝕刻後殘留物、灰化後殘留物、或其組合。
- 如申請專利範圍第1至4項中任一項之清潔組成物,其中,該組成物係以約5:1至約200:1之範圍稀釋。
- 如申請專利範圍第12項之清潔組成物,其中,該稀釋劑包含水。
- 如申請專利範圍第1至4項中任一項之清潔組成物,其中,該組成物實質上不含下列中之至少一者:氧化劑;含氟化物來源;研磨材料;及其組合。
- 一種自其上具有殘留物及污染物之微電子裝置移除該等殘留物及污染物之方法,該方法包括使該微電子裝置與一清潔組成物接觸足夠的時間,以自該微電子裝置至少部分地清除該等殘留物及污染物,其中該清潔組成物包含至少一種清潔添加劑、至少一種錯合劑、及至少一種鹼性化合物,其中該組成物實質上不含胺、鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲基銨。
- 如申請專利範圍第15項之方法,其中,該殘留物係選自由CMP後殘留物、蝕刻後殘留物、灰化後殘留物及其組合所組成之群。
- 如申請專利範圍第15項之方法,其中,該至少一種鹼性化合物係選自由(NR1R2R3R4)OH、(PR1R2R3R4)OH、 (R1R2N)(R3R4N)C=NR5、及其任何組合所組成之群之物質,其中R1、R2、R3、R4及R5可彼此相同或不同且係選自由氫、直鏈C1-C6烷基、分支鏈C1-C6烷基、C1-C6烷醇、經取代之C6-C10芳基、未經取代之C6-C10芳基、CH2CH2CH2C(H)NH2COOH、及其任何組合所組成之群,其限制條件為當該鹼性化合物為(NR1R2R3R4)OH時,R1、R2、R3及R4不可同時為甲基。
- 如申請專利範圍第15項之方法,其中,該至少一種鹼性化合物係選自由下列所組成之群:氫氧化四丁基鏻(TBPH)、氫氧化四甲基鏻、氫氧化四乙基鏻、氫氧化四丙基鏻、氫氧化苄基三苯鏻、氫氧化甲基三苯鏻、氫氧化乙基三苯鏻、氫氧化N-丙基三苯鏻、氫氧化四乙銨(TEAH)、氫氧化四丙銨(TPAH)、氫氧化四丁銨(TBAH)、氫氧化三甲基乙基銨、氫氧化二乙基二甲基銨、氫氧化三丁基甲基銨(TBMAH)、氫氧化苄基三甲基銨(BTMAH)、氫氧化膽鹼、氫氧化四丁基鏻、乙酸胍、1,1,3,3-四甲基胍、碳酸胍、精胺酸、及其組合。
- 如申請專利範圍第15至18項中任一項之方法,其中,該錯合劑包括至少一種選自由下列所組成之群之物質:乙二胺四乙酸(EDTA)、1,2-環己烷二胺-N,N,N’,N’-四乙酸(CDTA)、4-(2-羥乙基)啉(HEM)、N-胺乙基哌(N-AEP)、甘胺酸、抗壞血酸、亞胺二乙酸(IDA)、2-(羥乙基)亞胺二乙酸(HIDA)、氮基三乙酸、丙胺酸、精胺酸、天冬醯胺酸、天門冬胺酸、半胱胺酸、麩胺酸、麩胺醯胺、組胺酸、異白胺酸、白胺酸、離胺酸、甲硫胺酸、苯基丙胺酸、脯胺酸、絲胺酸、蘇胺酸、色胺酸、酪胺酸、纈胺酸、五倍子酸、硼酸、乙酸、丙酮肟、丙烯酸、己二酸、甜菜鹼、二甲基乙二肟、甲 酸、反丁烯二酸、葡萄糖酸、戊二酸、甘油酸、羥乙酸、乙醛酸、間苯二甲酸、衣康酸、乳酸、順丁烯二酸、順丁烯二酸酐、蘋果酸、丙二酸、苯乙醇酸、2,4-戊二酮、苯基乙酸、酞酸、脯胺酸、丙酸、鄰苯二酚、苯均四酸、金雞納酸、山梨糖醇、琥珀酸、酒石酸、對苯二甲酸、偏苯三甲酸、對稱苯三甲酸、酪胺酸、木醣醇、1,5,9-三吖環十二烷-N,N’,N”-叁(亞甲基膦酸)(DOTRP)、1,4,7,10-四吖環十二烷-N,N’,N”,N’”-肆(亞甲基膦酸)(DOTP)、氮基叁(亞甲基)三膦酸、二伸乙三胺五(亞甲基膦酸)(DETAP)、胺基三(亞甲基膦酸)、1-羥亞乙基-1,1-二膦酸(HEDP)、雙(六亞甲基)三胺膦酸、1,4,7-三吖環壬烷-N,N’,N”-叁(亞甲基膦酸)(NOTP)、二硫甘油、1,2-丙二硫醇、1,2-二巰丙烷、乙醇、2,2-二巰基-(9CI)、其鹽及衍生物、及其組合,較佳為半胱胺酸。
- 如申請專利範圍第15至18項中任一項之方法,其中,該至少一種清潔添加劑包括選自由下列所組成之群之物質:檸檬酸、乙二胺四乙酸(EDTA)、1-羥基亞乙基-1,1-二膦酸(HEDP)、麩胺酸、亞胺二乙酸、磺基水楊酸、甲磺酸、水楊酸、酞酸、苯磺酸、草酸、乳酸、及其組合。
- 如申請專利範圍第15至18項中任一項之方法,其中,該組成物進一步包含至少一種選自由下列所組成之群之金屬腐蝕抑制劑:腺苷酸、腺嘌呤、吡唑、1,2,4-三唑、1,2,3-三唑、咪唑、3-胺基-1,2,4-三唑、1H-吡唑-4-羧酸、3-胺基-5-第三丁基-1H-吡唑、5-胺基-1H-四唑、4-甲基吡唑、其衍生物、及其組合。
- 如申請專利範圍第15至18項中任一項之方法,其中,該組成物進一步包含至少一種選自由下列所組成之群之還原劑:抗壞血 酸、L(+)-抗壞血酸、異抗壞血酸、抗壞血酸衍生物、五倍子酸、甲脒亞磺酸、尿酸、酒石酸、及其任何組合,較佳為抗壞血酸、酒石酸、或其組合。
- 如申請專利範圍第15至18項中任一項之方法,其中,該組成物進一步包含至少一種選自由下列所組成之群之界面活性劑:SURFONYL® 104、TRITON® CF-21、TRITON® CF-10、TRITON® X-100、ZONYL® UR、ZONYL® FSO-100、ZONYL® FSN-100、PLURONIC F-127、3M Fluorad氟界面活性劑、二辛基磺基琥珀酸鹽、2,3-二巰基-1-丙磺酸鹽、聚乙二醇、聚丙二醇、聚乙二醇醚、聚丙二醇醚、羧酸鹽、烷基苯磺酸、兩親性氟聚合物、C8-C18烷基磷酸酯醚、羧酸鹽、十二烷基苯磺酸、烷基芳基膦酸、聚丙烯酸酯聚合物、二壬苯基聚氧乙烯、聚乙氧基化脫水山梨糖醇、脫水山梨糖醇、聚矽氧聚合物、經改質之聚矽氧聚合物、炔屬二醇、經改質之炔屬二醇、烷基銨鹽、經改質之烷基銨鹽、十二烷基硫酸鈉、全氟聚醚界面活性劑、2-磺基琥珀酸酯鹽、基於磷酸鹽之界面活性劑、基於硫之界面活性劑、基於乙醯乙酸酯之聚合物、及其組合。
- 如申請專利範圍第15至18項中任一項之方法,其中,該清潔組成物包含水。
- 如申請專利範圍第15至18項中任一項之方法,其中,該組成物進一步包含殘留物及污染物,其中該殘留物包含CMP後殘留物、蝕刻後殘留物、灰化後殘留物、或其組合。
- 如申請專利範圍第15至18項中任一項之方法,其中,該組成物係以約5:1至約200:1之範圍稀釋。
- 如申請專利範圍第26項之方法,其中,該稀釋劑包含水。
- 如申請專利範圍第15至18項中任一項之方法,其中,該組成物實質上不含下列中之至少一者:氧化劑;含氟化物來源;研磨材料;及其組合。
- 一種清潔組成物,其包含至少一種四級鹼、至少一種有機胺、至少一種腐蝕抑制劑、及至少一種溶劑,其中該腐蝕抑制劑係選自由4-甲基吡唑、吡唑、2-胺基噻唑、2-胺基-1,3,4-噻二唑、喋呤、吡、胞嘧啶、嗒、其衍生物、及其組合所組成之群,及其中該清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲基銨。
- 如申請專利範圍第29項之清潔組成物,其中,該至少一種有機胺包含選自由下列所組成之群之物質:胺乙基乙醇胺、N-甲胺基乙醇、胺基乙氧乙醇、二甲胺基乙氧乙醇、二乙醇胺、N-甲基二乙醇胺、單乙醇胺、三乙醇胺、1-胺基-2-丙醇、3-胺基-1-丙醇、二異丙胺、異丙胺、2-胺基-1-丁醇、異丁醇胺、其他C1-C8烷醇胺、三伸乙二胺、乙二胺、己二胺、二伸乙三胺、三乙胺、三甲胺、1-甲氧基-2-胺基乙烷、四伸乙五胺(TEPA)、4-(2-羥乙基)啉(HEM)、N-胺乙基哌(N-AEP)、乙二胺四乙酸(EDTA)、1,2-環己烷二胺-N,N,N’,N’-四乙酸(CDTA)、甘胺酸/抗壞血酸、亞胺二乙酸(IDA)、2-(羥乙基)亞胺二乙酸(HIDA)、氮基三乙酸、硫脲、1,1,3,3-四甲基脲、尿素、尿素衍生物、甘胺酸、丙胺酸、精胺酸、天冬醯胺酸、天門冬胺酸、半胱胺酸、麩胺酸、麩胺醯胺、組胺酸、異白胺酸、白胺酸、離胺酸、甲硫胺酸、苯基丙胺酸、哌啶、N-(2-胺乙基)哌啶、脯胺酸、吡咯啶、絲胺酸、蘇胺酸、色胺酸、酪胺酸、纈胺酸、及其組合,較佳為單乙醇胺、三乙醇胺、半胱胺酸、或其組合。
- 如申請專利範圍第29或30項之清潔組成物,其中,該至少一種四級鹼包含選自由下列所組成之群之物質:氫氧化四乙基銨(TEAH)、氫氧化四丙基銨(TPAH)、氫氧化四丁基銨(TBAH)、氫氧化三丁基甲基銨(TBMAH)、氫氧化苄基三甲基銨(BTMAH)、氫氧化膽鹼、氫氧化叁(2-羥乙基)甲基銨、氫氧化二乙基二甲基銨、氫氧化膽鹼、及其組合,較佳為TEAH、BTMAH、或TEAH及BTMAH之組合。
- 如申請專利範圍第29或30項之清潔組成物,其進一步包含至少一種錯合劑,其中該至少一種錯合劑包括選自由下列所組成之群之物質:乙酸、丙酮肟、丙烯酸、己二酸、丙胺酸、精胺酸、天冬醯胺酸、天門冬胺酸、甜菜鹼、二甲基乙二肟、甲酸、反丁烯二酸、葡萄糖酸、麩胺酸、麩胺醯胺、戊二酸、甘油酸、甘油、羥乙酸、乙醛酸、組胺酸、亞胺二乙酸、間苯二甲酸、衣康酸、乳酸、白胺酸、離胺酸、順丁烯二酸、順丁烯二酸酐、蘋果酸、丙二酸、苯乙醇酸、2,4-戊二酮、苯基乙酸、苯基丙胺酸、酞酸、脯胺酸、丙酸、鄰苯二酚、苯均四酸、金雞納酸、絲胺酸、山梨糖醇、琥珀酸、酒石酸、對苯二甲酸、偏苯三甲酸、對稱苯三甲酸、酪胺酸、纈胺酸、木醣醇、乙二胺、草酸、單寧酸、苯甲酸、苯甲酸銨、兒茶酚、鄰苯三酚、間苯二酚、氫醌、三聚氰酸、巴比妥酸、1,2-二甲基巴比妥酸、丙酮酸、膦酸、1-羥基亞乙基-1,1-二膦酸(HEDP)、丙硫醇、苯甲羥肟酸、其鹽及衍生物、及其組合,較佳為酒石酸。
- 如申請專利範圍第29或30項之清潔組成物,其中,該溶劑包含水。
- 如申請專利範圍第29或30項之清潔組成物,其進一步包含 殘留物及污染物,其中該殘留物包含CMP後殘留物、蝕刻後殘留物、灰化後殘留物、或其組合。
- 如申請專利範圍第29或30項之清潔組成物,其中,該組成物係以約5:1至約200:1之範圍稀釋。
- 如申請專利範圍第35項之清潔組成物,其中,該稀釋劑包含水。
- 如申請專利範圍第29或30項之清潔組成物,其中,該組成物實質上不含下列中之至少一者:氧化劑;含氟化物來源;研磨材料;及其組合。
- 一種自其上具有殘留物及污染物之微電子裝置移除該等殘留物及污染物之方法,該方法包括使該微電子裝置與一清潔組成物接觸足夠的時間,以自該微電子裝置至少部分地清除該等殘留物及污染物,其中該清潔組成物包含至少一種四級鹼、至少一種有機胺、至少一種腐蝕抑制劑、及至少一種溶劑,其中該腐蝕抑制劑係選自由4-甲基吡唑、吡唑、2-胺基噻唑、2-胺基-1,3,4-噻二唑、喋呤、吡、胞嘧啶、嗒、其衍生物、及其組合所組成之群,且其中該清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲基銨。
- 如申請專利範圍第38項之方法,其中,該至少一種有機胺包含選自由下列所組成之群之物質:胺乙基乙醇胺、N-甲胺基乙醇、胺基乙氧乙醇、二甲胺基乙氧乙醇、二乙醇胺、N-甲基二乙醇胺、單乙醇胺、三乙醇胺、1-胺基-2-丙醇、3-胺基-1-丙醇、二異丙胺、異丙胺、2-胺基-1-丁醇、異丁醇胺、其他C1-C8烷醇胺、三伸乙二胺、乙二胺、己二胺、二伸乙三胺、三乙胺、三甲胺、1-甲氧基-2- 胺基乙烷、四伸乙五胺(TEPA)、4-(2-羥乙基)啉(HEM)、N-胺乙基哌(N-AEP)、乙二胺四乙酸(EDTA)、1,2-環己烷二胺-N,N,N’,N’-四乙酸(CDTA)、甘胺酸/抗壞血酸、亞胺二乙酸(IDA)、2-(羥乙基)亞胺二乙酸(HIDA)、氮基三乙酸、硫脲、1,1,3,3-四甲基脲、尿素、尿素衍生物、甘胺酸、丙胺酸、精胺酸、天冬醯胺酸、天門冬胺酸、半胱胺酸、麩胺酸、麩胺醯胺、組胺酸、異白胺酸、白胺酸、離胺酸、甲硫胺酸、苯基丙胺酸、哌啶、N-(2-胺乙基)哌啶、脯胺酸、吡咯啶、絲胺酸、蘇胺酸、色胺酸、酪胺酸、纈胺酸、及其組合,較佳為單乙醇胺、三乙醇胺、半胱胺酸、或其組合。
- 如申請專利範圍第38或39項之方法,其中,該至少一種四級鹼包含選自由下列所組成之群之物質:氫氧化四乙基銨(TEAH)、氫氧化四丙基銨(TPAH)、氫氧化四丁基銨(TBAH)、氫氧化三丁基甲基銨(TBMAH)、氫氧化苄基三甲基銨(BTMAH)、氫氧化膽鹼、氫氧化叁(2-羥乙基)甲基銨、氫氧化二乙基二甲基銨、氫氧化膽鹼、及其組合,較佳為TEAH、BTMAH、或TEAH及BTMAH之組合。
- 如申請專利範圍第38或39項之方法,其進一步包含至少一種錯合劑,其中該至少一種錯合劑包括選自由下列所組成之群之物質:乙酸、丙酮肟、丙烯酸、己二酸、丙胺酸、精胺酸、天冬醯胺酸、天門冬胺酸、甜菜鹼、二甲基乙二肟、甲酸、反丁烯二酸、葡萄糖酸、麩胺酸、麩胺醯胺、戊二酸、甘油酸、甘油、羥乙酸、乙醛酸、組胺酸、亞胺二乙酸、間苯二甲酸、衣康酸、乳酸、白胺酸、離胺酸、順丁烯二酸、順丁烯二酸酐、蘋果酸、丙二酸、苯乙醇酸、2,4-戊二酮、苯基乙酸、苯基丙胺酸、酞酸、脯胺酸、丙酸、鄰苯二酚、苯均四酸、金雞納酸、絲胺酸、山梨糖醇、琥珀酸、酒石酸、 對苯二甲酸、偏苯三甲酸、對稱苯三甲酸、酪胺酸、纈胺酸、木醣醇、乙二胺、草酸、單寧酸、苯甲酸、苯甲酸銨、兒茶酚、鄰苯三酚、間苯二酚、氫醌、三聚氰酸、巴比妥酸、1,2-二甲基巴比妥酸、丙酮酸、膦酸、1-羥基亞乙基-1,1-二膦酸(HEDP)、丙硫醇、苯甲羥肟酸、其鹽及衍生物、及其組合,較佳為酒石酸。
- 如申請專利範圍第38或39項之方法,其中,該溶劑包含水。
- 如申請專利範圍第38或39項之方法,其進一步包含殘留物及污染物,其中該殘留物包含CMP後殘留物、蝕刻後殘留物、灰化後殘留物、或其組合。
- 如申請專利範圍第38或39項之方法,其中,該組成物係以約5:1至約200:1之範圍稀釋。
- 如申請專利範圍第44項之方法,其中,該稀釋劑包含水。
- 如申請專利範圍第38或39項之方法,其中,該組成物實質上不含下列中之至少一者:氧化劑;含氟化物來源;研磨材料;及其組合。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201461933015P | 2014-01-29 | 2014-01-29 | |
US61/933,015 | 2014-01-29 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201542810A true TW201542810A (zh) | 2015-11-16 |
TWI659098B TWI659098B (zh) | 2019-05-11 |
Family
ID=53757723
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW104102985A TWI659098B (zh) | 2014-01-29 | 2015-01-29 | 化學機械研磨後配方及其使用方法 |
Country Status (4)
Country | Link |
---|---|
US (2) | US20160340620A1 (zh) |
EP (1) | EP3099839A4 (zh) |
TW (1) | TWI659098B (zh) |
WO (1) | WO2015116818A1 (zh) |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN107208007A (zh) * | 2015-01-05 | 2017-09-26 | 恩特格里斯公司 | 化学机械抛光后调配物及其使用方法 |
TWI647305B (zh) * | 2017-03-17 | 2019-01-11 | 南韓商榮昌化工股份有限公司 | 化學機械研磨後洗滌用組合物 |
TWI738104B (zh) * | 2018-11-30 | 2021-09-01 | 日商三菱動力股份有限公司 | 溶解去除用組成物及洗淨方法 |
TWI801415B (zh) * | 2017-09-28 | 2023-05-11 | 台灣積體電路製造股份有限公司 | 半導體裝置結構的形成方法 |
Families Citing this family (25)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2015095726A1 (en) | 2013-12-20 | 2015-06-25 | Entegris, Inc. | Use of non-oxidizing strong acids for the removal of ion-implanted resist |
US20160340620A1 (en) | 2014-01-29 | 2016-11-24 | Advanced Technology Materials, Inc. | Post chemical mechanical polishing formulations and method of use |
WO2015119925A1 (en) | 2014-02-05 | 2015-08-13 | Advanced Technology Materials, Inc. | Non-amine post-cmp compositions and method of use |
CN107075411A (zh) * | 2014-09-18 | 2017-08-18 | 应用材料公司 | 使用经设计的黏性流体的高效率后cmp清洗的方法与设备 |
US20160304815A1 (en) * | 2015-04-20 | 2016-10-20 | Intermolecular, Inc. | Methods and chemical solutions for cleaning photomasks using quaternary ammonium hydroxides |
CN107641813A (zh) * | 2016-07-21 | 2018-01-30 | 北京洁航箭达环保科技有限公司 | 一种环保安全型铜材清洗保护剂及其制备方法 |
CN106400034A (zh) * | 2016-09-29 | 2017-02-15 | 广州市汇吉科技企业孵化器有限公司 | 一种黄铜表面除油剂及其制备方法 |
JP6991711B2 (ja) * | 2016-12-27 | 2022-01-12 | 関東化学株式会社 | 洗浄液組成物 |
CN107164766A (zh) * | 2017-03-30 | 2017-09-15 | 上海希勒化学有限公司 | 一种环保型水基防锈剂 |
CN110506100A (zh) * | 2017-04-11 | 2019-11-26 | 恩特格里斯公司 | 化学机械研磨后调配物和使用方法 |
JP7184035B2 (ja) * | 2017-06-23 | 2022-12-06 | 三菱ケミカル株式会社 | 光硬化型粘着シート、画像表示装置構成用積層体、画像表示装置の製造方法及び導電部材の腐食抑制方法 |
CN108048227B (zh) * | 2017-12-18 | 2021-01-15 | 清华大学 | 一种光学材料清洗液 |
CN108166007B (zh) * | 2017-12-29 | 2019-11-12 | 南京科润工业介质股份有限公司 | 一种用于金属涂装前的环保功能型水基脱脂剂及其制备方法与应用 |
CN112424327A (zh) | 2018-07-20 | 2021-02-26 | 恩特格里斯公司 | 含腐蚀抑制剂的清洗组合物 |
CN112996893A (zh) * | 2018-11-08 | 2021-06-18 | 恩特格里斯公司 | 化学机械研磨后(post cmp)清洁组合物 |
CN111377868A (zh) * | 2018-12-28 | 2020-07-07 | 安集微电子科技(上海)股份有限公司 | 一种电子级吡唑的制备方法 |
JP7340969B2 (ja) * | 2019-06-28 | 2023-09-08 | 東京応化工業株式会社 | シリコンエッチング液、シリコンエッチング方法、及びシリコンフィン構造体の製造方法 |
US20210140051A1 (en) * | 2019-11-11 | 2021-05-13 | Rohm And Haas Electronic Materials Llc | Electroless copper plating and counteracting passivation |
CN110983345A (zh) * | 2020-01-03 | 2020-04-10 | 瑞安市胜源泰表面技术有限公司 | 一种金属表面三合一处理剂、制备方法及使用方法 |
WO2021186241A1 (en) * | 2020-03-18 | 2021-09-23 | Ferro Taiwan Limited | Cleaning composition for post chemical mechanical planarization and method of using the same |
CN113652316B (zh) * | 2021-07-13 | 2022-07-08 | 张家港安储科技有限公司 | 一种不含季铵碱的清洗液 |
CN113652317A (zh) * | 2021-07-16 | 2021-11-16 | 张家港安储科技有限公司 | 一种用于在半导体晶圆清洗过程中的化学机械研磨后的清洗组合物 |
CN113774390B (zh) * | 2021-08-12 | 2023-08-04 | 上海新阳半导体材料股份有限公司 | 一种用于化学机械抛光后的清洗液及其制备方法 |
CN113774391B (zh) * | 2021-08-12 | 2023-08-04 | 上海新阳半导体材料股份有限公司 | 一种化学机械抛光后清洗液的应用 |
CN117946812A (zh) * | 2022-10-18 | 2024-04-30 | 安集微电子科技(上海)股份有限公司 | 一种清洗组合物 |
Family Cites Families (155)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5320709A (en) | 1993-02-24 | 1994-06-14 | Advanced Chemical Systems International Incorporated | Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution |
US5702075A (en) | 1996-01-31 | 1997-12-30 | David Lehrman | Automatically collapsible support for an electrical cord for use with an ironing board |
US7534752B2 (en) | 1996-07-03 | 2009-05-19 | Advanced Technology Materials, Inc. | Post plasma ashing wafer cleaning formulation |
US6323168B1 (en) | 1996-07-03 | 2001-11-27 | Advanced Technology Materials, Inc. | Post plasma ashing wafer cleaning formulation |
US6224785B1 (en) | 1997-08-29 | 2001-05-01 | Advanced Technology Materials, Inc. | Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates |
US6755989B2 (en) | 1997-01-09 | 2004-06-29 | Advanced Technology Materials, Inc. | Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate |
US6896826B2 (en) | 1997-01-09 | 2005-05-24 | Advanced Technology Materials, Inc. | Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate |
US5993685A (en) | 1997-04-02 | 1999-11-30 | Advanced Technology Materials | Planarization composition for removing metal films |
US6322600B1 (en) | 1997-04-23 | 2001-11-27 | Advanced Technology Materials, Inc. | Planarization compositions and methods for removing interlayer dielectric films |
US5976928A (en) | 1997-11-20 | 1999-11-02 | Advanced Technology Materials, Inc. | Chemical mechanical polishing of FeRAM capacitors |
US6346741B1 (en) | 1997-11-20 | 2002-02-12 | Advanced Technology Materials, Inc. | Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same |
US6280651B1 (en) | 1998-12-16 | 2001-08-28 | Advanced Technology Materials, Inc. | Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent |
US6211126B1 (en) | 1997-12-23 | 2001-04-03 | Advanced Technology Materials, Inc. | Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates |
WO1999060447A1 (en) | 1998-05-18 | 1999-11-25 | Advanced Technology Materials, Inc. | Stripping compositions for semiconductor substrates |
MXPA00011391A (es) * | 1998-05-18 | 2005-06-20 | Mallinckrodt Inc | Composiciones alcalinas que contienen silicato para limpiar substratos microelectronicos. |
US6875733B1 (en) | 1998-10-14 | 2005-04-05 | Advanced Technology Materials, Inc. | Ammonium borate containing compositions for stripping residues from semiconductor substrates |
US6395194B1 (en) | 1998-12-18 | 2002-05-28 | Intersurface Dynamics Inc. | Chemical mechanical polishing compositions, and process for the CMP removal of iridium thin using same |
US6344432B1 (en) | 1999-08-20 | 2002-02-05 | Advanced Technology Materials, Inc. | Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures |
US6194366B1 (en) * | 1999-11-16 | 2001-02-27 | Esc, Inc. | Post chemical-mechanical planarization (CMP) cleaning composition |
US6492308B1 (en) | 1999-11-16 | 2002-12-10 | Esc, Inc. | Post chemical-mechanical planarization (CMP) cleaning composition |
US6723691B2 (en) | 1999-11-16 | 2004-04-20 | Advanced Technology Materials, Inc. | Post chemical-mechanical planarization (CMP) cleaning composition |
US6409781B1 (en) | 2000-05-01 | 2002-06-25 | Advanced Technology Materials, Inc. | Polishing slurries for copper and associated materials |
JP2002062668A (ja) * | 2000-08-14 | 2002-02-28 | Mitsubishi Gas Chem Co Inc | フォトレジストの剥離方法 |
US6566315B2 (en) | 2000-12-08 | 2003-05-20 | Advanced Technology Materials, Inc. | Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures |
US6627587B2 (en) | 2001-04-19 | 2003-09-30 | Esc Inc. | Cleaning compositions |
US7029373B2 (en) | 2001-08-14 | 2006-04-18 | Advanced Technology Materials, Inc. | Chemical mechanical polishing compositions for metal and associated materials and method of using same |
US6800218B2 (en) | 2001-08-23 | 2004-10-05 | Advanced Technology Materials, Inc. | Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same |
US6802983B2 (en) | 2001-09-17 | 2004-10-12 | Advanced Technology Materials, Inc. | Preparation of high performance silica slurry using a centrifuge |
US7030168B2 (en) | 2001-12-31 | 2006-04-18 | Advanced Technology Materials, Inc. | Supercritical fluid-assisted deposition of materials on semiconductor substrates |
US7557073B2 (en) | 2001-12-31 | 2009-07-07 | Advanced Technology Materials, Inc. | Non-fluoride containing supercritical fluid composition for removal of ion-implant photoresist |
US7326673B2 (en) | 2001-12-31 | 2008-02-05 | Advanced Technology Materials, Inc. | Treatment of semiconductor substrates using long-chain organothiols or long-chain acetates |
US7119418B2 (en) | 2001-12-31 | 2006-10-10 | Advanced Technology Materials, Inc. | Supercritical fluid-assisted deposition of materials on semiconductor substrates |
US6773873B2 (en) | 2002-03-25 | 2004-08-10 | Advanced Technology Materials, Inc. | pH buffered compositions useful for cleaning residue from semiconductor substrates |
US6849200B2 (en) | 2002-07-23 | 2005-02-01 | Advanced Technology Materials, Inc. | Composition and process for wet stripping removal of sacrificial anti-reflective material |
US6989358B2 (en) | 2002-10-31 | 2006-01-24 | Advanced Technology Materials, Inc. | Supercritical carbon dioxide/chemical formulation for removal of photoresists |
US6943139B2 (en) | 2002-10-31 | 2005-09-13 | Advanced Technology Materials, Inc. | Removal of particle contamination on patterned silicon/silicon dioxide using supercritical carbon dioxide/chemical formulations |
US7011716B2 (en) | 2003-04-29 | 2006-03-14 | Advanced Technology Materials, Inc. | Compositions and methods for drying patterned wafers during manufacture of integrated circuitry products |
US7485611B2 (en) | 2002-10-31 | 2009-02-03 | Advanced Technology Materials, Inc. | Supercritical fluid-based cleaning compositions and methods |
US7223352B2 (en) | 2002-10-31 | 2007-05-29 | Advanced Technology Materials, Inc. | Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal |
US20060019850A1 (en) | 2002-10-31 | 2006-01-26 | Korzenski Michael B | Removal of particle contamination on a patterned silicon/silicon dioxide using dense fluid/chemical formulations |
US7300601B2 (en) | 2002-12-10 | 2007-11-27 | Advanced Technology Materials, Inc. | Passivative chemical mechanical polishing composition for copper film planarization |
US8236485B2 (en) | 2002-12-20 | 2012-08-07 | Advanced Technology Materials, Inc. | Photoresist removal |
US6735978B1 (en) | 2003-02-11 | 2004-05-18 | Advanced Technology Materials, Inc. | Treatment of supercritical fluid utilized in semiconductor manufacturing applications |
KR20060024775A (ko) | 2003-05-12 | 2006-03-17 | 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 | 제2단계 구리 라이너 및 관련된 물질을 위한 cmp조성물및 그 이용방법 |
US7736405B2 (en) | 2003-05-12 | 2010-06-15 | Advanced Technology Materials, Inc. | Chemical mechanical polishing compositions for copper and associated materials and method of using same |
US7119052B2 (en) | 2003-06-24 | 2006-10-10 | Advanced Technology Materials, Inc. | Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers |
US7335239B2 (en) | 2003-11-17 | 2008-02-26 | Advanced Technology Materials, Inc. | Chemical mechanical planarization pad |
US20050118832A1 (en) | 2003-12-01 | 2005-06-02 | Korzenski Michael B. | Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations |
US7888301B2 (en) | 2003-12-02 | 2011-02-15 | Advanced Technology Materials, Inc. | Resist, barc and gap fill material stripping chemical and method |
US6858825B1 (en) | 2003-12-08 | 2005-02-22 | The United States Of America As Represented By The Secretary Of The Navy | Device and method for preventing superheating of liquids in a microwave oven |
US20050145311A1 (en) | 2003-12-30 | 2005-07-07 | Walker Elizabeth L. | Method for monitoring surface treatment of copper containing devices |
US7553803B2 (en) | 2004-03-01 | 2009-06-30 | Advanced Technology Materials, Inc. | Enhancement of silicon-containing particulate material removal using supercritical fluid-based compositions |
US8338087B2 (en) | 2004-03-03 | 2012-12-25 | Advanced Technology Materials, Inc | Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate |
US20050205835A1 (en) * | 2004-03-19 | 2005-09-22 | Tamboli Dnyanesh C | Alkaline post-chemical mechanical planarization cleaning compositions |
US20050227482A1 (en) | 2004-03-24 | 2005-10-13 | Korzenski Michael B | Composition useful for removal of bottom anti-reflection coatings from patterned ion-implanted photoresist wafers |
US20060063687A1 (en) | 2004-09-17 | 2006-03-23 | Minsek David W | Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate |
US20060148666A1 (en) | 2004-12-30 | 2006-07-06 | Advanced Technology Materials Inc. | Aqueous cleaner with low metal etch rate |
US20060154186A1 (en) | 2005-01-07 | 2006-07-13 | Advanced Technology Materials, Inc. | Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings |
US7923423B2 (en) | 2005-01-27 | 2011-04-12 | Advanced Technology Materials, Inc. | Compositions for processing of semiconductor substrates |
US7888302B2 (en) * | 2005-02-03 | 2011-02-15 | Air Products And Chemicals, Inc. | Aqueous based residue removers comprising fluoride |
US7365045B2 (en) | 2005-03-30 | 2008-04-29 | Advanced Tehnology Materials, Inc. | Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide |
WO2006110645A2 (en) | 2005-04-11 | 2006-10-19 | Advanced Technology Materials, Inc. | Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices |
WO2006113621A2 (en) | 2005-04-15 | 2006-10-26 | Advanced Technology Materials, Inc. | Formulations for cleaning ion-implanted photoresist layers from microelectronic devices |
TW200726858A (en) | 2005-04-15 | 2007-07-16 | Advanced Tech Materials | Apparatus and method for supercritical fluid removal or deposition processes |
US20070251551A1 (en) | 2005-04-15 | 2007-11-01 | Korzenski Michael B | Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems |
SG162725A1 (en) * | 2005-05-26 | 2010-07-29 | Advanced Tech Materials | Copper passivating post-chemical mechanical polishing cleaning composition and method of use |
EP1899111A2 (en) | 2005-06-06 | 2008-03-19 | Advanced Technology Materials, Inc. | Integrated chemical mechanical polishing composition and process for single platen processing |
JP2008546036A (ja) | 2005-06-07 | 2008-12-18 | アドバンスド テクノロジー マテリアルズ,インコーポレイテッド | 金属および誘電体相溶性の犠牲反射防止コーティング浄化および除去組成物 |
CN101233601A (zh) | 2005-06-13 | 2008-07-30 | 高级技术材料公司 | 在金属硅化物形成后用于选择性除去金属或金属合金的组合物及方法 |
US20090192065A1 (en) | 2005-06-16 | 2009-07-30 | Advanced Technology Materials, Inc. | Dense fluid compositions for removal of hardened photoresist, post-etch residue and/or bottom anti-reflective coating |
JP2009503910A (ja) | 2005-08-05 | 2009-01-29 | アドバンスド テクノロジー マテリアルズ,インコーポレイテッド | 金属フィルム平坦化用高スループット化学機械研磨組成物 |
WO2007027522A2 (en) | 2005-08-29 | 2007-03-08 | Advanced Technology Materials, Inc. | Composition and method for removing thick film photoresist |
WO2007044446A1 (en) | 2005-10-05 | 2007-04-19 | Advanced Technology Materials, Inc. | Oxidizing aqueous cleaner for the removal of post-etch residues |
EP1949424A2 (en) | 2005-10-05 | 2008-07-30 | Advanced Technology Materials, Inc. | Composition and method for selectively etching gate spacer oxide material |
JP2009516360A (ja) | 2005-10-13 | 2009-04-16 | アドバンスド テクノロジー マテリアルズ,インコーポレイテッド | 金属適合フォトレジスト及び/又は犠牲反射防止コーティング除去組成物 |
US20090301996A1 (en) | 2005-11-08 | 2009-12-10 | Advanced Technology Materials, Inc. | Formulations for removing cooper-containing post-etch residue from microelectronic devices |
WO2007111694A2 (en) | 2005-11-09 | 2007-10-04 | Advanced Technology Materials, Inc. | Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon |
US7534753B2 (en) * | 2006-01-12 | 2009-05-19 | Air Products And Chemicals, Inc. | pH buffered aqueous cleaning composition and method for removing photoresist residue |
TW200734448A (en) | 2006-02-03 | 2007-09-16 | Advanced Tech Materials | Low pH post-CMP residue removal composition and method of use |
US8685909B2 (en) * | 2006-09-21 | 2014-04-01 | Advanced Technology Materials, Inc. | Antioxidants for post-CMP cleaning formulations |
US20080076688A1 (en) | 2006-09-21 | 2008-03-27 | Barnes Jeffrey A | Copper passivating post-chemical mechanical polishing cleaning composition and method of use |
WO2008036823A2 (en) | 2006-09-21 | 2008-03-27 | Advanced Technology Materials, Inc. | Uric acid additive for cleaning formulations |
WO2008039730A1 (en) | 2006-09-25 | 2008-04-03 | Advanced Technology Materials, Inc. | Compositions and methods for the removal of photoresist for a wafer rework application |
US20080125342A1 (en) | 2006-11-07 | 2008-05-29 | Advanced Technology Materials, Inc. | Formulations for cleaning memory device structures |
JP5237300B2 (ja) | 2006-12-21 | 2013-07-17 | アドバンスド テクノロジー マテリアルズ,インコーポレイテッド | エッチング後残留物を除去するための液体洗浄剤 |
WO2008080096A2 (en) | 2006-12-21 | 2008-07-03 | Advanced Technology Materials, Inc. | Compositions and methods for the selective removal of silicon nitride |
WO2008095078A1 (en) | 2007-01-31 | 2008-08-07 | Advanced Technology Materials, Inc. | Stabilization of polymer-silica dispersions for chemical mechanical polishing slurry applications |
TWI516573B (zh) | 2007-02-06 | 2016-01-11 | 安堤格里斯公司 | 選擇性移除TiSiN之組成物及方法 |
US20100112728A1 (en) | 2007-03-31 | 2010-05-06 | Advanced Technology Materials, Inc. | Methods for stripping material for wafer reclamation |
TW200918664A (en) | 2007-06-13 | 2009-05-01 | Advanced Tech Materials | Wafer reclamation compositions and methods |
US20100261632A1 (en) * | 2007-08-02 | 2010-10-14 | Advanced Technology Materials, Inc. | Non-fluoride containing composition for the removal of residue from a microelectronic device |
WO2009026324A2 (en) | 2007-08-20 | 2009-02-26 | Advanced Technology Materials, Inc. | Composition and method for removing ion-implanted photoresist |
CN101398638A (zh) * | 2007-09-29 | 2009-04-01 | 安集微电子(上海)有限公司 | 一种光刻胶清洗剂 |
TW200932670A (en) | 2007-11-14 | 2009-08-01 | Advanced Tech Materials | Solvent-free synthesis of soluble nanocrystals |
TW200934865A (en) | 2007-11-30 | 2009-08-16 | Advanced Tech Materials | Formulations for cleaning memory device structures |
CN102007196B (zh) | 2008-03-07 | 2014-10-29 | 高级技术材料公司 | 非选择性氧化物蚀刻湿清洁组合物及使用方法 |
US20090253072A1 (en) | 2008-04-01 | 2009-10-08 | Petruska Melissa A | Nanoparticle reversible contrast enhancement material and method |
KR20100133507A (ko) | 2008-05-01 | 2010-12-21 | 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 | 고밀도 주입된 레지스트의 제거를 위한 저 ph 혼합물 |
US20090291873A1 (en) * | 2008-05-22 | 2009-11-26 | Air Products And Chemicals, Inc. | Method and Composition for Post-CMP Cleaning of Copper Interconnects Comprising Noble Metal Barrier Layers |
TW201013338A (en) | 2008-08-04 | 2010-04-01 | Advanced Tech Materials | Environmentally friendly polymer stripping compositions |
EP2342738A4 (en) | 2008-10-02 | 2013-04-17 | Advanced Tech Materials | USE OF TENSID / DETOINT MIXTURES FOR INCREASED METAL LOADING AND SURFACE PASSIVATION OF SILICON SUBSTRATES |
US9074170B2 (en) * | 2008-10-21 | 2015-07-07 | Advanced Technology Materials, Inc. | Copper cleaning and protection formulations |
EP2430499A2 (en) | 2009-01-22 | 2012-03-21 | Basf Se | Composition for post chemical-mechanical polishing cleaning |
US9074169B2 (en) | 2009-01-28 | 2015-07-07 | Advanced Technology Materials, Inc. | Lithographic tool in situ clean formulations |
WO2010086745A1 (en) | 2009-02-02 | 2010-08-05 | Atmi Taiwan Co., Ltd. | Method of etching lanthanum-containing oxide layers |
WO2010091045A2 (en) | 2009-02-05 | 2010-08-12 | Advanced Technology Materials, Inc. | Non-fluoride containing composition for the removal of polymers and other organic material from a surface |
US8754021B2 (en) | 2009-02-27 | 2014-06-17 | Advanced Technology Materials, Inc. | Non-amine post-CMP composition and method of use |
US8367555B2 (en) | 2009-12-11 | 2013-02-05 | International Business Machines Corporation | Removal of masking material |
CN104804903B (zh) | 2010-01-29 | 2018-10-30 | 恩特格里斯公司 | 附有金属布线的半导体用清洗剂 |
JP5858597B2 (ja) | 2010-01-29 | 2016-02-10 | アドバンスド テクノロジー マテリアルズ,インコーポレイテッド | タングステン配線半導体用洗浄剤 |
CN104532001A (zh) | 2010-04-15 | 2015-04-22 | 高级技术材料公司 | 废弃印刷电路板的循环利用方法 |
JP2012021151A (ja) | 2010-06-16 | 2012-02-02 | Sanyo Chem Ind Ltd | 銅配線半導体用洗浄剤 |
SG187551A1 (en) | 2010-07-16 | 2013-03-28 | Advanced Tech Materials | Aqueous cleaner for the removal of post-etch residues |
JP6101421B2 (ja) | 2010-08-16 | 2017-03-22 | インテグリス・インコーポレーテッド | 銅または銅合金用エッチング液 |
KR20130099948A (ko) | 2010-08-20 | 2013-09-06 | 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 | E-폐기물로부터 귀금속 및 베이스 금속을 회수하는 지속가능한 방법 |
CN103081072A (zh) | 2010-08-27 | 2013-05-01 | 高级技术材料公司 | 预防干燥期间高纵横比结构崩塌的方法 |
SG10201508015RA (en) | 2010-10-06 | 2015-10-29 | Entegris Inc | Composition and process for selectively etching metal nitrides |
TWI502065B (zh) | 2010-10-13 | 2015-10-01 | Entegris Inc | 抑制氮化鈦腐蝕之組成物及方法 |
WO2012097143A2 (en) | 2011-01-13 | 2012-07-19 | Advanced Technology Materials, Inc. | Formulations for the removal of particles generated by cerium- containing solutions |
JP2012186470A (ja) | 2011-02-18 | 2012-09-27 | Sanyo Chem Ind Ltd | 銅配線半導体用洗浄剤 |
WO2012154498A2 (en) | 2011-05-06 | 2012-11-15 | Advanced Technology Materials, Inc. | Removal of metal impurities from silicon surfaces for solar cell and semiconductor applications |
JP2012251026A (ja) | 2011-05-31 | 2012-12-20 | Sanyo Chem Ind Ltd | 半導体用洗浄剤 |
TW201311869A (zh) | 2011-06-16 | 2013-03-16 | Advanced Tech Materials | 選擇性蝕刻氮化矽之組成物及方法 |
WO2012177620A2 (en) | 2011-06-21 | 2012-12-27 | Advanced Technology Materials, Inc. | Method for the recovery of lithium cobalt oxide from lithium ion batteries |
JP5933950B2 (ja) | 2011-09-30 | 2016-06-15 | アドバンスド テクノロジー マテリアルズ,インコーポレイテッド | 銅または銅合金用エッチング液 |
CN105869997A (zh) | 2011-10-21 | 2016-08-17 | 安格斯公司 | 无胺cmp后组合物及其使用方法 |
US8618036B2 (en) | 2011-11-14 | 2013-12-31 | International Business Machines Corporation | Aqueous cerium-containing solution having an extended bath lifetime for removing mask material |
SG11201403228RA (en) | 2011-12-15 | 2014-07-30 | Advanced Tech Materials | Apparatus and method for stripping solder metals during the recycling of waste electrical and electronic equipment |
US9546321B2 (en) | 2011-12-28 | 2017-01-17 | Advanced Technology Materials, Inc. | Compositions and methods for selectively etching titanium nitride |
EP2814895A4 (en) | 2012-02-15 | 2015-10-07 | Entegris Inc | POST-CMP DISPOSAL USING COMPOSITIONS AND USE PROCESSES |
WO2013138278A1 (en) | 2012-03-12 | 2013-09-19 | Advanced Technology Materials, Inc. | Copper cleaning and protection formulations |
WO2013138276A1 (en) | 2012-03-12 | 2013-09-19 | Advanced Technology Materials, Inc. | Methods for the selective removal of ashed spin-on glass |
JP2015519723A (ja) * | 2012-03-18 | 2015-07-09 | インテグリス,インコーポレイテッド | バリア層との適合性および洗浄性能が改良されたcmp後配合物 |
WO2013152260A1 (en) | 2012-04-06 | 2013-10-10 | Advanced Technology Materials, Inc. | Removal of lead from solid materials |
US20130295712A1 (en) | 2012-05-03 | 2013-11-07 | Advanced Technology Materials, Inc. | Methods of texturing surfaces for controlled reflection |
US20150162213A1 (en) | 2012-05-11 | 2015-06-11 | Advanced Technology Materials, Inc. | Formulations for wet etching nipt during silicide fabrication |
WO2013173738A1 (en) | 2012-05-18 | 2013-11-21 | Advanced Technology Materials, Inc. | Composition and process for stripping photoresist from a surface including titanium nitride |
US20150114429A1 (en) * | 2012-05-18 | 2015-04-30 | Atmi Taiwan Co., Ltd. | Aqueous clean solution with low copper etch rate for organic residue removal improvement |
US9765288B2 (en) | 2012-12-05 | 2017-09-19 | Entegris, Inc. | Compositions for cleaning III-V semiconductor materials and methods of using same |
WO2014138064A1 (en) | 2013-03-04 | 2014-09-12 | Advanced Technology Materials, Inc. | Compositions and methods for selectively etching titanium nitride |
US9520617B2 (en) | 2013-03-14 | 2016-12-13 | Advanced Technology Materials, Inc. | Sulfolane mixtures as ambient aprotic polar solvents |
JP6203525B2 (ja) * | 2013-04-19 | 2017-09-27 | 関東化學株式会社 | 洗浄液組成物 |
EP2989231A4 (en) | 2013-04-22 | 2016-12-07 | Advanced Tech Materials | FORMULATIONS FOR CLEANING AND PROTECTING COPPER |
US20160122696A1 (en) | 2013-05-17 | 2016-05-05 | Advanced Technology Materials, Inc. | Compositions and methods for removing ceria particles from a surface |
SG11201509933QA (en) | 2013-06-06 | 2016-01-28 | Advanced Tech Materials | Compositions and methods for selectively etching titanium nitride |
CN105431506A (zh) | 2013-07-31 | 2016-03-23 | 高级技术材料公司 | 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂 |
SG11201601158VA (en) | 2013-08-30 | 2016-03-30 | Advanced Tech Materials | Compositions and methods for selectively etching titanium nitride |
US20150104952A1 (en) * | 2013-10-11 | 2015-04-16 | Ekc Technology, Inc. | Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper |
EP3051577B1 (en) * | 2013-11-08 | 2017-10-18 | Wako Pure Chemical Industries, Ltd. | Cleaning agent for semiconductor substrates and method for processing semiconductor substrate surface |
TWI654340B (zh) | 2013-12-16 | 2019-03-21 | 美商恩特葛瑞斯股份有限公司 | Ni:NiGe:Ge選擇性蝕刻配方及其使用方法 |
WO2015095726A1 (en) | 2013-12-20 | 2015-06-25 | Entegris, Inc. | Use of non-oxidizing strong acids for the removal of ion-implanted resist |
US10475658B2 (en) | 2013-12-31 | 2019-11-12 | Entegris, Inc. | Formulations to selectively etch silicon and germanium |
WO2015116679A1 (en) | 2014-01-29 | 2015-08-06 | Advanced Technology Materials, Inc. | Post chemical mechanical polishing formulations and method of use |
US20160340620A1 (en) | 2014-01-29 | 2016-11-24 | Advanced Technology Materials, Inc. | Post chemical mechanical polishing formulations and method of use |
CA2943992A1 (en) | 2014-02-25 | 2015-09-03 | Entegris, Inc. | Wet based formulations for the selective removal of noble metals |
-
2015
- 2015-01-29 US US15/115,165 patent/US20160340620A1/en not_active Abandoned
- 2015-01-29 WO PCT/US2015/013521 patent/WO2015116818A1/en active Application Filing
- 2015-01-29 EP EP15743809.4A patent/EP3099839A4/en not_active Withdrawn
- 2015-01-29 TW TW104102985A patent/TWI659098B/zh active
-
2018
- 2018-05-04 US US15/971,535 patent/US10557107B2/en active Active
Cited By (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN107208007A (zh) * | 2015-01-05 | 2017-09-26 | 恩特格里斯公司 | 化学机械抛光后调配物及其使用方法 |
TWI647305B (zh) * | 2017-03-17 | 2019-01-11 | 南韓商榮昌化工股份有限公司 | 化學機械研磨後洗滌用組合物 |
CN110418834A (zh) * | 2017-03-17 | 2019-11-05 | 荣昌化学制品株式会社 | 化学机械式研磨后清洗用组合物 |
CN110418834B (zh) * | 2017-03-17 | 2021-04-09 | 荣昌化学制品株式会社 | 化学机械式研磨后清洗用组合物 |
TWI801415B (zh) * | 2017-09-28 | 2023-05-11 | 台灣積體電路製造股份有限公司 | 半導體裝置結構的形成方法 |
US12009222B2 (en) | 2017-09-28 | 2024-06-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming semiconductor device structure |
TWI738104B (zh) * | 2018-11-30 | 2021-09-01 | 日商三菱動力股份有限公司 | 溶解去除用組成物及洗淨方法 |
Also Published As
Publication number | Publication date |
---|---|
EP3099839A4 (en) | 2017-10-11 |
TWI659098B (zh) | 2019-05-11 |
EP3099839A1 (en) | 2016-12-07 |
US20160340620A1 (en) | 2016-11-24 |
US10557107B2 (en) | 2020-02-11 |
US20180251712A1 (en) | 2018-09-06 |
WO2015116818A1 (en) | 2015-08-06 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US10557107B2 (en) | Post chemical mechanical polishing formulations and method of use | |
JP7005562B2 (ja) | 化学機械研磨後製剤および使用方法 | |
TWI598468B (zh) | 用於移除化學機械研磨後殘留物之清洗組成物、套組及方法 | |
US9074170B2 (en) | Copper cleaning and protection formulations | |
US8754021B2 (en) | Non-amine post-CMP composition and method of use | |
TW201900860A (zh) | 化學機械研磨後調配物及使用方法 | |
TWI671395B (zh) | 化學機械研磨後配方及其使用方法 | |
EP2768920A1 (en) | Non-amine post-cmp composition and method of use |