KR20100133507A - 고밀도 주입된 레지스트의 제거를 위한 저 ph 혼합물 - Google Patents

고밀도 주입된 레지스트의 제거를 위한 저 ph 혼합물

Info

Publication number
KR20100133507A
KR20100133507A KR1020107027026A KR20107027026A KR20100133507A KR 20100133507 A KR20100133507 A KR 20100133507A KR 1020107027026 A KR1020107027026 A KR 1020107027026A KR 20107027026 A KR20107027026 A KR 20107027026A KR 20100133507 A KR20100133507 A KR 20100133507A
Authority
KR
South Korea
Prior art keywords
composition
acid
low
iodine
sulfuric acid
Prior art date
Application number
KR1020107027026A
Other languages
English (en)
Inventor
엠마누엘 쿠퍼
쥴리 시셀
렌지 조우
마이클 비 코젠스키
Original Assignee
어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 filed Critical 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드
Publication of KR20100133507A publication Critical patent/KR20100133507A/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

본 발명은 마이크로전자 장치 상의 벌크 및/또는 경화된 포토레지스트 물질을 상기 마이크로전자 장치로부터 제거하기 위한 방법 및 저 pH 조성물에 관한 것이다. 상기 저 pH 조성물은 하나 이상의 무기산 및 하나 이상의 산화제를 포함한다. 상기 저 pH 조성물은 하부 규소-함유 층(들)을 손상시키지 않으면서 경화된 포토레지스트 물질을 효과적으로 제거한다.

Description

고밀도 주입된 레지스트의 제거를 위한 저 PH 혼합물{LOW PH MIXTURES FOR THE REMOVAL OF HIGH DENSITY IMPLANTED RESIST}
본 발명은 일반적으로 마이크로전자 장치의 표면으로부터 벌크 및 경화된 포토레지스트를 제거하는 데 유용한 저 pH 조성물, 상기 포토레지스트 제거를 위한 상기 조성물의 사용 방법에 관한 것이다.
반도체 소자가 더욱 집적화되고 미소화됨에 따라, 마이크로전자 장치 내의 불순물 분포를 정밀하게 제어하고 도판트 원자(예컨대, As, B 및 P)를 노출된 장치 층에 부가하기 위해 FEOL(front-end-of-line) 가공 동안 이온 주입이 광범위하게 사용되고 있다. 도판트 불순물의 농도 및 깊이는 도판트의 용량(dose), 가속화 에너지 및 이온 전류를 변경시킴으로써 제어된다. 후속 가공 이전에, 이온-주입된 포토레지스트 층은 반드시 제거되어야 한다.
불행히도, 고 용량(예컨대, 약 1×1015 원자 cm-2보다 많은 용량)의 이온이 낮은(5-10 keV), 중간(20 keV) 및 높은(40-60 keV) 주입 에너지에서 원하는 층에 주입되는 경우, 상기 이온은 또한 포토레지스트 층 전체에 걸쳐서, 특히 포토레지스트의 노출면에 주입되며, 상기 포토레지스트 층은 물리적으로 및 화학적으로 강성이 된다. 강성의 이온-주입된 포토레지스트 층(탄화된 영역 또는 "크러스트(crust)"로도 지칭됨)은 제거가 어려운 것으로 판명되었다.
과거에는 상기 경화된 포토레지스트를 제거하기 위해, 비-제한적으로, 예컨대 황산과 수소 퍼옥사이드의 혼합 용액(즉, 피란하(Piranha) 용액 또는 SPM)에서의 습식 화학적 에칭 공정, 및 예컨대 산소 플라즈마 에싱(ashing) 공정에서의 건식 플라즈마 에칭 공정을 비롯한 각종 공정이 사용되었다. 현재, 이온-주입된 포토레지스트 및 다른 오염물의 제거는 일반적으로 플라즈마 에칭 방법, 및 이어서 포토레지스트, 에칭후 잔사 및 다른 오염물을 제거하기 위해 전형적으로 수계 에칭액 배합물을 사용하는 다단계 습식 스트립 공정에 의해서 수행된다. 당해 분야에서 습식 스트립 처리는 일반적으로 강산, 염기, 용매 및 산화제의 사용을 포함한다. 그러나 불리하게도, 습식 스트립 처리는 기판 및 게이트 산화물과 같은 하부 규소-함유 층도 역시 에칭하고/하거나 게이트 산화물 두께를 증가시킨다. 또한, 피란하와 같은 용액은 높은 국지적 웨이퍼 온도를 요구하고, 제어하기 어렵고, 애싱 단계의 부재 하에 최고 주입 용량에서는 매우 효과적이지는 않다. 그러나, 애싱 단계와 피란하 습식 스트립의 조합은 각 단계 그 자체의 경우보다 기판 망실을 더 일으키는 경향이 있다.
특징부 크기가 계속 감소함에 따라, 종래의 수계 에칭액 조성물을 사용하여 전술한 제거 요건을 충족시키는 것이 상당히 더욱 힘들어졌다. 물은, 높은 종횡비를 갖는 더욱 작은 이미지 노드(image node)로의 접근을 제한하거나 방지하는 높은 표면 장력을 가지며, 따라서 틈(crevice) 또는 홈(groove) 내의 잔사를 제거하는 것이 더욱 어려워진다. 또한, 수계 에칭액 배합물은 종종 증발 건조시 트렌치 또는 비아(via) 내에 앞서 용해된 용질을 남기며, 이는 전도를 방해하고 장치 수율을 저감시킨다. 또한, 하부 다공성 저-k 유전체 물질은, 구조의 패턴 붕괴를 가져오는 고 표면 장력 액체(예컨대, 물)의 모세관 응력을 견딜 만큼 충분한 기계적 강도를 갖지 않는다. 수성 에칭액 배합물은 또한 저-k 물질의 중요한 물질 특성, 예컨대 유전 상수, 기계적 강도, 수분 흡수성, 열팽창 계수 및 다른 기판에의 부착성을 상당히 변경시킬 수 있다.
따라서, 마이크로전자 장치로부터 벌크 및 경화된 포토레지스트를 제거하는 것과 관련된 종래 기술의 단점을 극복하는 개선된 조성물을 제공하는 것은 당해 분야에 상당한 진보가 될 것이다. 상기 개선된 조성물은 하부 규소-함유 층(들)의 실질적인 오버-에칭(over-etching) 없이 벌크 및 경화된 포토레지스트를 1단계 또는 다단계 공정으로, 다르게는 플라즈마 에칭 단계를 사용하여 효과적으로 제거할 것이다.
일반적으로, 본 발명은 마이크로전자 장치의 표면으로부터의 벌크 및 경화된 포토레지스트의 제거에 유용한, 하나 이상의 무기산 및 하나 이상의 산화제를 포함하는 저 pH 조성물에 관한 것이다.
하나의 양태에서, 하나 이상의 무기산 및 하나 이상의 산화제를 포함하는 저 pH 조성물이 기재되며, 상기 조성물은 마이크로전자 장치 상의 벌크 및/또는 경화된 포토레지스트 물질을 상기 마이크로전자 장치로부터 제거하는 데 적합하다. 바람직하게는, 상기 무기산은 황산, 보다 바람직하게는 진한 황산을 포함한다.
다른 양태에서, 하나 이상의 무기산 및 둘 이상의 산화제를 포함하는 저 pH 조성물이 기재되며, 상기 조성물은 마이크로전자 장치 상의 벌크 및/또는 경화된 포토레지스트 물질을 상기 마이크로전자 장치로부터 제거하는 데 적합하다. 바람직하게는, 상기 무기산은 황산, 보다 바람직하게는 진한 황산을 포함한다.
또 다른 양태에서, 하나 이상의 무기산, 하나 이상의 요오드-함유 산화제 및 하나 이상의 금속 이온-함유 산화제를 포함하는 저 pH 조성물이 기재되며, 상기 조성물은 마이크로전자 장치 상의 벌크 및/또는 경화된 포토레지스트 물질을 상기 마이크로전자 장치로부터 제거하는 데 적합하다. 바람직하게는, 상기 무기산은 황산, 보다 바람직하게는 진한 황산을 포함한다.
다른 양태에서, 하나 이상의 무기산 및 하나 이상의 요오드-함유 산화제를 포함하는 저 pH 조성물이 기재되며, 상기 조성물은 마이크로전자 장치 상의 벌크 및/또는 경화된 포토레지스트 물질을 상기 마이크로전자 장치로부터 제거하는 데 적합하다. 바람직하게는, 상기 무기산은 황산, 보다 바람직하게는 진한 황산을 포함한다.
다른 양태에서, 하나 이상의 무기산 및 하나 이상의 금속 이온-함유 산화제를 포함하는 저 pH 조성물이 기재되며, 상기 조성물은 마이크로전자 장치 상의 벌크 및/또는 경화된 포토레지스트 물질을 상기 마이크로전자 장치로부터 제거하는 데 적합하다. 바람직하게는, 상기 무기산은 황산, 보다 바람직하게는 진한 황산을 포함한다. 바람직하게는, 상기 금속 이온-함유 산화제는 퍼망가네이트 종을 포함한다.
또 다른 양태에서, 하나 이상의 무기산, 하나 이상의 퍼설페이트 화합물 및 하나 이상의 퍼옥사이드 화합물을 포함하는 저 pH 조성물이 기재되며, 상기 조성물은 마이크로전자 장치 상의 벌크 및/또는 경화된 포토레지스트 물질을 상기 마이크로전자 장치로부터 제거하는 데 적합하다. 바람직하게는, 상기 무기산은 황산, 보다 바람직하게는 진한 황산을 포함한다.
본 발명의 다른 양태, 특징 및 이점은 이하의 개시 내용 및 특허청구범위로부터 더욱 명백해질 것이다.
본 발명은 일반적으로, 저-pH 조성물, 구체적으로는 무기산-함유 조성물의 발견에 기초하며, 이는 마이크로전자 장치의 표면으로부터 벌크 및 경화된 포토레지스트를 제거하는 데 매우 효과적이다. 더욱 구체적으로, 상기 저-pH 조성물은, 상부에 고용량 이온-주입된 포토레지스트를 가진 마이크로전자 장치의 표면으로부터 상기 포토레지스트를 제거하는 데 특히 유용하다.
참고의 용이성을 위해, "마이크로전자 장치"는, 마이크로전자 제품, 집적 회로 또는 컴퓨터 칩 제품에 사용하기 위해 제조된 반도체 기판, 평판 디스플레이, 상 변화 메모리 장치, 태양 전지판, 광전지 및 마이크로전자기계 시스템(MEMS)에 해당한다. "마이크로전자 장치"라는 용어는 어떤 식으로든 한정되는 것을 의미하지 않으며, 궁극적으로 마이크로전자 장치 또는 마이크로전자 어셈블리가 되는 임의의 기판을 포함하는 것으로 이해되어야 한다.
본원에서 사용된 "벌크 포토레지스트"란, 마이크로전자 장치 표면 상의 비-탄소화된 포토레지스트, 구체적으로는 경화된 포토레지스트 크러스트(crust) 아래쪽으로 인접한 비-탄소화된 포토레지스트에 해당한다.
본원에서 사용된 "경화된 포토레지스트"란 비제한적으로, 예를 들어 집적 회로의 BEOL(back-end-of-line) 이중-다마신 처리 동안 플라즈마 에칭된 포토레지스트; 예를 들어 반도체 웨이퍼의 적절한 층에 도판트 종을 주입하기 위한 FEOL(front-end-of-line) 처리 동안 이온 주입된 포토레지스트; 및/또는 벌크 포토레지스트의 노출된 표면 상에 탄화되거나 고도로 가교결합된 크러스트를 형성하는 임의의 다른 방법에 의한 포토레지스트를 포함한다. 도핑 종은 비제한적으로 붕소, 비소, 이불화 붕소, 인듐, 안티몬, 게르마늄, 탄소 및/또는 인(phosphorous) 이온을 포함한다.
본원에서 사용된 "하부 규소-함유 층"은, 규소; 게이트 산화물(예컨대, 열적 또는 화학적으로 성장한 SiO2) 및 TEOS를 비롯한 산화 규소; 질화 규소; 및 저-k 유전체 물질을 포함하는, 벌크 및/또는 경화된 포토레지스트 바로 아래쪽의 층에 해당한다. 본원에서 사용된 "저-k 유전체 물질"은 층상 마이크로전자 장치 내에서 유전체 물질로 사용되는 임의의 물질(이때, 상기 물질은 약 3.5 미만의 유전 상수를 가짐)에 해당한다. 바람직하게는, 상기 저-k 유전체 물질은 규소-함유 유기 중합체, 규소-함유 하이브리드 유기/무기 물질, 유기실리케이트 유리(OSG), TEOS, 플루오르화된 실리케이트 유리(FSG), 이산화 규소 및 탄소-도핑된 산화물(CDO) 유리와 같은 저-극성 물질을 포함한다. 상기 저-k 유전체 물질은 다양한 밀도 및 다양한 다공도를 가질 수 있음을 이해해야 한다.
본원에서 사용된 "실질적인 함유하지 않는"이란, 2 중량% 미만, 바람직하게는 1 중량% 미만, 더욱 바람직하게는 0.5 중량% 미만, 가장 바람직하게는 0.1 중량% 미만으로 정의된다.
본원에서 정의된 "실질적인 오버-에칭(over-etching)"이란, 본원에 기술된 방법에 따라, 하부 규소-함유 층을 가진 마이크로전자 장치와 본원에 기술된 상기 저-pH 조성물을 접촉시킨 후에 인접 하부 규소-함유 층을 약 10% 초과, 더욱 바람직하게는 약 5% 초과, 가장 바람직하게는 약 2% 초과로 제거하는 것에 해당한다. 다시 말해서, 가장 바람직하게는, 소정 온도에서 소정 시간 동안 본원에 기술된 조성물을 사용하여 2% 이하의 하부 규소-함유 층을 에칭한다.
본원에서 사용된 "약"은 언급된 수치의 ±5%에 해당하는 것으로 의도된다.
상부에 포토레지스트 물질을 가진 마이크로전자 장치로부터 벌크 및 경화된 포토레지스트 물질을 제거하는 경우, 본원에서 사용된 "적합성"이란, 상기 마이크로전자 장치로부터 상기 포토레지스트 물질을 적어도 부분적으로 제거하는 것에 해당한다. 바람직하게는, 본원에 기술된 조성물을 사용하여 상기 마이크로전자 장치로부터 상기 포토레지스트 물질의 90% 이상을 제거하며, 더욱 바람직하게는 95% 이상, 가장 바람직하게는 99% 이상을 제거한다.
본원에서 사용된 "고용량" 이온-주입된 포토레지스트는 약 1×1015 원자·cm-2 초과의 이온 용량에 해당한다. 본원에서 기술된 조성물은 또한, 고용량 이온-주입된 포토레지스트를 상부에 갖는 마이크로전자 장치의 표면으로부터 이온-주입된 포토레지스트를 고용량 미만으로 제거하는 것으로 예상됨을 이해해야 한다.
조성물은 이후에 보다 자세히 기술되는 바와 같이 다양한 특정 배합물로 구체화될 수 있다.
상기 모든 조성물에서, 상기 조성물의 특정 성분은 0의 하한치를 포함하는 중량% 범위를 기준으로 언급되며, 이때 이러한 성분은 상기 조성물의 다양한 특정 실시양태에서 존재하거나 부재할 수 있으며, 이러한 성분이 존재하는 경우, 이는 상기 성분이 사용된 조성물의 전체 중량을 기준으로 0.01 중량% 정도의 낮은 농도로 존재할 수 있음을 이해할 것이다.
일반적으로, 본원에 기술된 조성물은 하나 이상의 무기산 및 하나 이상의 산화제를 포함하며, 이때 상기 조성물은 마이크로전자 장치의 표면으로부터 벌크 및 경화된 포토레지스트를 제거하기에 유용하다. 바람직하게는, 상기 하나 이상의 산화제는 상기 하나 이상의 무기산에 가용성이며, 공정 조건 하에 상당히 안정하다.
하나의 양태에서, 하나 이상의 무기산 및 하나 이상의 산화제를 포함하거나, 이들로 이루어지거나 또는 이들로 본질적으로 이루어진 조성물이 기술되며, 이때 상기 조성물은, 상부에 벌크 및 경화된 포토레지스트를 가진 마이크로전자 장치로부터 상기 포토레지스트를 제거하는 데 유용하다. 제 1 양태의 하나의 실시양태에서는, 하나 이상의 무기산 및 하나 이상의 요오드-함유 산화제를 포함하거나, 이들로 이루어지거나 또는 이들로 본질적으로 이루어진 조성물이 기술된다. 제 1 양태의 다른 실시양태에서는, 하나 이상의 무기산, 하나 이상의 요오드-함유 산화제 및 하나 이상의 금속 이온-함유 산화제를 포함하거나, 이들로 이루어지거나 또는 이들로 본질적으로 이루어진 조성물이 기술된다. 제 1 양태의 또 다른 실시양태에서는, 하나 이상의 무기산 및 하나 이상의 금속 이온-함유 산화제를 포함하거나, 이들로 이루어지거나 또는 이들로 본질적으로 이루어진 조성물이 기술된다. 제 1 양태의 또 다른 실시양태에서는, 하나 이상의 무기산, 하나 이상의 요오드-함유 산화제 및 첨가된 물을 포함하거나, 이들로 이루어지거나 또는 이들로 본질적으로 이루어진 조성물이 기술된다. 제 1 양태의 또 다른 실시양태에서는, 하나 이상의 무기산, 하나 이상의 요오드-함유 산화제, 하나 이상의 금속 이온-함유 산화제 및 첨가된 물을 포함하거나, 이들로 이루어지거나 또는 이들로 본질적으로 이루어진 조성물이 기술된다. 제 1 양태의 또 다른 실시양태에서는, 하나 이상의 무기산, 하나 이상의 금속 이온-함유 산화제 및 첨가된 물을 포함하거나, 이들로 이루어지거나 또는 이들로 본질적으로 이루어진 조성물이 기술된다. 일반적으로, 성분들의 서로에 대한 구체적 비율 및 양은, 과도한 노력 없이 당업계의 기술 범위 내에서 용이하게 결정될 수 있는 바와 같이, 상기 벌크 및 경화된 포토레지스트 및/또는 가공 장비를 위한 상기 조성물의 바람직한 제거 작용을 제공하도록 적절히 조정될 수 있다.
본원에 기술된 조성물에 유용한 무기산은 비제한적으로, 황산, 메탄설폰산, 트라이플루오로메탄 설폰산, 과염소산, 트라이플루오로아세트산, 질산, 파이로황산(H2S2O7), 파이로인산, 폴리메타인산, 인산 및 이들의 조합을 포함한다. 가장 바람직하게는, 상기 무기산은 황산, 바람직하게는 진한 황산(상업적으로는 95% 내지 98% H2SO4)을 포함한다. 덜 바람직하지만, 황산은 상기 조성물 중의 H2SO4 농도가 약 50% 내지 약 95% 범위가 되도록 희석될 수 있다. 다른 실시양태에서, 상기 하나 이상의 무기산은 진한 황산 및 진한 인산을 포함한다.
요오드-함유 산화제는 비제한적으로, 과요오드산, 예컨대 메타-과요오드산(HIO4) 및 오르쏘-과요오드산(또는 파라-과요오드산)(H5IO6); 과요오드산의 염, 예컨대 과요오드산 나트륨, 과요오드산 칼륨, 과요오드산 암모늄 및 과요오드산 칼슘; 요오드산 및 그의 염(예컨대 요오드산 나트륨, 요오드산 칼륨 및 요오드산 칼슘); 요오드; 및 이들의 조합을 포함한다. 바람직하게는, 상기 요오드-함유 산화제가 과요오드산을 포함하고, 가장 바람직하게는 오르쏘-과요오드산(또는 파라-과요오드산)(H5IO6) 형태로 포함한다. 다른 실시양태에서, 상기 과요오드산은, 요오드 또는 요오드 화합물의 산화에 의해(예를 들면, 전기화학적 수단 또는 강한 산화제(예컨대, 오존 또는 퍼설페이트)를 사용하여) 동일 반응계 내에서 생성된다.
고려되는 금속 이온-함유 촉매는 비제한적으로, 칼륨 퍼망가네이트, 세슘 퍼망가네이트, 나트륨 퍼망가네이트, 암모늄 퍼망가네이트, 테트라메틸암모늄 퍼망가네이트, 테트라부틸암모늄 퍼망가네이트, 퍼망가네이트 및 이들의 조합을 포함한다. 다르게는 또는 상기 퍼망가네이트에 더하여, 크로메이트, 다이크로메이트, 크륨(VI) 옥사이드를 사용할 수도 있지만, 바람직하지는 않다. 바람직하게는, 상기 금속 이온-함유 산화제가 칼륨 또는 세슘 퍼망가네이트를 포함한다.
진한 H2SO4는 소량(전형적으로, 2 내지 5%)의 물을 함유하지만, 바람직하게는 본원에 기술된 제 1 양태의 조성물에 많지 않은 추가적인 물이 첨가되는 것으로 이해된다. 상기 제 1 양태의 조성물은 바람직하게는 조성물의 총 중량을 기준으로 약 15 중량% 미만, 더욱 바람직하게는 약 10 중량% 미만, 가장 바람직하게는 약 5 중량% 미만의 총 물을 포함한다. 다른 실시양태에서, 상기 제 1 양태의 조성물이 첨가되는 물을 실질적으로 함유하지 않는다. 또한, 본원에 기술된 제 1 양태의 조성물은 바람직하게는 연마재, 수소 퍼옥사이드, 불화수소산, 플루오라이드 이온, 양이온(예컨대, 이미다졸륨, 피리디늄, 피롤리디늄, 암모늄 및 포스포늄), 탄화수소 주쇄를 갖는 중합체성 연마 가속화제, 및 이들의 조합을 실질적으로 함유하지 않는다.
조성물의 총 중량을 기준으로, 하나 이상의 무기산 및 하나 이상의 요오드-함유 산화제를 포함하거나, 이들로 이루어지거나 또는 이들로 본질적으로 이루어진 상기 제 1 양태의 조성물 중 각 성분의 양은 하기와 같다.
Figure pct00001
상기 무기산은, 본원에 기술된 제 1 양태의 조성물 중의 용매이다. 이러한 실시양태에서는 첨가되는 물을 실질적으로 함유하지 않는다.
조성물의 총 중량을 기준으로, 하나 이상의 무기산, 하나 이상의 요오드-함유 산화제 및 하나 이상의 금속 이온-함유 산화제를 포함하거나, 이들로 이루어지거나 또는 이들로 본질적으로 이루어진 상기 제 1 양태의 조성물 중 각 성분의 양은 하기와 같다.
Figure pct00002
다시, 상기 무기산은, 본원에 기술된 제 1 양태의 조성물 중의 용매이다. 이러한 실시양태에서는 첨가되는 물을 실질적으로 함유하지 않는다.
조성물의 총 중량을 기준으로, 하나 이상의 무기산 및 하나 이상의 금속 이온-함유 산화제를 포함하거나, 이들로 이루어지거나 또는 이들로 본질적으로 이루어진 상기 제 1 양태의 조성물 중 각 성분의 양은 하기와 같다.
Figure pct00003
다시, 상기 무기산은, 본원에 기술된 제 1 양태의 조성물 중의 용매이다. 이러한 실시양태에서는 첨가되는 물을 실질적으로 함유하지 않는다.
조성물의 총 중량을 기준으로, 하나 이상의 무기산, 하나 이상의 요오드-함유 산화제 및 첨가된 물을 포함하거나, 이들로 이루어지거나 또는 이들로 본질적으로 이루어진 상기 제 1 양태의 조성물 중 각 성분의 양은 하기와 같다.
Figure pct00004
조성물의 총 중량을 기준으로, 하나 이상의 무기산, 하나 이상의 요오드-함유 산화제, 하나 이상의 금속 이온-함유 산화제 및 첨가된 물을 포함하거나, 이들로 이루어지거나 또는 이들로 본질적으로 이루어진 상기 제 1 양태의 조성물 중 각 성분의 양은 하기와 같다.
Figure pct00005
조성물의 총 중량을 기준으로, 하나 이상의 무기산, 하나 이상의 금속 이온-함유 산화제 및 첨가된 물을 포함하거나, 이들로 이루어지거나 또는 이들로 본질적으로 이루어진 상기 제 1 양태의 조성물 중 각 성분의 양은 하기와 같다.
Figure pct00006
특히 바람직한 실시양태에서, 상기 제 1 양태의 조성물은 진한 H2SO4 및 과요오드산을 포함하거나, 이들로 이루어지거나 또는 이들로 본질적으로 이루어진다. 다른 특히 바람직한 실시양태에서, 상기 제 1 양태의 조성물은 진한 H2SO4, 과요오드산 및 칼륨 퍼망가네이트를 포함하거나, 이들로 이루어지거나 또는 이들로 본질적으로 이루어진다. 또 다른 특히 바람직한 실시양태에서, 상기 제 1 양태의 조성물은 진한 H2SO4, 과요오드산 및 세슘 퍼망가네이트를 포함하거나, 이들로 이루어지거나 또는 이들로 본질적으로 이루어진다. 더 바람직하게, 각각의 바람직한 실시양태에서 과요오드산의 양은 조성물의 총 중량을 기준으로 약 1 중량% 내지 약 15 중량% 범위이다.
또 다른 특히 바람직한 실시양태에서, 상기 제 1 양태의 조성물은 진한 H2SO4 및 칼륨 퍼망가네이트를 포함하거나, 이들로 이루어지거나 또는 이들로 본질적으로 이루어진다.
본원에 기술된 제 1 양태의 조성물은 약 2 미만, 더욱 바람직하게는 약 1 미만의 pH를 갖는다. 본원에 기술된 제 1 양태의 조성물의 pH는 사용되는 성분들 및 이들의 양에 따라 0 미만일 수도 있음을 이해해야 한다.
주위 공기 중의 황산계 혼합물의 수화 문제를 해결하거나, 수용액 형태로 첨가되는 성분(예컨대, 과요오드산)과 함께 도입되는 물을 수용하기 위해, 발연 황산을 가하여, 하기 반응식에 따라 흡수된 물과 반응시킬 수 있다.
H2S2O7 + H2O → 2H2SO4
그러나, 이러한 첨가는 바람직하게는 사용 직전 또는 사용 동안 이러한 수화된 용액에 대해 수행되며, 그 이유는, 진한 황산 중에 존재하는 소량의 물이 과요오드산의 초기 용해에 필요하기 때문이다.
다른 실시양태에서, 상기 제 1 양태의 전술된 조성물은 벌크 및 경화된 포토레지스트 물질을 추가로 포함하며, 이때 상기 벌크 및 경화된 포토레지스트 물질은 붕소, 비소, 이불화 붕소, 인듐, 안티몬, 게르마늄, 탄소 및/또는 인(phosphorous) 이온을 포함할 수 있다. 예를 들어, 상기 제 1 양태의 조성물은 하나 이상의 무기산, 하나 이상의 요오드-함유 산화제, 및 벌크 및 경화된 포토레지스트 물질을 포함할 수 있다. 또 다른 실시양태에서, 상기 제 1 양태의 조성물은 하나 이상의 무기산, 하나 이상의 요오드-함유 산화제, 하나 이상의 금속 이온-함유 산화제, 및 벌크 및 경화된 포토레지스트 물질을 포함할 수 있다. 또 다른 실시양태에서, 상기 제 1 양태의 조성물은 하나 이상의 무기산, 하나 이상의 금속 이온-함유 산화제, 및 벌크 및 경화된 포토레지스트 물질을 포함할 수 있다. 또 다른 실시양태에서, 상기 제 1 양태의 조성물은 하나 이상의 무기산, 하나 이상의 요오드-함유 산화제, 첨가된 물, 및 벌크 및 경화된 포토레지스트 물질을 포함할 수 있다. 또 다른 실시양태에서, 상기 제 1 양태의 조성물은 하나 이상의 무기산, 하나 이상의 요오드-함유 산화제, 하나 이상의 금속 이온-함유 산화제, 첨가된 물, 및 벌크 및 경화된 포토레지스트 물질을 포함할 수 있다. 또 다른 실시양태에서, 상기 제 1 양태의 조성물은 하나 이상의 무기산, 하나 이상의 금속 이온-함유 산화제, 첨가된 물, 및 벌크 및 경화된 포토레지스트 물질을 포함할 수 있다. 상기 포토레지스트 물질 및 주입 이온은 상기 저-pH 조성물에 용해 및/또는 현탁될 수 있다.
상기 조성물은 마이크로전자 장치 상의 하부 규소-함유 물질과 상용성이다.
상기 제 1 양태의 조성물은 단일-패키지 배합물로서, 또는 사용 시점에서 또는 그 이전에 혼합되는 다중 부분(multi-part) 배합물로서 용이하게 배합될 수 있으며, 예컨대 다중 부분 배합물의 개별 성분들은 장치에서, 장치의 저장 탱크 업스트림에서, 또는 혼합된 배합물을 장비로 직접 전달하는 선적 패키지 내의 장비에서 혼합될 수 있다. 예를 들어, 단일 선적 패키지는 팹(fab)에서 사용자에 의해 함께 혼합될 수 있는 적어도 2개의 별도의 용기 또는 블래더를 포함할 수 있고, 상기 혼합된 배합물은 장비로 직접 전달될 수 있다. 상기 적어도 2개의 용기 또는 블래더 중 하나는, 고체일 수 있는 하나 이상의 요오드-함유 산화제를 포함할 수 있지만, 상기 적어도 2개의 용기 또는 블래더 중 다른 하나는 하나 이상의 무기산 및 임의적으로 하나 이상의 금속 이온-함유 산화제를 포함할 수 있다. 하나의 실시양태에서, 상기 적어도 2개의 용기 또는 블래더 중 제 1 용기 또는 블래더는 하나 이상의 요오드-함유 산화제를 포함하고, 상기 적어도 2개의 용기 또는 블래더 중 제 2 용기 또는 블래더는 하나 이상의 무기산을 포함할 수 있다. 또 다른 실시양태에서, 상기 적어도 2개의 용기 또는 블래더 중 제 1 용기 또는 블래더는 하나 이상의 황-함유 산화제를 포함할 수 있지만, 상기 적어도 2개의 용기 또는 블래더 중 제 2 용기 또는 블래더는 하나 이상의 무기산 및 하나 이상의 금속 이온-함유 산화제의 혼합물을 포함할 수 있다. 또 다른 실시양태에서, 상기 적어도 2개의 용기 또는 블래더 중 제 1 용기 또는 블래더는 하나 이상의 요오드-함유 산화제를 포함할 수 있고, 상기 적어도 2개의 용기 또는 블래더 중 제 2 용기 또는 블래더는 하나 이상의 금속 이온-함유 산화제를 포함할 수 있다. 또 다른 실시양태에서, 제 1 용기 또는 블래더는 하나 이상의 요오드-함유 산화제를 포함할 수 있고, 제 2 용기 또는 블래더는 하나 이상의 무기산을 포함할 수 있고, 제 3 용기 또는 블래더는 하나 이상의 금속 이온-함유 산화제를 포함할 수 있다. 각각의 경우, 물이 첨가되어야 한다면, 제조자로부터 떠나기 전에 용기 중 하나 또는 둘 다에 물이 첨가되거나, 사용 전에 팹에서 물이 첨가되거나, 이 두 경우의 조합으로 물이 첨가될 수 있다. 상기 선적 패키지 및 상기 패키지의 내부 용기 또는 블래더는 상기 조성물 성분들의 저장 및 선적에 적합해야 하며, 예컨대 어드밴스드 테크놀러지 머티리얼즈 인코포레이티드(미국 코넥티컷주 댄버리)에서 제공되는 패키지가 있다.
다르게는, 상기 제 1 양태의 조성물의 농축물은 사용 전 및/또는 동안, 현장(on-site) 무기산과의 혼합물을 위한 하나의 선적 용기에서 배합되고 패키지화될 수 있으며, 이 사용 방법은 전술되었다. 예를 들어, 상기 농축물은 하나 이상의 요오드-함유 산화제, 물 및 임의적으로 하나 이상의 무기산을 포함할 수 있으며, 이때 상기 하나 이상의 요오드-함유 산화제는 상기 농축물의 총 중량을 기준으로 약 30 중량 내지 약 85 중량%, 바람직하게는 약 51 중량% 내지 약 85 중량%, 가장 바람직하게는 60 중량% 내지 약 80 중량% 범위의 농도를 가질 수 있고, 물의 양은 약 0.01 중량% 내지 약 50 중량%, 바람직하게는 약 1 중량% 내지 약 30 중량%, 가장 바람직하게는 약 10 중량% 내지 약 25 중량% 범위이다. 상기 농축물 중에 무기산이 존재하는 경우, 상기 무기산의 양은 상기 농축물의 총 중량을 기준으로 약 0.01 중량% 내지 약 45 중량% 범위일 수 있다. 바람직한 실시양태에서, 현장 무기산과의 조합을 위한 농축물은 하나 이상의 요오드-함유 산화제, 물 및 임의적으로 하나 이상의 무기산을 포함하거나, 이들로 이루어지거나 또는 이들로 본질적으로 이루어진다. 다른 실시양태에서, 현장 무기산과의 조합을 위한 농축물은 하나 이상의 요오드-함유 산화제, 물 및 하나 이상의 무기산을 포함하거나, 이들로 이루어지거나 또는 이들로 본질적으로 이루어진다. 상기 현장 무기산에 대한 상기 농축물의 비는, 당업자가 저-pH 조성물에 얼마나 많은 요오드-함유 산화제가 바람직한지 및 상기 농축물 중에 얼마나 많은 무기산이 존재하는지를 앎으로써 용이하게 결정된다.
제 2 양태에서, 본원에 기술된 조성물은 하나 이상의 무기산 및 2종 이상의 산화제를 포함하며, 이때 상기 조성물은 마이크로전자 장치의 표면으로부터 벌크 및 경화된 포토레지스트를 제거하는 데 유용하다. 하나의 실시양태에서, 본원에 기술된 조성물은 하나 이상의 퍼설페이트 화합물 및 무기산/퍼옥사이드 혼합물을 포함하며, 이때 상기 조성물은 마이크로전자 장치의 표면으로부터 벌크 및 경화된 포토레지스트를 제거하는 데 유용하다. 바람직하게는, 상기 하나 이상의 퍼설페이트 화합물이 상기 무기산/퍼옥사이드 혼합물에 가용성이고, 공정 조건 하에 적절하게 안정하다. 다른 실시양태에서, 상기 하나 이상의 퍼설페이트는 하나 이상의 무기 산에 용해되고, 상기 퍼설페이트/무기산 혼합물은 하나 이상의 퍼옥사이드 화합물에 용해된다.
바람직하게, 상기 제 2 양태의 조성물은 하나 이상의 무기산 및 2종 이상의 산화제를 포함하거나, 이들로 이루어지거나 또는 이들로 본질적으로 이루어지며, 이때 상기 조성물은 마이크로전자 장치의 표면으로부터 벌크 및 경화된 포토레지스트를 제거하는 데 유용하다. 다르게는, 상기 제 2 양태의 조성물은 하나 이상의 퍼설페이트 화합물, 하나 이상의 무기산 및 하나 이상의 퍼옥사이드 화합물을 포함하거나, 이들로 이루어지거나 또는 이들로 본질적으로 이루어지며, 이때 상기 조성물은, 상부에 벌크 및 경화된 포토레지스트를 갖는 마이크로전자 장치의 표면으로부터 상기 포토레지스트를 제거하는 데 유용하다. 일반적으로, 성분들의 서로에 대한 특정 비율 및 양은, 과도한 노력 없이 당업계의 기술 내에서 용이하게 결정될 수 있는 바와 같이, 벌크 및 경화된 포토레지스트 및/또는 가공 장비를 위한 조성물의 목적하는 제거 작용을 제공하도록 적절히 변할 수 있다.
상기 제 2 양태의 조성물에 유용한 퍼설페이트 화합물은 비제한적으로, 퍼옥시모노설페이트(SO5 2 -)- 및 퍼옥시다이설페이트(S2O8 2 -)-함유 화합물, 예컨대 암모늄 퍼옥시다이설페이트, 나트륨 퍼옥시다이설페이트, 칼륨 퍼옥시다이설페이트, 세슘 퍼옥시다이설페이트, 퍼옥시이황산(peroxy disulfuric acid), 테트라알킬암모늄 퍼옥시다이설페이트를 포함하며, 이때 알킬 기는 서로 동일하거나 상이할 수 있고, C1-C6 알킬(예를 들면, 메틸, 에틸, 프로필, 부틸, 펜틸 또는 헥실), 아릴(예를 들면, 페닐, 벤질 등), 이들의 조합뿐만 아니라, 피리디늄 및 이미다졸륨 퍼옥시다이설페이트일 수 있다. 예를 들어, 고려되는 테트라알킬암모늄 퍼옥시다이설페이트는 비제한적으로, 테트라메틸 암모늄 퍼옥시다이설페이트, 테트라에틸암모늄 퍼옥시다이설페이트 및 벤질트라이메틸암모늄 퍼옥시다이설페이트를 포함한다. 가장 바람직하게는, 상기 퍼설페이트 화합물이 암모늄 퍼옥시다이설페이트(이후, "암모늄 퍼설페이트"로도 지칭됨)를 포함한다.
상기 제 2 양태의 조성물에 유용한 무기산은, 상기 제 1 양태의 조성물에 대해 본원에서 기술된 것들에 해당한다. 또한, 산 염 암모늄 수소 설페이트가 상기 제 2 양태의 조성물의 성분이 될 수 있다.
상기 제 2 양태의 조성물에 유용한 퍼옥사이드 화합물은 비제한적으로, 수소 퍼옥사이드, 수소 퍼옥사이드-우레아 부가물, 퍼보레이트(예컨대, 암모늄 퍼보레이트), 퍼카보네이트(예컨대, 암모늄 퍼카보네이트), 오존, 또는 이들의 조합물을 포함한다. 가장 바람직하게는, 상기 퍼옥사이드 화합물이, 수소 퍼옥사이드 용액의 총 중량을 기준으로 약 20 중량% 내지 약 50 중량%의 농도를 갖는 수소 퍼옥사이드를 포함한다.
황산/수성 수소 퍼옥사이드의 혼합물 형태의 SPM 조성물은, 상기 제 2 양태의 조성물을 위한 무기산 및 퍼옥사이드 성분으로서 사용될 수 있다. 상기 SPM 조성물은 약 2:1 내지 약 10:1, 더욱 바람직하게는 약 2:1 내지 약 5:1, 가장 바람직하게는 약 3:1 내지 약 5:1 범위의 황산 성분:수소 퍼옥사이드 성분의 부피비를 갖는다. 예를 들어, 하나의 실시양태에서, 상기 SPM은 4 부피부의 진한 황산 및 1 부피부의 수소 퍼옥사이드(예컨대, 약 20 중량% 내지 약 50 중량%의 수소 퍼옥사이드)를 포함할 수 있다.
상기 제 2 양태의 조성물은 첨가된 물 및 유기 용매가 실질적으로 함유하지 않는다. 진한 H2SO4는 소량의 물을 가지며, 상업적으로 사용되는 수소 퍼옥사이드(예컨대, 약 20 중량% 내지 약 50 중량%)는 물을 함유하지만, 본원에 기술된 제 2 양태의 조성물에 물을 많이 첨가하지 않는 것이 바람직한 것으로 이해된다. 따라서, 상기 제 2 양태의 조성물은 조성물의 총 중량을 기준으로 약 5 중량% 미만의 첨가된 물, 더욱 바람직하게는 3 중량% 미만의 첨가된 물, 가장 바람직하게는 2 중량% 미만의 첨가된 물을 포함한다. 본원에서 정의되는 "첨가된 물"은, 상기 제 2 양태의 조성물의 제조자 또는 사용자가 희석 또는 다른 목적으로 상기 조성물의 성분들에 의도적으로 가하는 물에 해당한다. 시판되는 성분들(예컨대, 진한 H2SO4 및/또는 수소 퍼옥사이드) 중에 존재하는 물은 "첨가된 물"로서 간주되지 않는다. 또한, 상기 제 2 양태의 조성물은 바람직하게는 연마재, 습윤제(예컨대, 아세트산, 시트르산, 또는 카복실산 기를 함유하는 다른 화합물), 텅스텐, 구리 이온 또는 구리-함유 잔사, 포스페이트 염 및 칼륨 설페이트가 실질적으로 함유하지 않는다. 하나의 실시양태에서, 상기 제 2 양태의 조성물은 조성물의 총 중량을 기준으로 약 1 중량% 미만의 플루오라이드 이온(예컨대, 테트라메틸암모늄 플루오라이드 등), 바람직하게는 약 0.5 중량% 미만의 플루오라이드 이온, 더욱 바람직하게는 약 0.1 중량% 미만의 플루오라이드 이온 및 몇몇 실시양태에서는 0 중량%의 플루오라이드 이온을 포함한다. 특히, 상기 제 2 양태의 조성물은, 수지성 물질을 형성하는 성분을 포함할 수 없다.
조성물의 총 중량을 기준으로, 하나 이상의 퍼설페이트 화합물 및 황산/퍼옥사이드 혼합물을 포함하거나, 이들로 이루어지거나 또는 이들로 본질적으로 이루어지는 상기 제 2 양태의 조성물 중 각 성분의 양은 하기와 같다.
Figure pct00007
상기 SPM 조성물은 바람직하게는 약 2:1 내지 약 10:1, 더욱 바람직하게는 약 2:1 내지 약 5:1, 가장 바람직하게는 약 3:1 내지 약 5:1 범위의 황산 성분:수소 퍼옥사이드 성분의 부피비를 갖는다.
특히 바람직한 실시양태에서, 상기 제 2 양태의 조성물은, 약 3:1 내지 약 5:1 범위의 황산 성분:수소 퍼옥사이드 성분의 부피비 및 약 5 중량% 내지 약 15 중량%의 암모늄 퍼설페이트를 갖는 SPM을 포함하거나, 이들로 이루어지거나 또는 이들로 본질적으로 이루어진다.
상기 제 2 양태의 조성물의 다른 실시양태에서, 상기 하나 이상의 퍼설페이트를 하나 이상의 무기산과 조합하여, 퍼설페이트/무기산 혼합물을 형성하고, 이어서, 당업자가 용이하게 결정할 수 있는 바와 같이, 전술된 중량% 및 부피/부피 비가 달성되도록 상기 혼합물을 하나 이상의 퍼옥사이드 화합물에 가한다.
상기 제 2 양태의 조성물은 약 2 미만, 더욱 바람직하게는 약 1 미만의 pH를 갖는다. 사용되는 성분들 및 이들의 양에 따라, 상기 제 2 양태의 조성물의 pH는 0 미만일 수도 있음을 이해해야 한다.
다른 실시양태에서, 상기 제 2 양태의 조성물은 벌크 및 경화된 포토레지스트 물질을 추가로 포함하고, 이때 상기 벌크 및 경화된 포토레지스트 물질은 붕소, 비소, 이불화 붕소, 인듐, 안티몬, 게르마늄, 탄소 및/또는 인 이온을 포함할 수 있다. 예를 들어, 상기 제 2 양태의 조성물은 하나 이상의 무기산, 2종 이상의 산화제, 및 벌크 및 경화된 포토레지스트 물질을 포함할 수 있다. 다른 실시양태에서, 상기 제 2 양태의 조성물은 하나 이상의 퍼설페이트 화합물, 황산/퍼옥사이드 혼합물, 및 벌크 및 경화된 포토레지스트 물질을 포함할 수 있다. 또 다른 실시양태에서, 상기 제 2 양태의 조성물은 하나 이상의 퍼설페이트 화합물, 하나 이상의 무기산, 하나 이상의 퍼옥사이드 화합물, 및 벌크 및 경화된 포토레지스트 물질을 포함할 수 있다. 상기 포토레지스트 물질 및 주입 이온은 상기 제 2 양태의 저-pH 조성물에 용해 및/또는 현탁될 수 있다.
상기 제 2 양태의 조성물은 마이크로전자 장치 상의 하부 규소-함유 물질과 상용성이다.
상기 제 2 양태의 조성물은 단일-패키지 배합물로서, 또는 사용 시점에서 또는 그 이전에 혼합되는 다중 부분 배합물로서 용이하게 배합될 수 있으며, 예컨대 다중 부분 배합물의 개별 성분들은 장치에서, 장치의 저장 탱크 업스트림에서, 또는 혼합된 배합물을 장비로 직접 전달하는 선적 패키지 내의 장비에서 혼합될 수 있다. 예를 들어, 단일 선적 패키지는, 팹에서 사용자에 의해 함께 혼합될 수 있는 적어도 2개의 별도의 용기를 포함할 수 있고, 상기 혼합된 배합물은 장비로 직접 전달될 수 있다. 상기 적어도 2개의 용기 또는 블래더 중 하나는, 고체일 수 있는 하나 이상의 퍼설페이트 산화제를 포함할 수 있고, 상기 적어도 2개의 용기 또는 블래더 중 다른 하나는 황산/퍼옥사이드 혼합물을 포함할 수 있다. 다른 실시양태에서, 단일 선적 패키지는, 팹에서 사용자에 의해 함께 혼합될 수 있는 적어도 3개의 별도의 용기 또는 블래더를 포함할 수 있고, 상기 혼합된 배합물은 장비로 직접 전달될 수 있다. 상기 적어도 3개의 용기 또는 블래더 중 제 1 용기 또는 블래더는, 고체일 수 있는 하나 이상의 퍼설페이트 화합물을 포함하고, 상기 적어도 3개의 용기 또는 블래더 중 제 2 용기 또는 블래더는 무기산 성분(예컨대, 황산)을 포함하고, 상기 적어도 3개의 용기 또는 블래더 중 제 3 용기 또는 블래더는 퍼옥사이드 성분(예컨대, 수소 퍼옥사이드)을 포함할 수 있다. 상기 선적 패키지 및 상기 패키지의 내부 용기 또는 블래더는 상기 조성물 성분들의 저장 및 선적에 적합해야 하고, 예컨대 어드밴스드 테크놀러지 머티리얼즈 인코포레이티드(미국 코넥티컷주 댄버리)에서 제공되는 패키지가 있다.
다르게는, 상기 제 2 양태의 조성물의 농축물은 사용 전 및/또는 동안, 현장 성분들(예컨대, SPM 또는 무기산 및 퍼옥사이드 화합물)과의 혼합물을 위한 하나의 선적 용기에서 배합되고 패키지화될 수 있으며, 이 사용 방법은 전술되었다. 예를 들어, 상기 농축물은 하나 이상의 퍼설페이트 화합물 및 물을 포함할 수 있으며, 이때 상기 하나 이상의 퍼설페이트 화합물은 상기 농축물의 총 중량을 기준으로 약 50 중량% 미만의 농도를 가질 수 있다. 현장 성분(들)에 대한 상기 농축물의 비는, 당업자가 저-pH 조성물에 얼마나 많은 각각의 성분이 바람직한지 및 상기 농축물 중에 얼마나 많은 퍼설페이트가 존재하는지를 앎으로써 용이하게 결정된다.
또 다른 양태는, 본원에 기술된 바와 같은 양태들 중 하나의 조성물을 형성하에 적합한 하나 이상의 성분을 하나 이상의 용기에 포함하는 키트(kit)에 관한 것이다. 상기 키트의 용기는 상기 조성물의 저장 및 선적에 적합해야 하고, 예컨대 나우팩(NOWPak, 등록상표) 용기(미국 코넥티컷주 댄버리 소재의 어드밴스드 테크놀러지 머티리얼즈 인코포레이티드)가 있다. 본원에 기술된 조성물의 성분들을 함유하는 하나 이상의 용기는 바람직하게는, 블렌딩 및 분배를 위해 상기 하나 이상의 용기의 성분들을 유체 연통시키기 위한 수단을 포함한다. 예를 들어, 나우팩으로 지칭되는 용기에서, 상기 하나 이상의 용기의 라이너 외부로 기체 압력을 가하여 상기 라이너의 내용물의 적어도 일부를 배출시킴으로써, 블렌딩 및 분배를 위한 유체 연통을 가능하게 할 수 있다. 다르게는, 기체 압력을 통상의 가압가능한 용기의 헤드 공간에 적용하거나 또는 펌프를 사용하여 유체 연통을 가능하게 할 수 있다. 또한, 상기 시스템은 바람직하게는 블렌딩된 제거 조성물을 가공 장비로 분배하기 위한 분배 포트를 포함한다.
실질적으로 화학적 불활성이고 불순물이 없는 가요성 및 탄성 중합체 필름 물질, 예컨대 PTFE 또는 PTFA가 상기 하나 이상의 용기를 위한 라이너를 제조하는 데 사용된다. 바람직한 라이너 물질은 공-압출 또는 차단층 없이, 라이너에 배치될 구성요소에 대한 순도 요구사항에 부정적인 영향을 미칠 수 있는 임의의 안료, UV 억제제 또는 가공제 없이 가공된다. 바람직한 라이너 물질의 목록은, 미처리(virgin) 폴리테트라플루오로에틸렌(PTFE), PFA, 할라(Halar, 등록상표) 등을 포함한다. 이러한 라이너 물질의 바람직한 두께는 약 5 밀(0.005 인치) 내지 약 30 밀(0.030 인치)의 범위, 예컨대 20 밀(0.020 인치)이다.
키트용 용기와 관련하여, 하기 특허 및 특허출원의 개시내용 전체를 본원에 참고로 인용한다: 미국 특허 제 7,188,644 호(발명의 명칭: "초순수액에서의 입자 생성을 최소화하기 위한 장치 및 방법"), 미국 특허 제 6,698,619 호(발명의 명칭: "회수 및 재사용 가능한 백-인-드럼 유체 저장 및 분배 용기 시스템"), 존 이.큐. 휴즈(John E.Q. Hughes)의 이름으로 2008년 5월 9일자로 출원된 국제특허출원공개 PCT/US08/63276 호(발명의 명칭: "물질 블렌딩 및 분배를 위한 시스템 및 방법"), 및 존 이.큐. 휴즈의 이름으로 2008년 12월 8일자로 출원된 국제특허출원공개 PCT/US08/85826 호(발명의 명칭: "유체-함유 공정 물질 조합물의 수송을 위한 시스템 및 방법").
마이크로전자 제품 제조 공정에 적용시, 상기 양태들 중 하나의 조성물은 마이크로전자 장치의 표면으로부터 벌크 및 경화된 포토레지스트를 세정하는 데 유용하게 사용된다. 상기 조성물은 장치 표면 상의 저-k 유전체 물질을 손상시키지 않는다. 바람직하게는, 본원에 기술된 조성물은, 포토레지스트 제거 이전에 장치 상에 존재하는 벌크 및 경화된 포토레지스트의 85% 이상, 더욱 바람직하게는 90% 이상, 더욱더 바람직하게는 95% 이상, 가장 바람직하게는 99% 이상을 제거한다.
제거 용도에서, 상기 양태들 중 하나의 저-pH 조성물은 임의의 적합한 방식으로, 예컨대 상부에 포토레지스트 물질을 가진 장치의 표면에 상기 조성물을 분무하는 것; 포토레지스트 물질을 포함하는 장치를 (일정 부피의 상기 저-pH 조성물에) 침지시키는 것; 상기 저-pH 조성물로 포화된 다른 물질, 예컨대 패드 또는 섬유상 흡수성 도포기 요소와 상기 장치를 접촉시키는 것; 포토레지스트 물질을 포함하는 장치를, 순환하는 상기 저-pH 조성물과 접촉시키는 것; 또는 임의의 다른 적합한 수단, 방식 또는 기법에 의해, 표면에 포토레지스트 물질을 가진 마이크로전자 장치에 상기 저-pH 조성물을 접촉시키는 것에 의해, 상부에 포토레지스트 물질을 가진 장치에 적용될 수 있다. 상기 적용은 동적 또는 정적 세정을 위한 배취식 또는 단일 웨이퍼 장치에서 수행될 수 있다.
상부에 벌크 및 경화된 포토레지스트를 가진 마이크로전자 장치로부터 상기 포토레지스트를 제거하기 위해 상기 양태들 중 하나의 조성물을 사용함에 있어서, 상기 조성물은 전형적으로 상기 장치와 약 10초 내지 약 60분의 시간 동안, 약 20℃ 내지 약 200℃, 바람직하게는 약 50℃ 내지 약 160℃의 온도에서 접촉된다. 이러한 접촉 시간 및 온도는 예시적인 것이며, 본 발명의 광범위한 수행 중에 상기 장치로부터 벌크 및 경화된 포토레지스트를 적어도 부분적으로 세정하는 데 효과적인 임의의 다른 적합한 시간 및 온도 조건이 사용될 수도 있다. "적어도 부분적으로 세정" 및 "실질적으로 제거"라는 표현은 둘 다, 포토레지스트 제거 이전에, 상기 장치 상에 존재하는 벌크 및 경화된 포토레지스트의 85% 이상, 더욱 바람직하게는 90% 이상, 더욱더 바람직하게는 95% 이상, 가장 바람직하게는 99% 이상을 제거함을 나타낸다.
상기 조성물은 목적한 제거 작용을 달성한 후, 이전에 적용된 장치로부터 용이하게 제거될 수 있으며, 이는 또한, 본원에 개시된 조성물을 주어진 최종 용도에서 바람직하고 효과적일 수 있다. 바람직하게는, 상기 제 1 양태의 조성물을 위한 세척액은 차갑거나 뜨거운(예컨대, 20 내지 80℃) 탈이온수를 포함한다. 바람직하게는, 상기 제 2 양태의 조성물을 위한 세척액은 차갑거나 뜨거운(예컨대, 20 내지 80℃) 탈이온수를 포함한다. 다르게는, 상기 양태들 중 하나의 조성물을 위한 세척액은 물 세척, 이어서 SC-1(H2O2-NH40H-H20) 세척(이로써 상기 장치는 실온 또는 이보다 약간 초과의 온도에서 세척될 수 있음), 및 이어서 실온 이상의 온도에서 탈이온수를 사용한 세척을 포함할 수 있다. 탈이온수로 최종 세척되기 전에, 점차 감소하는 농도의 SC-1을 갖는 복수의 용액으로 상기 장치를 세척할 수도 있음을 이해해야 한다. 그 후, 상기 장치는 질소 또는 스핀-건조 사이클을 사용하여 건조될 수 있다.
본 발명의 또 다른 양태는, 본원에 기술된 방법에 따라 제조된 개선된 마이크로전자 장치 및 상기 마이크로전자 장치를 함유하는 제품에 관한 것이다.
또 다른 양태는 재순환되는 조성물에 관한 것으로, 이때 상기 조성물은 당업계의 숙련자들에 의해 용이하게 결정되는 바와 같이, 포토레지스트 담지량이 상기 조성물이 수용할 수 있는 최대량에 도달할 때까지 재순환될 수 있다. 당업계의 숙련자라면 상기 재순환 공정 동안 여과 및/또는 펌핑 시스템이 요구될 수 있음을 인식할 것이다.
또 다른 양태는 마이크로전자 장치를 포함하는 제품의 제조 방법에 관한 것으로, 상기 방법은, 본원에 개시된 조성물을 사용하여, 표면에 포토레지스트를 가진 마이크로전자 장치로부터 벌크 및 경화된 포토레지스트를 세정하기에 충분한 시간 동안 조성물과 상기 마이크로전자 장치를 접촉시키는 단계, 및 상기 마이크로전자 장치를 상기 제품에 합체하는 단계를 포함한다.
또 다른 양태는, 단일 웨이퍼 장비(single wafer tool; SWT) 및 본원에 개시된 조성물을 사용하여 마이크로전자 장치의 표면으로부터 벌크 및 경화된 포토레지스트를 세정하는 방법에 관한 것이다. 현재, 주입된 레지스트를 제거하기 위한 용액은 대부분 배취 모드로 사용되고, 이는 강한 산화제, 예를 들어 황산-수소 퍼옥사이드 혼합물(SPM)에 기초한다. 이러한 혼합물은, 이들이 효과적인 온도에서는 제한적인 욕 수명(bath life)을 갖는다. 배취 공정 동안 SWT를 선호하면, 전형적으로 10 내지 30분으로부터 약 1분으로 포토레지스트의 해리 시간을 단축할 필요가 있다. 불리하게는, 이는 배취 공정 온도보다 높은 공정 온도(예컨대, 약 40 내지 200℃)를 요구하는데, 이는 저 pH 조성물 중 산화제의 분해 속도를 촉진시킨다.
이와 같이, SWT를 사용하는 보다 고온 공정이 본원에 기술된다. 바람직하게는, SWT를 위한 저 pH 조성물은 일회용 조성물이다. 제 1 양태의 조성물을 사용하는 실시양태는 하기 사항을 포함한다:
1. 비교적 찬 농축 산화제 용액의 스트림을 고온 희석제, 예컨대 고온 황산과 혼합한다. 임의적으로, 상기 용액 중 하나는 다른 것보다 다량의 물을 함유하여 일부 혼합 열을 발생시킬 수 있다. 상기 혼합은 하나의 웨이퍼에 요구되는 용액에 충분한 크기의 작은 제 2 저장조에서 이루어지거나, 또는 2종의 상이한 용액을 수송하는 2개의 튜브를 "Y" 연결부에서 합침으로써 이루어질 수 있다.
2. 배관 외부로부터 장치 웨이퍼로 향하는 도중에 산화 용액을 가열한다.
3. 높은 열질량(thermal mass) 및 제어가능한 온도를 갖는 금속 척(chuck) 상에 장치 웨이퍼를 위치시키고, 웨이퍼의 열 전도성에 따라 수십 도만큼 무기산 함유 조성물을 신속히 가열시킨다.
제 2 양태의 조성물을 사용하는 실시양태는 하기 사항을 포함한다:
1. 비교적 찬 퍼옥사이드 성분의 스트림을 고온 황산 성분 및 하나 이상의 퍼설페이트 화합물과 혼합하여 조성물을 수득한다. 다르게는, 가열된 SPM의 스트림과 하나 이상의 퍼설페이트 화합물을 혼합하여 조성물을 수득한다. 또 다르게는, 황산 성분의 스트림과 하나 이상의 퍼설페이트 화합물을 혼합하여 황산/퍼설페이트 혼합물을 형성한 후, 상기 혼합물의 스트림을 비교적 찬 퍼옥사이드 성분과 혼합하여 조성물을 수득한다. 임의적으로, 상기 용액 중 하나는 다른 것보다 다량의 물을 함유하여 일부 혼합 열을 발생시킬 수 있다. 상기 혼합은 하나의 웨이퍼에 요구되는 용액에 충분한 크기의 작은 제 2 저장조에서 이루어지거나, 또는 2종의 상이한 용액을 수송하는 2개의 튜브를 "Y" 연결부에서 합침으로써 이루어질 수 있다.
2. 배관 외부로부터 장치 웨이퍼로 향하는 도중에 퍼설페이트-함유 조성물을 가열한다.
3. 높은 열질량 및 제어가능한 온도를 갖는 금속 척 상에 장치 웨이퍼를 배치하고, 웨이퍼의 열 전도성에 따라 수십 도만큼 퍼설페이트-함유 조성물을 빠르게 가열시킨다.
상기 특징 및 장점은 하기 논의되는 예시적인 실시예에 의해 더욱 충실히 기술된다.
실시예 1
황산 및 과요오드산(5 내지 15% 과요오드산의 농도)의 혼합물은, 주입, 투여량 및 에너지에 좌우되어 60 내지 95℃의 온도 및 30 내지 60분의 반응 시간에서 고밀도 주입된 레지스트의 제거에 효과적임이 확인되었다. 예컨대, 진한 황산 중 4.75 중량% 및 9.1 중량%의 용액으로 60℃에서 30분 내에 주입된 레지스트 시험 패턴(As, 2 x 1015 원자 cm-2, 20 keV)을 세정하였다. 상기 공정은 소량의 물, 예컨대 2 g PIA, 1 g 물 및 19 g 진한 황산(약 96%)을 허용하며, 주입된 레지스트를 효율적으로 제거하였다.
80℃에서, 진한 황산 중 5% 및 10% 과요오드산을 갖는 용액 모두로 30분 내에 20 keV에서 5 x 1015 원자 cm-2 As 및 40 keV에서 1 x 1015 원자 cm-2 As로 주입된 시험 웨이퍼를 완전히 세정하였다. 이들 용액은 20 keV에서 1 x 1016 원자 cm-2 As로 주입된 웨이퍼를 부분적으로 세정할 수 있었지만, 완전하지는 않았다.
실시예 2
본원에 기재된 용액의 안정성을 시험하였다. 진한 황산 중 10% 과요오드산의 대형 배취를 제조하고, 22개의 상이한 용기로 분리하고, 80℃로 가열하였다. 20 keV에서 2 x 1015 원자 cm-2 As를 사용하여 다양한 시간 간격으로 세정능에 대해 이들 용액을 시험하였다. 92시간 가열에서, 상기 용액은 여전히 초기 용액과 동일하게 보였고, 세정되었다. 140시간 가열에서, 황색 침전물이 형성되어, 시험을 중단하였다.
용액의 유효 기간(shelf life)을 조사하기 위해 별개의 실험을 수행하였다. 68일 현재, 상기 용액은 30분 후에 60℃에서 20 keV에서 2 x 1015 원자 cm-2 As를 여전히 완전하게 세정하였다.
실시예 3
사유(proprietary) 마스크를 사용하여 개발된 웨이퍼 배취를 사용하여 일련의 실험을 수행하였으며, 이때 상기 웨이퍼는 UV 1 10G 포지티브 248 nm 레지스트를 포함하고 이온-주입물을 결합시켰다. 90 nm 노드 패턴 및 이를 약간 초과하며, 225 nm 이하의 폭 및 400 nm 피치(pitch)의 전형적 레지스트 라인을 진한 황산 믹스(sulfric mix) 중 5% 과요오드산으로 90℃에서 처리하고 30분 후에 제거할 수 있었다. 보다 중질의 주입물(예: 4 x 1015 원자 cm-2 BF2 + 및 3.5 x 1015 원자 cm-2 As)의 경우, 상당한 레지스트 잔사가 웨이퍼 상에 재침착되었다. 상기 재침작을 최소화시키기 위해, 웨이퍼의 수직으로 위치시키는 것 및 묽은 SC-1 린스를 비롯한 보다 우수하고 강한 세정하는 것을 비롯한 보다 우수한 작동 모드가 사용될 수 있다.
실시예 4
반응을 가속화시키기 위해 5% 과요오드산-진한 황산 혼합물에 칼륨 퍼망가네이트의 첨가를 조사하였다. 첨가된 KMnO4 농도는 49, 220 및 1000 ppm이었고, 시험편을 20 keV에서 1 x 1016 원자 cm-2 As로 주입시켰다. 완전한 세정이 성취되지는 않았지만, 220 ppm KMnO4 용액은 다른 것 및 첨가된 퍼망가네이트를 함유하지 않는 용액에 비해 현저한 장점을 보였다.
실시예 5
과요오드산 및 KMnO4가 웨이퍼 오염 위험을 일으키는지를 결정하기 위해, 블랭켓(blanket) 규소 웨이퍼들을 (a) 5% 과요오드산-진한 황산 믹스 또는 (a) + 220 ppm 첨가된 KMnO4의 배합물에서 90℃에서 30분 동안 처리하였다. 그 후 상기 웨이퍼들을 물로, 또는 물 + 묽은 SC-1 + 물로 세정하고, 전반사 X-선 형광 분광법(TXRF)으로 조사하였다. 규소 웨이퍼 상에서 발견된 유일한 오염물은 (황산으로부터의) 황이었고, 이는 SC-1 처리로 제거될 수 있다. 요오드, 칼륨 및 망간은 상기 주어진 조건 하에 문제를 일으키지 않는 것으로 여겨진다.
퍼망가네이트가 웨이퍼를 상당히 오염시키는 것으로 나타나지 않기 때문에, 진한 황산 중 0.2% KMnO4 용액을 실시예 3에 언급된 동일한 사유 웨이퍼 상에서 시험하였다. 90℃에서 30분 후, 관련 90 nm 노드 라인의 완전한 세정이 15 keV, 3.5 x 1015 원자 cm-2 As 주입물에서 관찰되었지만, 40 keV, 3.5 x 1016 원자 cm-2 As에서는 관찰되지 않았다.
실시예 6
사유 마스크를 사용하여 개발된 웨이퍼 배취를 사용하여 일련의 실험을 수행하였으며, 이때 상기 웨이퍼는 포지티브 248 nm 레지스트를 포함하고 이온-주입물을 결합시켰다(15 KeV에서 3 x 1014 원자 cm-2 Ge 및 15 KeV에서 3.5 x 1015 원자 cm-2 As). 상기 사유 웨이퍼들을 후술되는 바와 같이 60℃에서 30분간 배합물 A-C에 함침시키고, 세정하고, 광 마이크로그래프를 수득하였다.
배합물 A: 1 중량% 암모늄 퍼설페이트, 99 중량% SPM(4:1 v/v 비)
배합물 B: 5 중량% 암모늄 퍼설페이트, 95 중량% SPM(4:1 v/v 비)
배합물 C: 15 중량% 암모늄 퍼설페이트, 85 중량% SPM(4:1 v/v 비)
배합물 B 및 C는 레지스트 입자를 최소로 재침작시키면서 특히 웨이퍼의 표면으로부터 레지스트를 실질적으로 제거한 것으로 결정되었다.
본 발명이 예시적 실시양태 및 특징을 참고하여 본원에 다양하게 개시되었지만, 본원에 기술된 실시양태 및 특징은 본 발명을 한정하는 것으로 의도되지 않으며, 다른 변형, 변화 및 다른 실시양태가 본원의 개시 내용에 기초하여 당해 분야 숙련자에게 그 자체로 제안될 것임을 이해할 것이다. 그러므로 본 발명은 이러한 모든 변형, 변화 및 다른 실시양태를 이후에 개시된 특허청구범위의 진의 및 범주 내에 포함하는 것으로 폭넓게 해석되어야 한다.

Claims (32)

  1. 마이크로전자 장치 상의 벌크 및/또는 경화된 포토레지스트 물질을 상기 마이크로전자 장치로부터 제거하는 데 적합한, 하나 이상의 무기산(mineral acid) 및 하나 이상의 산화제를 포함하는 저 pH 조성물.
  2. 제 1 항에 있어서,
    상기 조성물이 둘 이상의 산화제를 포함하는, 저 pH 조성물.
  3. 제 2 항에 있어서,
    하나 이상의 요오드-함유 산화제 및 하나 이상의 금속 이온-함유 산화제를 포함하는, 저 pH 조성물.
  4. 제 1 항에 있어서,
    상기 산화제가 하나 이상의 요오드-함유 산화제를 포함하는, 저 pH 조성물.
  5. 제 1 항에 있어서,
    상기 산화제가 하나 이상의 금속 이온-함유 산화제를 포함하는, 저 pH 조성물.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 하나 이상의 요오드-함유 산화제가, 과요오드산, 과요오드산의 염, 요오드산 및 이의 염, 요오드 및 이들의 조합으로 이루어진 군으로부터 선택되는 종을 포함하는, 저 pH 조성물.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 하나 이상의 요오드-함유 산화제가, 메타-과요오드산, 오르쏘-과요오드산, 과요오드산 나트륨, 과요오드산 칼륨, 과요오드산 암모늄, 과요오드산 칼슘, 요오드산 나트륨, 요오드산 칼륨, 요오드산 칼슘, 요오드 및 이들의 조합으로 이루어진 군으로부터 선택되는 종을 포함하는, 저 pH 조성물.
  8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 하나 이상의 요오드-함유 산화제가 과요오드산을 포함하는, 저 pH 조성물.
  9. 제 8 항에 있어서,
    상기 과요오드산이 요오드 또는 요오드 화합물의 산화에 의해 동일 반응계 내에서 생성되는, 저 pH 조성물.
  10. 제 1 항 내지 제 9 항 중 어느 한 항에 있어서,
    상기 요오드-함유 산화제의 양이 조성물의 총 중량을 기준으로 약 0.1 중량% 내지 약 20 중량% 범위인, 저 pH 조성물.
  11. 제 1 항 내지 제 10 항 중 어느 한 항에 있어서,
    상기 하나 이상의 금속 이온-함유 산화제가, 칼륨 퍼망가네이트, 세슘 퍼망가네이트, 나트륨 퍼망가네이트, 암모늄 퍼망가네이트, 테트라부틸암모늄 퍼망가네이트 및 이들의 조합으로 이루어진 군으로부터 선택되는 종을 포함하는, 저 pH 조성물.
  12. 제 1 항 내지 제 11 항 중 어느 한 항에 있어서,
    상기 하나 이상의 금속 이온-함유 산화제가 칼륨 퍼망가네이트 또는 세슘 퍼망가네이트를 포함하는, 저 pH 조성물.
  13. 제 1 항 내지 제 12 항 중 어느 한 항에 있어서,
    진한 황산 및 과요오드산을 포함하는, 저 pH 조성물.
  14. 제 1 항 내지 제 13 항 중 어느 한 항에 있어서,
    진한 황산, 과요오드산 및 칼륨 퍼망가네이트를 포함하는, 저 pH 조성물.
  15. 제 1 항 내지 제 14 항 중 어느 한 항에 있어서,
    진한 황산, 과요오드산 및 세슘 퍼망가네이트를 포함하는, 저 pH 조성물.
  16. 제 1 항 내지 제 15 항 중 어느 한 항에 있어서,
    상기 하나 이상의 무기산이 진한 황산 및 진한 인산을 포함하는, 저 pH 조성물.
  17. 제 1 항 내지 제 16 항 중 어느 한 항에 있어서,
    상기 조성물이 연마재, 수소 퍼옥사이드, 불화수소산, 플루오라이드 이온, 양이온 예컨대 이미다졸륨, 피리디늄, 피롤리디늄, 암모늄 및 포스포늄, 탄화수소 주쇄를 갖는 중합체성 연마 가속화제, 및 이들의 조합을 실질적으로 함유하지 않는, 저 pH 조성물.
  18. 제 2 항에 있어서,
    하나 이상의 퍼설페이트 화합물 및 하나 이상의 퍼옥사이드 화합물을 포함하는, 저 pH 조성물.
  19. 제 18 항에 있어서,
    상기 하나 이상의 퍼설페이트 화합물이, 암모늄 퍼옥시다이설페이트, 나트륨 퍼옥시다이설페이트, 칼륨 퍼옥시다이설페이트, 세슘 퍼옥시다이설페이트, 테트라알킬암모늄 퍼옥시다이설페이트, 벤질트라이메틸암모늄 퍼옥시다이설페이트, 퍼옥시이황산(peroxy disulfuric acid) 및 이들의 조합으로 이루어진 군으로부터 선택되는 산을 포함하는, 저 pH 조성물.
  20. 제 18 항에 있어서,
    상기 하나 이상의 퍼설페이트 화합물이 암모늄 퍼설페이트를 포함하는, 저 pH 조성물.
  21. 제 18 항 내지 제 20 항 중 어느 한 항에 있어서,
    상기 하나 이상의 퍼설페이트 화합물이 조성물의 총 중량을 기준으로 약 0.01 중량% 내지 약 20 중량% 범위로 존재하는, 저 pH 조성물.
  22. 제 18 항 내지 제 21 항 중 어느 한 항에 있어서,
    상기 퍼옥사이드 화합물이, 약 20 중량% 내지 약 50 중량% 범위의 농도를 갖는 수소 퍼옥사이드를 포함하는, 저 pH 조성물.
  23. 제 18 항 내지 제 22 항 중 어느 한 항에 있어서,
    암모늄 퍼설페이트, 황산 및 수소 퍼옥사이드를 포함하는, 저 pH 조성물.
  24. 제 18 항 내지 제 23 항 중 어느 한 항에 있어서,
    상기 조성물이 연마재, 습윤제, 텅스텐, 구리 이온 또는 구리-함유 잔사, 포스페이트 염 및 칼륨 설페이트, 플루오라이드 이온, 수지성 물질을 형성하는 성분 및 이들의 조합을 실질적으로 함유하지 않는, 저 pH 조성물.
  25. 제 1 항 내지 제 24 항 중 어느 한 항에 있어서,
    상기 하나 이상의 무기산이, 황산, 메탄설폰산, 트라이플루오로메탄 설폰산, 과염소산, 트라이플루오로아세트산, 질산, 파이로황산, 파이로인산, 폴리메타인산, 인산, 암모늄 수소 설페이트 및 이들의 조합으로 이루어진 군으로부터 선택되는 산을 포함하는, 저 pH 조성물.
  26. 제 1 항 내지 제 25 항 중 어느 한 항에 있어서,
    상기 하나 이상의 무기산이 황산을 포함하는, 저 pH 조성물.
  27. 제 1 항 내지 제 26 항 중 어느 한 항에 있어서,
    상기 황산이 95 내지 98 중량% 농도인, 저 pH 조성물.
  28. 제 1 항 내지 제 27 항 중 어느 한 항에 있어서,
    상기 무기산의 양이 조성물의 총 중량을 기준으로 약 80 내지 약 99.9% 범위인, 저 pH 조성물.
  29. 제 1 항 내지 제 28 항 중 어느 한 항에 있어서,
    상기 pH가 2 미만인, 저 pH 조성물.
  30. 제 1 항 내지 제 29 항 중 어느 한 항에 있어서,
    상기 조성물이 벌크 및/또는 경화된 포토레지스트 물질 잔사를 추가로 포함하는, 저 pH 조성물.
  31. 제 1 항 내지 제 30 항 중 어느 한 항에 있어서,
    상기 경화된 포토레지스트 물질 잔사가, B, As, P, BF2 +, C, In, Ge, Sb 및 이들의 조합으로 이루어진 군으로부터 선택되는 하나 이상의 주입된 이온을 포함하는, 저 pH 조성물.
  32. 제 1 항 내지 제 31 항 중 어느 한 항에 있어서,
    상기 조성물이 조성물의 총 중량을 기준으로 약 5 중량% 미만의 물을 포함하는, 저 pH 조성물.
KR1020107027026A 2008-05-01 2009-05-01 고밀도 주입된 레지스트의 제거를 위한 저 ph 혼합물 KR20100133507A (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US4960008P 2008-05-01 2008-05-01
US61/049,600 2008-05-01
US5479808P 2008-05-20 2008-05-20
US61/054,798 2008-05-20
US9395408P 2008-09-03 2008-09-03
US61/093,954 2008-09-03

Publications (1)

Publication Number Publication Date
KR20100133507A true KR20100133507A (ko) 2010-12-21

Family

ID=41255846

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107027026A KR20100133507A (ko) 2008-05-01 2009-05-01 고밀도 주입된 레지스트의 제거를 위한 저 ph 혼합물

Country Status (6)

Country Link
US (1) US8026200B2 (ko)
EP (1) EP2288965A4 (ko)
JP (1) JP2011520142A (ko)
KR (1) KR20100133507A (ko)
TW (1) TWI494710B (ko)
WO (1) WO2009135102A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200061913A (ko) * 2018-11-26 2020-06-03 연세대학교 산학협력단 포토레지스트 제거용 조성물 및 그를 이용한 포토레지스트의 제거방법

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
US8801867B2 (en) * 2007-07-31 2014-08-12 X-Flow B.V. Method for cleaning filter membranes
US8216384B2 (en) * 2009-01-15 2012-07-10 Intermolecular, Inc. Combinatorial approach to the development of cleaning formulations for wet removal of high dose implant photoresist
JP2011129651A (ja) * 2009-12-16 2011-06-30 Renesas Electronics Corp 半導体装置の製造方法、基板処理装置、および、プログラム
US8252673B2 (en) * 2009-12-21 2012-08-28 International Business Machines Corporation Spin-on formulation and method for stripping an ion implanted photoresist
US20110275221A1 (en) * 2010-05-07 2011-11-10 Lam Research Ag Method for treatment substrates and treatment composition for said method
JP2013533631A (ja) 2010-07-16 2013-08-22 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド エッチング後残渣を除去するための水性洗浄剤
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
US9238850B2 (en) 2010-08-20 2016-01-19 Advanced Technology Materials, Inc. Sustainable process for reclaiming precious metals and base metals from e-waste
SG189292A1 (en) 2010-10-06 2013-05-31 Advanced Tech Materials Composition and process for selectively etching metal nitrides
US8709165B2 (en) 2010-12-03 2014-04-29 Lam Research Ag Method and apparatus for surface treatment using inorganic acid and ozone
KR102064487B1 (ko) 2011-01-13 2020-01-10 엔테그리스, 아이엔씨. 세륨-함유 용액에 의해 발생된 입자의 제거를 위한 배합물
DE102011000322A1 (de) * 2011-01-25 2012-07-26 saperatec GmbH Trennmedium, Verfahren und Anlage zum Trennen von Mehrschichtsystemen
US9412628B2 (en) * 2011-06-30 2016-08-09 Tel Fsi, Inc. Acid treatment strategies useful to fabricate microelectronic devices and precursors thereof
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
US8664014B2 (en) 2011-11-17 2014-03-04 Intermolecular, Inc. High productivity combinatorial workflow for photoresist strip applications
JP6329909B2 (ja) 2011-12-28 2018-05-23 インテグリス・インコーポレーテッド 窒化チタンを選択的にエッチングするための組成物および方法
CN104508072A (zh) 2012-02-15 2015-04-08 安格斯公司 用于cmp后去除的组合物及使用方法
EP2850495A4 (en) * 2012-05-18 2016-01-20 Entegris Inc COMPOSITION AND METHOD FOR REMOVING PHOTOLACK FROM A SURFACE WITH TITANNITRIDE
US8603837B1 (en) * 2012-07-31 2013-12-10 Intermolecular, Inc. High productivity combinatorial workflow for post gate etch clean development
JP6168271B2 (ja) * 2012-08-08 2017-07-26 株式会社Screenホールディングス 基板処理装置および基板処理方法
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
KR102294726B1 (ko) 2013-03-04 2021-08-30 엔테그리스, 아이엔씨. 티타늄 나이트라이드를 선택적으로 에칭하기 위한 조성물 및 방법
EP3004287B1 (en) 2013-06-06 2021-08-18 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
TWI683889B (zh) 2013-07-31 2020-02-01 美商恩特葛瑞斯股份有限公司 用於移除金屬硬遮罩及蝕刻後殘餘物之具有Cu/W相容性的水性配方
SG11201601158VA (en) 2013-08-30 2016-03-30 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
TWI654340B (zh) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
WO2015095726A1 (en) 2013-12-20 2015-06-25 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
KR102290209B1 (ko) 2013-12-31 2021-08-20 엔테그리스, 아이엔씨. 규소 및 게르마늄을 선택적으로 에칭하기 위한 배합물
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
US9512517B2 (en) 2015-01-23 2016-12-06 Varian Semiconductor Equipment Associates, Inc. Multiple exposure treatment for processing a patterning feature
KR20180121479A (ko) * 2015-12-03 2018-11-07 클린 리튬 코포레이션 리튬 막을 형성하기 위한 방법
JP7150433B2 (ja) * 2017-12-28 2022-10-11 東京応化工業株式会社 リワーク方法、及び酸性洗浄液
TW202210616A (zh) * 2020-07-30 2022-03-16 美商恩特葛瑞斯股份有限公司 移除硬遮罩之方法

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3962108A (en) * 1975-11-03 1976-06-08 Kti Chemical, Inc. Chemical stripping solution
FR2371705A1 (fr) * 1976-11-19 1978-06-16 Ibm Procede de suppression des couches d'un materiau organique formees sur un substrat
JPS5424627A (en) * 1977-07-27 1979-02-24 Mitsubishi Gas Chemical Co Removinggagent for phtoresist
US4215005A (en) * 1978-01-30 1980-07-29 Allied Chemical Corporation Organic stripping compositions and method for using same
US4419183A (en) * 1983-01-18 1983-12-06 Shipley Company Inc. Etchant
JPH01189921A (ja) * 1988-01-26 1989-07-31 Mitsubishi Electric Corp レジスト除去装置
JP2769038B2 (ja) * 1990-03-19 1998-06-25 三菱電機株式会社 パターン形成方法
JPH0582437A (ja) * 1991-07-25 1993-04-02 Tokico Ltd 自動液管理装置
JPH0829989A (ja) * 1994-07-14 1996-02-02 Furontetsuku:Kk フォトレジスト膜の除去方法
US6294145B1 (en) * 1994-11-08 2001-09-25 Texas Instruments Incorporated Piranha etch preparation having long shelf life and method of making same
US5560840A (en) * 1994-12-19 1996-10-01 International Business Machines Corporation Selective etching of nickle/iron alloys
WO1997050019A1 (en) * 1996-06-25 1997-12-31 Cfm Technologies, Inc. Improved method for sulfuric acid resist stripping
US5861064A (en) * 1997-03-17 1999-01-19 Fsi Int Inc Process for enhanced photoresist removal in conjunction with various methods and chemistries
JP2000056478A (ja) * 1998-08-04 2000-02-25 Showa Denko Kk サイドウォール除去液
US6383723B1 (en) * 1998-08-28 2002-05-07 Micron Technology, Inc. Method to clean substrate and improve photoresist profile
WO2000015015A1 (fr) * 1998-09-03 2000-03-16 Ibiden Co., Ltd. Carte imprimee multicouches et son procede de fabrication
JP4240424B2 (ja) * 1998-10-23 2009-03-18 エルジー ディスプレイ カンパニー リミテッド エッチング剤及びこれを用いた電子機器用基板の製造方法
US6162565A (en) * 1998-10-23 2000-12-19 International Business Machines Corporation Dilute acid rinse after develop for chrome etch
JP4390237B2 (ja) * 2000-02-14 2009-12-24 東洋合成工業株式会社 感光性化合物及び感光性樹脂
US6440871B1 (en) * 2000-08-16 2002-08-27 Micron Technology, Inc. Gas assisted method for applying resist stripper and gas-resist stripper combinations
JP4010819B2 (ja) 2002-02-04 2007-11-21 Necエレクトロニクス株式会社 半導体装置の製造方法
KR20030082767A (ko) * 2002-04-18 2003-10-23 주식회사 덕성 수용액에서의 전해질의 전기전도도가 높은 물질을 이용한레지스트 박리액 조성물
AU2003225178A1 (en) * 2002-04-24 2003-11-10 Ekc Technology, Inc. Oxalic acid as a cleaning product for aluminium, copper and dielectric surfaces
US20040159335A1 (en) * 2002-05-17 2004-08-19 P.C.T. Systems, Inc. Method and apparatus for removing organic layers
KR100536593B1 (ko) 2002-12-05 2005-12-14 삼성전자주식회사 선택적인 막 제거를 위한 세정 용액 및 그 세정 용액을사용하여 실리사이드 공정에서 막을 선택적으로 제거하는방법
JP4270544B2 (ja) * 2003-03-06 2009-06-03 花王株式会社 剥離剤組成物
US6818142B2 (en) * 2003-03-31 2004-11-16 E. I. Du Pont De Nemours And Company Potassium hydrogen peroxymonosulfate solutions
TW200505975A (en) * 2003-04-18 2005-02-16 Ekc Technology Inc Aqueous fluoride compositions for cleaning semiconductor devices
US7390615B2 (en) * 2003-06-20 2008-06-24 International Business Machines Corporation Integrated circuit fuse and method of opening
US7419911B2 (en) * 2003-11-10 2008-09-02 Ekc Technology, Inc. Compositions and methods for rapidly removing overfilled substrates
CN101833251B (zh) * 2004-02-11 2013-11-13 安万托特性材料股份有限公司 含有卤素含氧酸、其盐及其衍生物的微电子清洗组合物及清洗方法
US20060128160A1 (en) * 2004-12-10 2006-06-15 Yoo Woo S Photoresist strip using solvent vapor
US7923424B2 (en) * 2005-02-14 2011-04-12 Advanced Process Technologies, Llc Semiconductor cleaning using superacids
US20060183654A1 (en) * 2005-02-14 2006-08-17 Small Robert J Semiconductor cleaning using ionic liquids
KR20070121845A (ko) * 2005-04-15 2007-12-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 용매계 내 자기 조립 단층을 이용한 고용량 이온 주입포토레지스트의 제거
KR20080023346A (ko) 2005-06-16 2008-03-13 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 경화된 포토레지스트, 에칭 후 잔류물 및/또는 바닥 반사방지 코팅 층의 제거를 위한 고밀도 유체 조성물
US7422700B1 (en) * 2005-06-28 2008-09-09 Novellus Systems, Inc. Compositions and methods of electrochemical removal of material from a barrier layer of a wafer
US20070095366A1 (en) * 2005-11-02 2007-05-03 Applied Materials, Inc. Stripping and cleaning of organic-containing materials from electronic device substrate surfaces
KR101349491B1 (ko) * 2005-12-20 2014-01-08 미츠비시 가스 가가쿠 가부시키가이샤 배선 기판의 잔사 제거용 조성물 및 세정 방법
US20070227556A1 (en) * 2006-04-04 2007-10-04 Bergman Eric J Methods for removing photoresist
JP5177426B2 (ja) * 2006-04-18 2013-04-03 奥野製薬工業株式会社 樹脂成形体に対するエッチング処理用組成物
US7442323B2 (en) * 2006-06-02 2008-10-28 E. I. Du Pont De Nemours And Company Potassium monopersulfate solutions
US20070298607A1 (en) * 2006-06-23 2007-12-27 Andryushchenko Tatyana N Method for copper damascence fill for forming an interconnect
US20080041813A1 (en) * 2006-08-21 2008-02-21 Atmel Corporation Methods and compositions for wet etching
WO2008024480A2 (en) * 2006-08-23 2008-02-28 The Regents Of The University Of California Method for cleaning diffraction gratings
US8012883B2 (en) * 2006-08-29 2011-09-06 Rohm And Haas Electronic Materials Llc Stripping method
TWI402335B (zh) * 2006-09-08 2013-07-21 Kao Corp 研磨液組合物
JP4642001B2 (ja) * 2006-10-24 2011-03-02 関東化学株式会社 フォトレジスト残渣及びポリマー残渣除去液組成物
US20080119056A1 (en) * 2006-11-16 2008-05-22 International Business Machines Corporation Method for improved copper layer etching of wafers with c4 connection structures
KR20100014699A (ko) * 2007-04-18 2010-02-10 에바라 유지라이토 가부시키가이샤 에칭액 및 이를 이용한 플라스틱 표면의 금속화 방법
US20100136794A1 (en) * 2007-05-14 2010-06-03 Basf Se Method for removing etching residues from semiconductor components
US8460478B2 (en) * 2007-05-29 2013-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. Wet processing apparatuses
JP4849420B2 (ja) * 2007-06-20 2012-01-11 奥野製薬工業株式会社 エッチング液の電解処理方法
KR100894985B1 (ko) * 2007-06-29 2009-04-24 삼성전자주식회사 금속 연마용 슬러리 조성물, 이를 이용한 금속 대상체의연마 방법 및 금속 배선의 형성 방법
WO2009026324A2 (en) 2007-08-20 2009-02-26 Advanced Technology Materials, Inc. Composition and method for removing ion-implanted photoresist

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200061913A (ko) * 2018-11-26 2020-06-03 연세대학교 산학협력단 포토레지스트 제거용 조성물 및 그를 이용한 포토레지스트의 제거방법

Also Published As

Publication number Publication date
EP2288965A2 (en) 2011-03-02
TWI494710B (zh) 2015-08-01
TW201001099A (en) 2010-01-01
WO2009135102A2 (en) 2009-11-05
US20090281016A1 (en) 2009-11-12
WO2009135102A3 (en) 2010-02-18
EP2288965A4 (en) 2011-08-10
US8026200B2 (en) 2011-09-27
JP2011520142A (ja) 2011-07-14

Similar Documents

Publication Publication Date Title
KR20100133507A (ko) 고밀도 주입된 레지스트의 제거를 위한 저 ph 혼합물
TWI592468B (zh) 選擇性移除灰化旋塗玻璃之方法
KR20100056537A (ko) 이온-주입된 포토레지스트를 제거하기 위한 조성물 및 방법
TWI638033B (zh) 選擇性蝕刻氮化鈦之組成物及方法
JP6339555B2 (ja) 高いwn/w選択率を有するストリッピング組成物
JP6329909B2 (ja) 窒化チタンを選択的にエッチングするための組成物および方法
TWI651396B (zh) 選擇性蝕刻氮化鈦之組成物及方法
TWI502065B (zh) 抑制氮化鈦腐蝕之組成物及方法
TW202014512A (zh) 用於TiN硬遮罩的移除及蝕刻殘留物的清潔的組合物
JP2008537343A (ja) マイクロエレクトロニクスデバイスからイオン注入フォトレジスト層をクリーニングするための配合物
KR20100014916A (ko) TiSiN의 선택적 제거를 위한 조성물 및 공정
TWI434149B (zh) 洗淨用組成物、半導體元件之製法
JP6776125B2 (ja) イオン注入レジストの除去のための非酸化性の強酸の使用
KR20150016574A (ko) 티타늄 나이트라이드를 포함한 표면에서 포토레지스트를 제거하는 조성물 및 방법
JP6917961B2 (ja) 半導体デバイスの製造中に窒化チタンに対して窒化タンタルを選択的に除去するためのエッチング液
JP5622752B2 (ja) 半導体デバイスウェハーからイオン注入フォトレジストを洗浄するためのストリッピング組成物

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
N231 Notification of change of applicant
E902 Notification of reason for refusal
E601 Decision to refuse application