JP6329909B2 - 窒化チタンを選択的にエッチングするための組成物および方法 - Google Patents

窒化チタンを選択的にエッチングするための組成物および方法 Download PDF

Info

Publication number
JP6329909B2
JP6329909B2 JP2014550456A JP2014550456A JP6329909B2 JP 6329909 B2 JP6329909 B2 JP 6329909B2 JP 2014550456 A JP2014550456 A JP 2014550456A JP 2014550456 A JP2014550456 A JP 2014550456A JP 6329909 B2 JP6329909 B2 JP 6329909B2
Authority
JP
Japan
Prior art keywords
composition
acid
titanium nitride
ammonium
pentanone
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2014550456A
Other languages
English (en)
Other versions
JP2015506583A (ja
Inventor
バーンズ,ジェフリー,エー.
クーパー,エマニュエル,アイ.
チェン,リ−ミン
リッピー,スティーブン
ラジャラム,レカー
トゥ,シェン−ハン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Entegris Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Entegris Inc filed Critical Entegris Inc
Publication of JP2015506583A publication Critical patent/JP2015506583A/ja
Application granted granted Critical
Publication of JP6329909B2 publication Critical patent/JP6329909B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/10Etching, surface-brightening or pickling compositions containing an inorganic acid containing a boron compound
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/06Etching, surface-brightening or pickling compositions containing an inorganic acid with organic material
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/02Local etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/30Acidic compositions for etching other metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/32Alkaline compositions
    • C23F1/40Alkaline compositions for etching other metallic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • C11D2111/22
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Description

分野
本発明は、金属導体および絶縁体材料(すなわち、低誘電率誘電体)の存在下で、窒化チタンおよび/またはフォトレジストエッチング残渣を選択的にエッチングするための組成物および方法、特に、銅、タングステンおよび低誘電率誘電体材料の曝露された層もしくは下の層のものよりも高いエッチング速度および選択性で、窒化チタンおよび/またはフォトレジストエッチング残渣を効果的かつ効率的にエッチングするための組成物および方法に関する。
関連技術の説明
フォトレジストマスクは、半導体または誘電体などの材料をパターン化するために、半導体産業で一般に使用される。1つの応用において、フォトレジストマスクは、マイクロエレクトロニクスデバイスのバックエンド金属化において相互接続を形成するためのデュアルダマシンプロセスに使用される。デュアルダマシンプロセスには、銅の層などの金属導体層上に配置される低誘電率誘電層上にフォトレジストマスクを形成する工程が関与する。次いで、低誘電率誘電層は、フォトレジストマスクによってエッチングされて、金属導体層を曝露させるビアおよび/またはトレンチを形成する。一般にデュアルダマシン構造として知られているビアおよびトレンチは、通常、2つのリソグラフィー工程を使用して画定される。次いで、フォトレジストマスクは低誘電率誘電層から除去され、その後、伝導性の材料はビアおよび/またはトレンチに堆積して、相互接続を形成する。
マイクロエレクトロニクスデバイスのサイズが低下し、ビアおよびトレンチの限界寸法を達成することがより困難となる。したがって、ビアおよびトレンチのより良好なプロファイル制御を提供するために、金属ハードマスクが使用される。金属ハードマスクは、チタンまたは窒化チタン製であることが可能であり、そして、デュアルダマシン構造のビアおよび/またはトレンチを形成した後、湿式エッチングプロセスによって除去される。下の金属導体層および低誘電率誘電体材料に影響を及ぼすことなく、湿式エッチングプロセスが金属ハードマスクおよび/またはフォトレジストエッチング残渣を効果的に除去する除去化学を使用することが必要である。言い換えると、除去化学は、金属導体層および低誘電率誘電層に高度に選択的であることが必要とされる。
したがって、本発明の対象は、ハードマスクのエッチング速度を悪化させずに、存在する金属導体層および低誘電率誘電層と比較して、ハードマスク材料の選択的除去のための改善された組成物を提供することである。
発明の概要
本発明は、存在する金属導体層および低誘電率誘電層と比較して、ハードマスク層および/またはフォトレジストエッチング残渣を選択的にエッチングするための組成物および方法に関する。特に、本発明は、銅、タングステンおよび低誘電率誘電層と比較して、窒化チタンおよび/またはフォトレジストエッチング残渣を選択的にエッチングするための組成物および方法に関する。
一態様において、窒化チタンおよび/またはフォトレジストエッチング残渣材料をその上に有するマイクロエレクトロニクスデバイスの表面から窒化チタンおよび/またはフォトレジストエッチング残渣材料を選択的に除去するための組成物であって、少なくとも1種の酸化剤、少なくとも1種のエッチング液および少なくとも1種の溶媒を含み、過酸化水素を実質的に含まない組成物が記載される。
もう1つの態様において、窒化チタンおよび/またはフォトレジストエッチング残渣材料をその上に有するマイクロエレクトロニクスデバイスの表面から窒化チタンおよび/またはフォトレジストエッチング残渣材料を選択的に除去するための組成物であって、少なくとも1種の酸化剤、少なくとも1種のエッチング液、少なくとも1種の金属腐食抑制剤および少なくとも1種の溶媒を含む組成物が記載される。
なおもう1つの態様において、窒化チタン材料をその上に有するマイクロエレクトロニクスデバイスの表面から窒化チタン材料をエッチングする方法であって、表面を、少なくとも1種の酸化剤、少なくとも1種のエッチング液および少なくとも1種の溶媒を含む組成物と接触させる工程を含み、組成物が過酸化水素を実質的に含まず、組成物が、金属および絶縁材料と比較して、表面から窒化チタン材料を選択的に除去する方法が記載される。
さらにもう1つの態様において、窒化チタン材料をその上に有するマイクロエレクトロニクスデバイスの表面から窒化チタン材料をエッチングする方法であって、表面を、少なくとも1種の酸化剤、少なくとも1種のエッチング液、少なくとも1種の金属腐食抑制剤および少なくとも1種の溶媒を含む組成物と接触させる工程を含み、組成物が、金属および絶縁材料と比較して、表面から窒化チタン材料を選択的に除去する方法が記載される。
本発明の他の態様、特徴および実施形態は、以下の開示および添付の請求の範囲からより完全に明白となるであろう。
詳細説明およびそれらの好ましい実施形態
一般に、本発明は、存在する金属導体層および低誘電率誘電層と比較して、ハードマスク層および/またはフォトレジストエッチング残渣を選択的にエッチングするための組成物および方法に関する。特に、本発明は、銅、タングステンおよび低誘電率誘電層と比較して、窒化チタンおよび/またはフォトレジストエッチング残渣を選択的にエッチングするための組成物および方法に関する。マイクロエレクトロニクスデバイス上で存在し得る他の材料は、前記組成物によって実質的に除去されてはならないか、または侵食されてはならない。
参照の容易さのために、「マイクロエレクトロニクスデバイス」は、マイクロエレクトロニクス、集積回路、エネルギー回収またはコンピュータチップ用途での使用のために製造される、半導体基板、フラットパネルディスプレー、相変化メモリデバイス、ソーラーパネル、ならびにソーラーセルデバイス、フォトボルタイクスおよび微小電気機械システム(MEMS)を含む他の製品に相当する。「マイクロエレクトロニクスデバイス」、「マイクロエレクトロニクス基板」および「マイクロエレクトロニクスデバイス構造」という用語は、いずれかの様式で限定されるように意味されず、最終的にマイクロエレクトロニクスデバイスまたはマイクロエレクトロニクスアセンブリになるいずれの基板または構造も含むことは理解されるべきである。マイクロエレクトロニクスデバイスは、パターン化可能、ブランケット化可能であり、制御および/または試験デバイスであることができる。
「ハードマスクキャッピング層」は、本明細書で使用される場合、プラズマエッチング工程の間、それを保護するために誘電体材料上に堆積される材料に相当する。ハードマスクキャッピング層は、従来から、窒化ケイ素、酸化窒化ケイ素、窒化チタン、酸化窒化チタン、チタンおよび他の同様の化合物である。
本明細書に使用される場合、「窒化チタン」および「TiN」は、純粋な窒化チタン、ならびに異なる化学量論および酸素含有量を含む不純な窒化チタン(TiO)に相当する。
本明細書に使用される場合、「約」は、明示された値の±5%に相当するように意図される。
本明細書に定義されるように、「低誘電率誘電体材料」は、層状マイクロエレクトロニクスデバイスで誘電体材料として使用され、約3.5未満の比誘電率を有する、いずれかの材料に相当する。好ましくは、低誘電率誘電体材料には、低極性材料、例えば、ケイ素含有有機ポリマー、ケイ素含有ハイブリッド有機/無機材料、有機ケイ酸塩ガラス(OSG)、TEOS、フッ素化ケイ酸塩ガラス(FSG)、二酸化ケイ素、および炭素ドープ酸化物(CDO)ガラスが含まれる。低誘電率誘電体材料が様々な密度および様々な多孔性を有してもよいことは認識される。
本明細書に定義されるように、「金属導体層」は、銅、タングステン、コバルト、モリブデン、アルミニウム、ルテニウム、上記を含む合金およびそれらの組み合わせを含む。
本明細書に定義されるように、「アミン」種は、少なくとも1種の第1級、第2級および第3級アミンを含むが、ただし、(i)カルボン酸基およびアミン基を両方含む種、(ii)アミン基を含む界面活性剤、ならびに(iii)アミン基が置換基である(例えば、アリールまたは複素環式部分に結合する)種は、本定義による「アミン」とは考えられない。アミンの式はNRによって表すことができ、式中、R、RおよびRは、同一であるか、または互いに異なることが可能であり、かつ水素、直鎖もしくは分枝鎖C〜Cアルキル(例えば、メチル、エチル、プロピル、ブチル、ペンチル、ヘキシル)、C〜C10アリール(例えば、ベンジル)、直鎖もしくは分枝鎖C〜Cアルカノール(例えば、メタノール、エタノール、プロパノール、ブタノール、ペンタノール、ヘキサノール)、ならびにそれらの組み合わせからなる群から選択されるが、ただし、R、RおよびRが全て水素である場合は除く。
本明細書に定義されるように、「フォトレジストエッチング残渣」は、フォトレジスト材料を含むいずれの残渣、または当業者によって容易に理解されるエッチングもしくは灰化工程後のフォトレジストの副産物である材料に相当する。
「実質的に含まない」とは、本明細書中、2重量%未満、好ましくは1重量%未満、より好ましくは0.5重量%未満、さらに好ましくは0.1重量%、最も好ましくは0重量%未満として定義される。
本明細書に使用される場合、「フルオリド」種は、イオンフルオリド(F)または共有結合フッ素を含む種に相当する。フルオリド種は、フルオリド種として含まれ得るか、またはその場で生じ得ることは認識される。
本明細書に使用される場合、「クロリド」種は、イオンクロリド(Cl)を含む種に相当するが、クロリドアニオンを含む界面活性剤は、本定義による「クロリド」とは考えられない。
本明細書に定義されるように、強塩基は、11より高い少なくとも1つのpKaを有するいずれかの塩基であり、弱塩基は、11未満の少なくとも1つのpKaを有するいずれかの塩基である。
本発明の組成物は広範囲の種々の特定の調合物に具体化されてもよく、以下により完全に記載される。
組成物の特定の成分が、ゼロの下限を含む重量%範囲に関して検討される全てのそのような組成物において、そのような成分は、組成物の様々な特定の実施形態に存在していても、存在しなくてもよいこと、および、そのような成分が存在する場合、それらは、そのような成分が使用される組成物の全重量に基づき、0.001重量%程度の低濃度で存在してもよいことが理解されるであろう。
本発明の実施形態は、ハードマスクおよび/またはフォトレジストエッチング残渣を除去するための化学を含む。一実施形態において、除去組成物は、誘電層上の金属ハードマスクおよび/またはフォトレジストエッチング残渣を除去する湿式エッチング溶液であり、かつ誘電層の下の金属導体層および誘電層自体に高度に選択的である。より特定の実施形態において、除去組成物は、窒化チタン層および/またはフォトレジストエッチング残渣を除去する湿式エッチング溶液であり、銅、タングステンおよび低誘電率誘電体材料の少なくとも1種に高度に選択的である。
したがって、一態様において、窒化チタンおよび/またはフォトレジストエッチング残渣材料をその上に有するマイクロエレクトロニクスデバイスの表面から窒化チタンおよび/またはフォトレジストエッチング残渣材料を選択的に除去するための組成物であって、少なくとも1種の酸化剤および少なくとも1種のエッチング液を含み、過酸化水素を実質的に含まない組成物が記載される。一実施形態において、窒化チタンおよび/またはフォトレジストエッチング残渣材料をその上に有するマイクロエレクトロニクスデバイスの表面から窒化チタンおよび/またはフォトレジストエッチング残渣材料を除去するための組成物は、少なくとも1種の酸化剤、少なくとも1種のエッチング液および少なくとも1種の溶媒を含むか、それらからなるか、またはそれらから本質的になり、組成物は過酸化水素を実質的に含まない。もう1つの実施形態において、窒化チタンおよび/またはフォトレジストエッチング残渣材料をその上に有するマイクロエレクトロニクスデバイスの表面から窒化チタンおよび/またはフォトレジストエッチング残渣材料を除去するための組成物は、少なくとも1種の酸化剤、少なくとも1種のエッチング液、少なくとも1種の腐食抑制剤および少なくとも1種の溶媒を含むか、それらからなるか、またはそれらから本質的になり、組成物は過酸化水素を実質的に含まない。なおもう1つの実施形態において、窒化チタンおよび/またはフォトレジストエッチング残渣材料をその上に有するマイクロエレクトロニクスデバイスの表面から窒化チタンおよび/またはフォトレジストエッチング残渣材料を除去するための組成物は、少なくとも1種の酸化剤、少なくとも1種のエッチング液、少なくとも1種の腐食抑制剤、少なくとも1種の界面活性剤および少なくとも1種の溶媒を含むか、それらからなるか、またはそれらから本質的になり、組成物は過酸化水素を実質的に含まない。さらにもう1つの実施形態において、窒化チタンおよび/またはフォトレジストエッチング残渣材料をその上に有するマイクロエレクトロニクスデバイスの表面から窒化チタンおよび/またはフォトレジストエッチング残渣材料を除去するための組成物は、少なくとも1種の酸化剤、少なくとも1種のエッチング液、少なくとも1種の腐食抑制剤、少なくとも1種の不動態化剤および少なくとも1種の溶媒を含むか、それらからなるか、またはそれらから本質的になり、組成物は過酸化水素を実質的に含まない。さらなる実施形態において、窒化チタンおよび/またはフォトレジストエッチング残渣材料をその上に有するマイクロエレクトロニクスデバイスの表面から窒化チタンおよび/またはフォトレジストエッチング残渣材料を除去するための組成物は、少なくとも1種の酸化剤、少なくとも1種のエッチング液、少なくとも1種の腐食抑制剤、少なくとも1種の溶媒および少なくとも1種のイオン捕捉剤を含むか、それらからなるか、またはそれらから本質的になり、組成物は過酸化水素を実質的に含まない。第1の態様の各実施形態において、少なくとも1種のケイ素含有化合物を添加することができる。好ましくは、これらの組成物は、組成物の全重量に基づき、少なくとも95重量%の水、より好ましくは少なくとも97重量%の水、そして最も好ましくは少なくとも98重量%の水を含む。有利には、これらの組成物は50:1より高いTiN対タングステン選択性および1Å分−1未満のタングステン除去速度を有し、さらに好ましくは、60:1より高いTiN対タングステン選択性および0.5Å分−1未満のタングステン除去速度を有する。これらの組成物は、本明細書に定義されるようなアミン、研磨剤材料、クロリド供給源、ハロゲン化金属およびそれらの組み合わせを実質的に含まない。これらの組成物は、0〜4、好ましくは1〜3の範囲のpH値を有する。
第2の態様において、窒化チタンおよび/またはフォトレジストエッチング残渣材料をその上に有するマイクロエレクトロニクスデバイスの表面から窒化チタンおよび/またはフォトレジストエッチング残渣材料を選択的に除去するための組成物であって、少なくとも1種の酸化剤および少なくとも1種のエッチング液を含む組成物が記載される。一実施形態において、窒化チタンおよび/またはフォトレジストエッチング残渣材料をその上に有するマイクロエレクトロニクスデバイスの表面から窒化チタンおよび/またはフォトレジストエッチング残渣材料を除去するための組成物は、少なくとも1種の酸化剤、少なくとも1種のエッチング液、少なくとも1種の金属腐食抑制剤および少なくとも1種の溶媒を含むか、それらからなるか、またはそれらから本質的になる。もう1つの実施形態において、窒化チタンおよび/またはフォトレジストエッチング残渣材料をその上に有するマイクロエレクトロニクスデバイスの表面から窒化チタンおよび/またはフォトレジストエッチング残渣材料を除去するための組成物は、少なくとも1種の酸化剤、少なくとも1種のエッチング液、少なくとも1種のカルボン酸塩、少なくとも1種の金属腐食抑制剤および少なくとも1種の溶媒を含むか、それらからなるか、またはそれらから本質的になる。なおもう1つの実施形態において、窒化チタンおよび/またはフォトレジストエッチング残渣材料をその上に有するマイクロエレクトロニクスデバイスの表面から窒化チタンおよび/またはフォトレジストエッチング残渣材料を除去するための組成物は、少なくとも1種の酸化剤、少なくとも1種のエッチング液、少なくとも1種のカルボン酸塩、少なくとも1種の金属腐食抑制剤、少なくとも1種の酸化剤安定剤および少なくとも1種の溶媒を含むか、それらからなるか、またはそれらから本質的になる。さらにもう1つの実施形態において、窒化チタンおよび/またはフォトレジストエッチング残渣材料をその上に有するマイクロエレクトロニクスデバイスの表面から窒化チタンおよび/またはフォトレジストエッチング残渣材料を除去するための組成物は、少なくとも1種の酸化剤、少なくとも1種のエッチング液、少なくとも1種のカルボン酸塩、少なくとも1種の金属腐食抑制剤、少なくとも1種の酸化剤安定剤、少なくとも1種の界面活性剤および少なくとも1種の溶媒を含むか、それらからなるか、またはそれらから本質的になる。もう1つの実施形態において、窒化チタンおよび/またはフォトレジストエッチング残渣材料をその上に有するマイクロエレクトロニクスデバイスの表面から窒化チタンおよび/またはフォトレジストエッチング残渣材料を除去するための組成物は、少なくとも1種の酸化剤、少なくとも1種のエッチング液、少なくとも1種の金属腐食抑制剤、少なくとも1種の酸化剤安定剤、少なくとも1種の界面活性剤および少なくとも1種の溶媒を含むか、それらからなるか、またはそれらから本質的になる。なおもう1つの実施形態において、窒化チタンおよび/またはフォトレジストエッチング残渣材料をその上に有するマイクロエレクトロニクスデバイスの表面から窒化チタンおよび/またはフォトレジストエッチング残渣材料を除去するための組成物は、少なくとも1種の酸化剤、少なくとも1種のエッチング液、少なくとも1種の金属腐食抑制剤、少なくとも1種の酸化剤安定剤および少なくとも1種の溶媒を含むか、それらからなるか、またはそれらから本質的になる。第2の態様の各実施形態において、少なくとも1種のケイ素含有化合物を添加することができる。これらの組成物は、ケイ酸塩、研磨剤材料、クロリド供給源、ハロゲン化金属およびそれらの組み合わせを実質的に含まない。これらの組成物は、約5〜約10、好ましくは約6〜約9の範囲のpH値を有する。
エッチング液は、窒化チタンのエッチング速度を増加させるために添加される。考えられるエッチング液には、限定されないが、HF、アンモニウムフルオリド、テトラフルオロホウ酸、ヘキサフルオロケイ酸、B−FまたはSi−F結合を含有する他の化合物、テトラブチルアンモニウムテトラフルオロボレート(TBA−BF)、テトラアルキルアンモニウムフルオリド(NRF)、強塩基、例えば、テトラアルキルアンモニウムヒドロキシド(NROH)(式中、R、R、R、Rは同一であっても、または互いに異なってもよく、かつ水素、直鎖もしくは分枝鎖C〜Cアルキル基(例えば、メチル、エチル、プロピル、ブチル、ペンチル、ヘキシル)、C〜Cアルコキシ基(例えば、ヒドロキシエチル、ヒドロキシプロピル)置換または未置換アリール(例えば、ベンジル)からなる群から選択される)、弱塩基およびそれらの組み合わせが含まれる。好ましくは、フルオリド供給源は、テトラフルオロホウ酸、ヘキサフルオロケイ酸、HZrF、HTiF、HPF、アンモニウムフルオリド、テトラメチルアンモニウムフルオリド、テトラメチルアンモニウムヒドロキシド、アンモニウムヘキサフルオロシリケート、アンモニウムヘキサフルオロチタネート、またはアンモニウムフルオリドとテトラメチルアンモニウムフルオリドとの組み合わせを含む。あるいは、またはフルオリド供給源に加えて、エッチング液は、強塩基、例えば、テトラメチルアンモニウムヒドロキシド(TMAH)、テトラエチルアンモニウムヒドロキシド(TEAH)、テトラプロピルアンモニウムヒドロキシド(TPAH)、テトラブチルアンモニウムヒドロキシド(TBAH)、ベンジルトリメチルアンモニウムヒドロキシド(BTMAH)、水酸化カリウム、水酸化アンモニウム、ベンジルトリエチルアンモニウムヒドロキシド(BTEAH)、テトラブチルホスホニウムヒドロキシド(TBPH)、(2−ヒドロキシエチル)トリメチルアンモニウムヒドロキシド、(2−ヒドロキシエチル)トリエチルアンモニウムヒドロキシド、(2−ヒドロキシエチル)トリプロピルアンモニウムヒドロキシド、(1−ヒドロキシプロピル)トリメチルアンモニウムヒドロキシド、エチルトリメチルアンモニウムヒドロキシド、ジエチルジメチルアンモニウムヒドロキシド(DEDMAH)、1,1,3,3−テトラメチルグアニジン(TMG)、炭酸グアニジン、アルギニンおよびそれらの組み合わせを含むことができる。考えられる弱塩基には、限定されないが、水酸化アンモニウム、モノエタノールアミン(MEA)、ジエタノールアミン(DEA)、トリエタノールアミン(TEA)、エチレンジアミン、システインおよびそれらの組み合わせが含まれる。最も好ましくは、エッチング液はヘキサフルオロケイ酸を含む。
酸化剤は、TiN中のTi を酸化させるために含まれる。本明細書で考えられる酸化剤には、限定されないが、過酸化水素(H)、FeCl、FeF、Fe(NO、Sr(NO、CoF、MnF、オキソン(2KHSO・KHSO・KSO)、過ヨウ素酸、ヨウ素酸、酸化バナジウム(V)、酸化バナジウム(IV、V)、バナジウム酸アンモニウム、アンモニウム多原子塩(例えば、アンモニウムペルオキソモノスルフェート、亜塩素酸アンモニウム(NHClO)、塩素酸アンモニウム(NHClO)、ヨウ素酸アンモニウム(NHIO)、硝酸アンモニウム(NHNO)、過ホウ酸アンモニウム(NHBO)、過塩素酸アンモニウム(NHClO)、過ヨウ素酸アンモニウム(NHIO)、過硫酸アンモニウム((NH)、次亜塩素酸アンモニウム(NHClO)、タングステン酸アンモニウム((NH10(W))、ナトリウム多原子塩(例えば、過硫酸ナトリウム(Na)、次亜塩素酸ナトリウム(NaClO)、過ホウ酸ナトリウム)、カリウム多原子塩(例えば、ヨウ素酸カリウム(KIO)、過マンガン酸カリウム(KMnO)、過硫酸カリウム、硝酸(HNO)、過硫酸カリウム(K)、次亜塩素酸カリウム(KClO)、テトラメチルアンモニウム多原子塩(例えば、亜塩素酸テトラメチルアンモニウム((N(CH)ClO)、塩素酸テトラメチルアンモニウム((N(CH)ClO)、ヨウ素酸テトラメチルアンモニウム((N(CH)IO)、過ホウ酸テトラメチルアンモニウム((N(CH)BO)、過塩素酸テトラメチルアンモニウム((N(CH)ClO)、過ヨウ素酸テトラメチルアンモニウム((N(CH)IO)、過硫酸テトラメチルアンモニウム((N(CH)S))、テトラブチルアンモニウム多原子塩(例えば、テトラブチルアンモニウムペルオキソモノスルフェート)、ペルオキソモノ硫酸、硝酸鉄(Fe(NO)、過酸化尿素水素((CO(NH)H)、過酢酸(CH(CO)OOH)、1,4−ベンゾキノン、トルキノン、ジメチル−1,4−ベンゾキノン、クロラニル、アロキサン、N−メチルモルホリンN−オキシド、トリメチルアミンN−オキシドおよびそれらの組み合わせが含まれる。酸化剤が塩である場合、水和することも、または無水であることも可能である。酸化剤は、デバイスウエハへの組成物の導入の前に、製造業者で組成物に導入されてもよく、あるいはデバイスウエハで、すなわち、その場で導入されてもよい。好ましくは、第2の態様の組成物のための酸化剤は過酸化水素を含む。好ましくは、第1の態様の組成物のための酸化剤は、酸化バナジウム、ヨウ素酸アンモニウム、過ヨウ素酸アンモニウム、ヨウ素酸または過ヨウ素酸を含む。
酸化剤がヨウ素酸塩または過ヨウ素酸塩を含む場合、好ましくは除去組成物にヨウ素捕捉剤が添加される。理論によって拘束されることを望まないが、ヨウ素酸塩または過ヨウ素酸塩が低減され、ヨウ素が蓄積し、それによって銅のエッチング速度が増加すると考えられる。ヨウ素捕捉剤には、限定されないが、ケトン、より好ましくは、カルボニルに対してアルファ水素を有するケトン、例えば、4−メチル−2−ペンタノン、2,4−ジメチル−3−ペンタノン、シクロヘキサノン、5−メチル−3−ヘプタノン、3−ペンタノン、5−ヒドロキシ−2−ペンタノン、2,5−ヘキサンジオン、4−ヒドロキシ−4−メチル−2−ペンタノン、アセトン、ブタノン、2−メチル−2−ブタノン、3,3−ジメチル−2−ブタノン、4−ヒドロキシ−2−ブタノン、シクロペンタノン、2−ペンタノン、3−ペンタノン、1−フェニルエタノン、アセトフェノン、ベンゾフェノン、2−ヘキサノン、3−ヘキサノン、2−ヘプタノン、3−ヘプタノン、4−ヘプタノン、2,6−ジメチル−4−ヘプタノン、2−オクタノン、3−オクタノン、4−オクタノン、ジシクロヘキシルケトン、2,6−ジメチルシクロヘキサノン、2−アセチルシクロヘキサノン、2,4−ペンタンジオン、メントンおよびそれらの組み合わせが含まれる。好ましくは、ヨウ素捕捉剤は、4−メチル−2−ペンタノン、2,4−ジメチル−3−ペンタノンまたはシクロヘキサノンを含む。
カルボン酸塩は、TiNのエッチング速度を増加させるために添加されて、主に酸化耐性である。理論によって拘束されることを望まないが、カルボン酸塩はその場で反応を受け、非常に強酸化剤であるペルカルボン酸塩を生じると考えられる。好ましくは、カルボン酸塩は、アンモニウムカチオンまたはテトラアルキルアンモニウムカチオン([NR、式中、R、R、RおよびRは同一であっても、または互いに異なってもよく、かつ水素およびC〜Cアルキル基(例えば、メチル、エチル、プロピル、ブチル、ペンチル、ヘキシル)からなる群から選択される)と、酢酸、安息香酸、プロピオン酸、クエン酸、ギ酸、シュウ酸、酒石酸、コハク酸、乳酸、マレイン酸、マロン酸、フマル酸、リンゴ酸、アスコルビン酸、マンデル酸およびフタル酸からなる群から選択されるアニオンとを含む。最も好ましくは、カルボン酸塩は、酢酸アンモニウム、安息香酸アンモニウムまたはそれらの組み合わせを含む。
金属腐食抑制剤は、酸化剤およびカルボン酸塩(存在する場合)の酸化活性を阻害するために添加される。本明細書で考えられる金属腐食抑制剤には、限定されないが、5−アミノ−1,3,4−チアジアゾール−2−チオール(ATDT)、ベンゾトリアゾール(BTA)、1,2,4−トリアゾール(TAZ)、トリルトリアゾール、5−メチル−ベンゾトリアゾール、5−フェニル−ベンゾトリアゾール、5−ニトロ−ベンゾトリアゾール、ベンゾトリアゾールカルボン酸、3−アミノ−5−メルカプト−1,2,4−トリアゾール、1−アミノ−1,2,4−トリアゾール、ヒドロキシベンゾトリアゾール、2−(5−アミノ−ペンチル)−ベンゾトリアゾール、1−アミノ−1,2,3−トリアゾール、1−アミノ−5−メチル−1,2,3−トリアゾール、3−アミノ−1,2,4−トリアゾール、3−メルカプト−1,2,4−トリアゾール、3−イソプロピル−1,2,4−トリアゾール、5−フェニルチオール−ベンゾトリアゾール、ハロ−ベンゾトリアゾール(ハロ=F、Cl、BrまたはI)、ナフトトリアゾール、2−メルカプトベンゾイミダゾール(MBI)、2−メルカプトベンゾチアゾール、4−メチル−2−フェニルイミダゾール、2−メルカプトチアゾリン、5−アミノテトラゾール、ペンチレンテトラゾール、5−フェニル−1H−テトラゾール、5−ベンジル−1H−テトラゾール、Ablumine O(Taiwan Surfactant)、2−ベンジルピリジン、スクシンイミド、2,4−ジアミノ−6−メチル−1,3,5−トリアジン、チアゾール、トリアジン、メチルテトラゾール、1,3−ジメチル−2−イミダゾリジノン、1,5−ペンタメチレンテトラゾール、1−フェニル−5−メルカプトテトラゾール、ジアミノメチルトリアジン、イミダゾリンチオン、4−メチル−4H−1,2,4−トリアゾール−3−チオール、ベンゾチアゾール、イミダゾール、インジアゾール、アデノシン、カルバゾール、サッカリンおよびベンゾインオキシムが含まれる。追加的な腐食抑制剤には、カチオン系四級塩、例えば、ベンザルコニウムクロリド、ベンジルジメチルドデシルアンモニウムクロリド、ミリスチルトリメチルアンモニウムブロミド、ドデシルトリメチルアンモニウムブロミド、ヘキサデシルピリジニウムクロリド、Aliquat 336(Cognis)、ベンジルジメチルフェニルアンモニウムクロリド、Crodaquat TES(Croda Inc.)、Rewoquat CPEM(Witco)、ヘキサデシルトリメチルアンモニウムp−トルエンスルホネート、ヘキサデシルトリメチルアンモニウムヒドロキシド、1−メチル−1’−テトラデシル4,4’−ビピリジウムジクロリド、アルキルトリメチルアンモニウムブロミド、アンプロリウムヒドロクロリド、ベンゼトニウムヒドロキシド、ベンゼトニウムクロリド、ベンジルジメチルヘキサデシルアンモニウムクロリド、ベンジルジメチルテトラデシルアンモニウムクロリド、ベンジルドデシルジメチルアンモニウムブロミド、ベンジルドデシルジメチルアンモニウムクロリド、セチルピリジニウムクロリド、コリンp−トルエンスルホネート塩、ジメチルジオクタデシルアンモニウムブロミド、ドデシルエチルジメチルアンモニウムブロミド、ドデシルトリメチルアンモニウムクロリド、エチルヘキサデシルジメチルアンモニウムブロミド、ジラール試薬、ヘキサデシル(2−ヒドロキシエチル)ジメチルアンモニウム二水素ホスフェート、デキサデシルピリジニウムブロミド、ヘキサデシルトリメチルアンモニウムブロミド、ヘキサデシルトリメチルアンモニウムクロリド、メチルベンゼトニウムクロリド、Hyamine(登録商標)1622、Luviquat(商標)、N,N’,N’,−ポリオキシエチレン(10)−N−タロー−1,3−ジアミノプロパン液、オキシフェノニウムブロミド、テトラヘプチルアンモニウムブロミド、テトラキス(デシル)アンモニウムブロミド、トンゾニウムブロミド、トリドデシルアンモニウムクロリド、トリメチルオクタデシルアンモニウムブロミド、1−メチル−3−n−オクチルイミダゾリウムテトラフルオロボレート、1−デシル−3−メチルイミダゾリウムテトラフルオロボレート、1−デシル−3−メチルイミダゾリウムクロリド、トリドデシルメチルアンモニウムブロミド、ジメチルジステアリルアンモニウムクロリドおよびヘキサメソニウムクロリドが含まれる。他の腐食抑制剤には、非イオン性界面活性剤、例えば、PolyFox PF-159(OMNOVA Solutions)、ポリ(エチレングリコール)(「PEG」)、ポリ(プロピレングリコール)(「PPG」)、PEG−PPGコポリマー、例えば、Pluronic F-127(BASF)、アニオン性界面活性剤、例えば、ドデシルベンゼンスルホン酸、ナトリウムドデシルベンゼンスルホネートおよびそれらの組み合わせが含まれる。四級塩は、腐食抑制剤(特に銅およびタングステンに対して)および湿潤剤の両方として機能することが可能である。四級塩は、クロリドまたはブロミドとして最も商業的に入手可能であるが、ハロゲン化アニオンを、硫酸、メタンスルホン酸、硝酸、水酸化物などの非ハロゲン化アニオンとイオン交換することは容易であることは当業者には明らかであろう。そのような変換された四級塩も本明細書に考察される。特に好ましい実施形態において、5−メチル−1H−ベンゾトリアゾールは、銅に対する酸化剤の酸化活性を阻害することが知られている。他の好ましい腐食抑制剤には、カチオン系四級塩、より好ましくはミリスチルトリメチルアンモニウムブロミド、ベンザルコニウムクロリド、ヘキサデシルトリメチルアンモニウムp−トルエンスルホネートおよびヘキサデシルトリメチルアンモニウムヒドロキシド、ならびに5−ベンジル−1H−テトラゾールなどのテトラゾールが含まれる。
第1または第2の態様の組成物は、低誘電率誘電層の化学的攻撃を低下させるため、およびウエハを追加的な酸化から保護するために、少なくとも1種の低誘電率不動態化剤を含むことが可能である。好ましい低誘電率不動態化剤には、限定されないが、ホウ酸、ホウ酸塩、例えば、アンモニウムペンタボレート、ナトリウムテトラボレート、3−ヒドロキシ−2−ナフトエ酸、マロン酸、イミノジ酢酸が含まれる。存在する場合、組成物は、組成物の全重量に基づき、約0.01重量%〜約2重量%の低誘電率不動態化剤を含む。好ましくは、下層の低誘電率材料の全重量に基づき、2重量%未満、より好ましくは1重量%未満、最も好ましくは0.5重量%未満の下層の低誘電率材料が、本明細書に記載の組成物を使用してエッチング/除去される。
第1または第2の態様の組成物は、エッチング液供給源の活性を低下させるために、少なくとも1種のケイ素含有化合物をさらに含むことができる。一実施形態において、少なくとも1種のケイ素含有化合物は、アルコキシシランを含む。考えられるアルコキシシランは、一般式SiR(式中、R、R、RおよびRは同一であっても、または互いに異なってもよく、かつ直鎖C〜Cアルキル基(例えば、メチル、エチル、プロピル、ブチル、ペンチル、ヘキシル)、分枝鎖C〜Cアルキル基、C〜Cアルコキシ基(例えば、メトキシ、エトキシ、プロポキシ、ブトキシ、ペントキシ、ヘキソキシ)、フェニル基およびそれらの組み合わせからなる群から選択される)を有する。アルコキシシランとして特徴づけられるためには、R、R、RまたはRの少なくとも1個がC〜Cアルコキシ基でなければならないことを当業者は認識するべきである。考えられるアルコキシシランには、メチルトリメトキシシラン、ジメチルジメトキシシラン、フェニルトリメトキシシラン、テトラエトキシシラン(TEOS)、N−プロピルトリメトキシシラン、N−プロピルトリエトキシシラン、ヘキシルトリメトキシシラン、ヘキシルトリエトキシシランおよびそれらの組み合わせが含まれる。アルコキシシランの代わりに、またはアルコキシシランに加えて使用可能な他のケイ素含有化合物には、アンモニウムヘキサフルオロシリケート、ケイ酸ナトリウム、テトラメチルアンモニウムシリケート(TMAS)およびそれらの組み合わせが含まれる。好ましくは、ケイ素含有化合物は、TEOS、TMASおよびケイ酸ナトリウムを含む。存在する場合、ケイ素含有化合物の量は、組成物の全重量に基づき、約0.001重量%〜約2重量%の範囲である。
酸化剤安定剤は、特に酸化剤が他の成分と組み合わせられる場合、使用前のいずれかの時点で水性組成物に添加することができる。酸化剤安定剤には、限定されないが、グリシン、セリン、プロリン、ロイシン、アラニン、アスパラギン、アスパラギン酸、グルタミン、バリンおよびリジン、ニトリロトリ酢酸、イミノジ酢酸、エチドロン酸、エチレンジアミンテトラ酢酸(EDTA)、(1,2−シクロヘキシレンジニトリロ)テトラ酢酸(CDTA)、尿酸、テトラグリム、ジエチレントリアミンペンタ酢酸、プロピレンジアミンテトラ酢酸、エチレンジアミンジコハク酸、スルファニルアミドおよびそれらの組み合わせが含まれる。好ましくは、酸化剤安定剤は、CDTA、スルファニルアミドまたはそれらの組み合わせを含む。
確実に湿潤するため、特にpHが低い場合、界面活性剤、好ましくは酸化耐性フッ素化アニオン系界面活性剤を水性組成物に添加することができる。本発明の組成物で考えられるアニオン系界面活性剤には、限定されないが、フルオロ界面活性剤、例えばZONYL(登録商標)URおよびZONYL(登録商標)FS-62(DuPont Canada Inc., Mississauga, Ontario, Canada)、ならびにアンモニウムフルオロアルキルスルホネート、例えば、Novec(商標)4300(3M)が含まれる。使用されるエッチング液がフルオリドを含む場合、界面活性剤およびエッチング液として使用することができる長鎖テトラアルキルアンモニウムフルオリドを使用することが考えられる。
少なくとも1種の溶媒は、水、少なくとも1種の水混和性有機溶媒またはそれらの組み合わせを含むことができ、少なくとも1種の水混和性有機溶媒は、式RC(OH)(式中、R、RおよびRは、互いに独立して、水素、C〜C30アルキル、C〜C30アルケン、シクロアルキル、C〜C30アルコキシおよびそれらの組み合わせからなる群から選択される)の化合物からなる群から選択される。例えば、少なくとも1種の溶媒は、水、メタノール、エタノール、イソプロパノール、ブタノール、ペンタノール、ヘキサノール、2−エチル−1−ヘキサノール、ヘプタノール、オクタノール、エチレングリコール、プロピレングリコール、ブチレングリコール、ブチレンカーボネート、エチレンカーボネート、プロピレンカーボネート、ジプロピレングリコール、ジエチレングリコールモノメチルエーテル、トリエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、トリエチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノブチルエーテル、ジエチレングリコールモノブチルエーテル、トリエチレングリコールモノブチルエーテル、エチレングリコールモノヘキシルエーテル、ジエチレングリコールモノヘキシルエーテル、エチレングリコールフェニルエーテル、プロピレングリコールメチルエーテル、ジプロピレングリコールメチルエーテル(DPGME)、トリプロピレングリコールメチルエーテル(TPGME)、ジプロピレングリコールジメチルエーテル、ジプロピレングリコールエチルエーテル、プロピレングリコールn−プロピルエーテル、ジプロピレングリコールn−プロピルエーテル(DPGPE)、トリプロピレングリコールn−プロピルエーテル、プロピレングリコールn−ブチルエーテル、ジプロピレングリコールn−ブチルエーテル、トリプロピレングリコールn−ブチルエーテル、プロピレングリコールフェニルエーテル、2,3−ジヒドロデカフルオロペンタン、エチルペルフルオロブチルエーテル、メチルペルフルオロブチルエーテル、アルキルカーボネート、アルキレンカーボネート、4−メチル−2−ペンタノールおよびそれらの組み合わせからなる群から選択される少なくとも1種を含むことができる。好ましくは、少なくとも1種の溶媒は、水、最も好ましくは脱イオン水を含む。
もう1つの実施形態において、本発明のいずれの組成物も、水性組成物で懸濁および/または溶解される窒化チタンおよび/またはフォトレジストエッチング材料残渣をさらに含んでもよい。
一実施形態において、第1の態様の組成物は、組成物の全重量に基づき、以下の範囲で存在する、少なくとも1種の酸化剤、少なくとも1種のエッチング液および少なくとも1種の溶媒を含むか、それらからなるか、またはそれらから本質的になり、組成物は過酸化水素を実質的に含まない。
Figure 0006329909
好ましくは、酸化剤は、酸化バナジウム、ヨウ素酸アンモニウム、過ヨウ素酸または1,4−ベンゾキノンを含む。好ましくは、エッチング液は、テトラフルオロホウ酸またはヘキサフルオロケイ酸を含む。酸化剤がヨウ素酸塩または過ヨウ素酸塩化合物を含む場合、第1の態様の除去組成物は、好ましくは、少なくとも1種のヨウ素捕捉剤をさらに含む。
もう1つの実施形態において、第1の態様の組成物は、組成物の全重量に基づき、以下の範囲で存在する、少なくとも1種の酸化剤、少なくとも1種のエッチング液、少なくとも1種の溶媒および少なくとも1種の腐食抑制剤を含むか、それらからなるか、またはそれらから本質的になり、組成物は過酸化水素を実質的に含まない。
Figure 0006329909
好ましくは、酸化剤は、酸化バナジウム、ヨウ素酸アンモニウム、過ヨウ素酸または1,4−ベンゾキノンを含む。好ましくは、エッチング液は、テトラフルオロホウ酸またはヘキサフルオロケイ酸を含む。酸化剤がヨウ素酸塩または過ヨウ素酸塩化合物を含む場合、第1の態様の除去組成物は、好ましくは、少なくとも1種のヨウ素捕捉剤をさらに含む。
もう1つの実施形態において、第1の態様の組成物は、組成物の全重量に基づき、以下の範囲で存在する、少なくとも1種の酸化剤、少なくとも1種のエッチング液、少なくとも1種の溶媒、少なくとも1種の腐食抑制剤および少なくとも1種の界面活性剤を含むか、それらからなるか、またはそれらから本質的になり、組成物は過酸化水素を実質的に含まない。
Figure 0006329909
好ましくは、酸化剤は、酸化バナジウム、ヨウ素酸アンモニウム、過ヨウ素酸または1,4−ベンゾキノンを含む。好ましくは、エッチング液は、テトラフルオロホウ酸またはヘキサフルオロケイ酸を含む。酸化剤がヨウ素酸塩または過ヨウ素酸塩化合物を含む場合、第1の態様の除去組成物は、好ましくは、少なくとも1種のヨウ素捕捉剤をさらに含む。
なおもう1つの実施形態において、第1の態様の組成物は、組成物の全重量に基づき、以下の範囲で存在する、少なくとも1種の酸化剤、少なくとも1種のエッチング液、少なくとも1種の溶媒、少なくとも1種の腐食抑制剤および少なくとも1種の不動態化剤を含むか、それらからなるか、またはそれらから本質的になり、組成物は過酸化水素を実質的に含まない。
Figure 0006329909
好ましくは、酸化剤は、酸化バナジウム、ヨウ素酸アンモニウム、過ヨウ素酸または1,4−ベンゾキノンを含む。好ましくは、エッチング液は、テトラフルオロホウ酸またはヘキサフルオロケイ酸を含む。酸化剤がヨウ素酸塩または過ヨウ素酸塩化合物を含む場合、第1の態様の除去組成物は、好ましくは、少なくとも1種のヨウ素捕捉剤をさらに含む。
もう1つの実施形態において、第1の態様の組成物は、組成物の全重量に基づき、以下の範囲で存在する、少なくとも1種の酸化剤、少なくとも1種のエッチング液、少なくとも1種の溶媒、少なくとも1種の腐食抑制剤、少なくとも1種のケイ素含有化合物および少なくとも1種の不動態化剤を含むか、それらからなるか、またはそれらから本質的になり、組成物は過酸化水素を実質的に含まない。
Figure 0006329909
好ましくは、酸化剤は、酸化バナジウム、ヨウ素酸アンモニウム、過ヨウ素酸または1,4−ベンゾキノンを含む。好ましくは、エッチング液は、テトラフルオロホウ酸またはヘキサフルオロケイ酸を含む。酸化剤がヨウ素酸塩または過ヨウ素酸塩化合物を含む場合、第1の態様の除去組成物は、好ましくは、少なくとも1種のヨウ素捕捉剤をさらに含む。
最も好ましくは、第1の態様の除去組成物は、少なくとも1種のヨウ素酸塩または過ヨウ素酸塩酸化剤、少なくとも1種のエッチング液、少なくとも1種の溶媒、少なくとも1種の腐食抑制剤、少なくとも1種の不動態化剤、少なくとも1種のケイ素含有化合物および少なくとも1種のヨウ素捕捉剤を含むか、それらからなるか、またはそれらから本質的になり、組成物は過酸化水素を実質的に含まない。さらにより好ましくは、第1の態様の除去組成物は、少なくとも1種のヨウ素酸塩または過ヨウ素酸塩酸化剤、ヘキサフルオロケイ酸、水、少なくとも1種の腐食抑制剤、少なくとも1種の不動態化剤、少なくとも1種のケイ素含有化合物および少なくとも1種のヨウ素捕捉剤を含むか、それらからなるか、またはそれらから本質的になり、組成物は過酸化水素を実質的に含まない。最も好ましくは、第1の態様の除去組成物は、ヨウ素酸アンモニウム、ヘキサフルオロケイ酸、水、TEOS、少なくとも1種の腐食抑制剤、少なくとも1種の不動態化剤、ならびに4−メチル−2−ペンタノン、2,4−ジメチル−3−ペンタノン、シクロヘキサノンおよび5−メチル−3−ヘプタノンからなる群から選択される少なくとも1種のヨウ素捕捉剤を含むか、それらからなるか、またはそれらから本質的になり、組成物は過酸化水素を実質的に含まない。もう1つの選択肢において、第1の態様の除去組成物は、少なくとも1種のヨウ素酸塩または過ヨウ素酸塩酸化剤、少なくとも1種のエッチング液、少なくとも1種の溶媒、少なくとも1種の腐食抑制剤および少なくとも1種のケイ素含有化合物を含むか、それらからなるか、またはそれらから本質的になり、組成物は過酸化水素を実質的に含まない。さらに好ましくは、第1の態様の除去組成物は、少なくとも1種のヨウ素酸塩または過ヨウ素酸塩酸化剤、ヘキサフルオロケイ酸、水、少なくとも1種の腐食抑制剤および少なくとも1種のケイ素含有化合物を含むか、それらからなるか、またはそれらから本質的になり、組成物は過酸化水素を実質的に含まない。最も好ましくは、第1の態様の除去組成物は、ヨウ素酸アンモニウム、ヘキサフルオロケイ酸、水、TEOSおよび少なくとも1種の腐食抑制剤を含むか、それらからなるか、またはそれらから本質的になり、組成物は過酸化水素を実質的に含まない。
第2の態様の組成物の一実施形態において、組成物は、組成物の全重量に基づき、以下の範囲で存在する、少なくとも1種の酸化剤、少なくとも1種のエッチング液、少なくとも1種の金属腐食抑制剤、少なくとも1種の酸化剤安定剤および少なくとも1種の溶媒を含むか、それらからなるか、またはそれらから本質的になる。
Figure 0006329909
好ましくは、酸化剤は過酸化水素を含み、かつエッチング液はTMAHを含む。
第2の態様の組成物のなおもう1つの実施形態において、組成物は、組成物の全重量に基づき、以下の範囲で存在する、少なくとも1種の酸化剤、少なくとも1種のエッチング液、少なくとも1種の金属腐食抑制剤、少なくとも1種の酸化剤安定剤、少なくとも1種のカルボン酸塩、少なくとも1種の界面活性剤および少なくとも1種の溶媒を含むか、それらからなるか、またはそれらから本質的になる。
Figure 0006329909
好ましくは、酸化剤は過酸化水素を含み、エッチング液はTMAHを含み、かつカルボン酸塩は酢酸アンモニウムを含む。
組成物の濃縮物を使用前に希釈することは一般的であることは認識されるであろう。例えば、組成物は、より濃縮した形態で製造されてもよく、その後、製造業者で使用前に、および/または製造時の使用の間、少なくとも1種の溶媒で希釈されてもよい。希釈率は、約0.1部の希釈剤:1部の組成物濃縮物〜約100部の希釈剤:1部の組成物濃縮物の範囲にあってもよい。さらに、本明細書に記載される組成物は、時間とともに不安定となる可能性のある酸化剤を含むことも認識されるべきである。したがって、濃縮形態は酸化剤を実質的に含まなくなる可能性があり、そして酸化剤を、製造業者によって使用前に、および/または製造時の使用の間に濃縮物または希釈組成物に導入することができる。
本明細書に記載される組成物は、それぞれの成分の単純な添加および均一な条件への混合によって容易に調製される。さらに組成物は、シングルパッケージ調合物または使用時またはその前に混合されるマルチパート調合物として容易に調製されてもよく、マルチパート調合物が好ましい。マルチパート調合物の個々の部分は、ツールで、または混合領域/区域で、例えば、インライン混合機またはツール上流の貯蔵タンクにおいて混合されてもよい。一緒に混合した時に所望の組成物を形成するマルチパート調合物の様々な部分が成分/構成要素のいずれの組み合わせを含有してもよいと考えられる。それぞれの成分の濃度は、特定の複数の組成物において広範囲に多様であってよく、すなわち、より希釈されていても、より濃縮していてもよく、組成物は、本明細書の開示と一致する成分のいずれかの組み合わせを様々に、代わりとして含むことができるか、それらからなることができるか、またはそれらから本質的になることができることは認識されるであろう。
したがって、第3の態様は、1つまたは複数の容器と、本明細書に記載される組成物を形成するために適応される1種またはそれ以上の成分とを含むキットに関する。キットの容器は、前記除去組成物成分を貯蔵して、出荷するために適切でなければならなず、例えば、NOWPak(登録商標)容器(Advanced Technology Materials, Inc., Danbury, Conn., USA)である。組成物の成分を含有する1つまたは複数の容器は、好ましくは、ブレンドおよび調合のための流体連通した前記1つまたは複数の容器において成分を運搬するための手段を含む。例えば、NOWPak(登録商標)容器に関して、前記1つまたは複数の容器において、ライナーの含有量の少なくとも一部が放出されて、したがって、ブレンドおよび調合のための流体連通が可能となるように、ライナーの外部に気体圧力が適用されてもよい。あるいは、気体圧力は従来の加圧可能な容器のヘッド空間に適用されるか、または流体連通を可能にするためにポンプが使用されてもよい。加えて、このシステムは、好ましくはブレンドされた組成物をプロセスツールに分配するための分配ポートを含む。
高密度ポリエチレンなどの実質的に化学的に不活性であり、不純物がなく、可撓性および弾性ポリマーフィルム材料は、前記1つまたは複数の容器用ライナーを製造するために、好ましくは使用される。望ましいライナー材料は、共有押出形成またはバリア層を必要とすることなく、そしてライナーに配置される成分の純度の必要条件に悪影響を及ぼし得るいずれかの顔料、UV抑制剤または加工剤を含まずに加工される。望ましいライナー材料のリストには、バージン(添加剤を含まない)ポリエチレン、バージンポリテトラフルオロエチレン(PTFE)、ポリプロピレン、ポリウレタン、ポリ塩化ビニリデン、ポリ塩化ビニル、ポリアセタール、ポリスチレン、ポリアクリロニトリル、ポリブチレンなどを含むフィルムが含まれる。そのようなライナー材料の好ましい厚さは、約5ミル(0.005インチ)〜約30ミル(0.030インチ)、例えば20ミル(0.020インチ)の厚さの範囲内である。
キットのための容器に関して、以下の特許および特許出願の開示は、それぞれが全体的に参照によって本明細書に組み込まれる:「APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS」と題された米国特許第7,188,644号;「RETURNABLE AND REUSABLE, BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM」と題された米国特許第6,698,619号;および2008年5月9日出願の「SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION」と題されたPCT/US08/63276号。
第4の態様において、本発明は、本明細書に記載される第1の態様の組成物または第2の態様の組成物を使用して、窒化チタン材料をその上に有するマイクロエレクトロニクスデバイスの表面から窒化チタン材料をエッチングする方法に関する。例えば、窒化チタン材料は、マイクロエレクトロニクスデバイス上に存在する金属導体および絶縁体材料に実質的損害を与えず/除去することなく除去され得る。したがって、好ましい実施形態において、本明細書に記載される第1の態様の組成物または第2の態様の組成物を使用して、金属導体および絶縁体材料と比較して、窒化チタンおよび/またはフォトレジストエッチング残渣材料をその上に有するマイクロエレクトロニクスデバイスの表面から窒化チタンおよび/またはフォトレジストエッチング残渣材料を選択的かつ実質的に除去する方法が記載される。もう1つの好ましい実施形態において、本明細書に記載される第1の態様の組成物を使用して、金属導体(例えば、銅)、タングステンおよび絶縁体材料と比較して、窒化チタンおよび/またはフォトレジストエッチング残渣材料をその上に有するマイクロエレクトロニクスデバイスの表面から窒化チタンおよび/またはフォトレジストエッチング残渣材料を選択的かつ実質的に除去する方法が記載される。
エッチング適用において、組成物は、その上に窒化チタンおよび/またはフォトレジストエッチング残渣材料を有するマイクロエレクトロニクスデバイスの表面に、いずれかの適切な様式で、例えば、デバイスの表面上に組成物を噴霧することによって、窒化チタンおよび/またはフォトレジストエッチング残渣材料を含むデバイスの浸漬(組成物の静的または動的体積で)によって、組成物がその上に吸収されるもう1つの材料、例えば、パッド、または繊維吸着剤アプリケーター要素とデバイスを接触させることによって、窒化チタンおよび/またはフォトレジストエッチング残渣材料を含むデバイスを循環組成物と接触させることによって、または組成物が、窒化チタンおよび/またはフォトレジストエッチング残渣材料との除去接触にもたらされる他のいずれかの適切な手段、方法もしくは技術によって適用される。適用は、動的または静的クリーニングのために、バッチまたはシングルウエハ装置においてであってもよい。有利には、本明細書に記載される組成物は、マイクロエレクトロニクスデバイス構造上に存在し得、そして組成物に曝露される、金属および絶縁材料(すなわち、低誘電率誘電体)などの他の材料と比較して、窒化チタンおよび/またはフォトレジストエッチング残渣材料のためのそれらの選択性によって、高度に効率的かつ高度に選択的な様式で、窒化チタンおよび/またはフォトレジストエッチング残渣材料の少なくとも一部の除去を達成する。
窒化チタンおよび/またはフォトレジストエッチング残渣材料をその上に有するマイクロエレクトロニクスデバイス構造から窒化チタンおよび/またはフォトレジストエッチング残渣材料を除去するための第1または第2の態様の組成物の使用において、組成物は、典型的に、約0.3分〜約30分、好ましくは約0.5分〜約3分の十分な時間で、約20℃〜約100の℃、好ましくは約45℃〜約60℃の範囲の温度で、シングルウエハツールでデバイス構造と接触する。そのような接触時間および温度は実例であり、そして、デバイス構造から窒化チタンおよび/またはフォトレジストエッチング残渣材料少なくとも部分的に除去するために有効であるいずれかの他の適切な時間および温度条件が使用されてもよい。
一実施形態において、組成物はデバイス構造への供給の間にインラインで加熱される。インラインで加熱することによって、浴自体よりも、組成物寿命は増加する。
所望のエッチング作用の達成に続いて、組成物は、本明細書に記載される組成物の所与の最終用途で所望であり、かつ有効であり得る、例えば、すすぎ、洗浄または他の除去工程があらかじめ適用されたマイクロエレクトロニクスデバイスから容易に除去することができる。例えば、デバイスを、脱イオン水を含むリンス溶液ですすぎ、そして/または乾燥(例えば、スピン乾燥、N、水蒸気乾燥)させてもよい。
第1または第2の態様の組成物は、好ましくは、金属導体および絶縁(すなわち低誘電率誘電体)材料と比較して、窒化チタン材料を選択的にエッチングする。一実施形態において、窒化チタンのエッチング速度は高く(少なくとも500Å分−1、好ましくは50℃で少なくとも約350Å分−1、そして60℃で少なくとも約500Å分−1である)、一方、金属のエッチング速度は低い(約0.01〜約10Å分−1、好ましくは約0.1〜約5Å分−1)。
本発明の第5の態様は、本明細書に記載される方法によって製造される改善されたマイクロエレクトロニクスデバイス、およびそのようなマイクロエレクトロニクスのデバイスを含有する製品に関する。
第6の態様は、マイクロエレクトロニクスデバイスを含む物品の製造方法であって、窒化チタンおよび/またはフォトレジストエッチング残渣材料をその上に有するマイクロエレクトロニクスデバイスの表面から窒化チタンおよび/またはフォトレジストエッチング残渣材料をエッチングによって除去するために十分な時間、マイクロエレクトロニクスデバイスを組成物と接触させる工程と、前記マイクロエレクトロニクスデバイスを前記物品に組み込む工程とを含み、組成物が、少なくとも1種の酸化剤、少なくとも1種のエッチング液および少なくとも1種の溶媒を含むか、それらからなるか、またはそれらから本質的になり、組成物は過酸化水素を実質的に含まない方法に関する。あるいは組成物は、少なくとも1種の酸化剤、少なくとも1種のエッチング液、少なくとも1種の金属腐食抑制剤、少なくとも1種の酸化剤安定剤および少なくとも1種の溶媒を含むか、それらからなるか、またはそれらから本質的になる。さらに別の選択肢で、組成物は、少なくとも1種の酸化剤、少なくとも1種のエッチング液、少なくとも1種の金属腐食抑制剤、少なくとも1種の酸化剤安定剤、少なくとも1種のカルボン酸塩、少なくとも1種の界面活性剤および少なくとも1種の溶媒を含むか、それらからなるか、またはそれらから本質的になる。組成物は窒化チタン材料をさらに含んでもよいか、それからなってもよいか、またはそれから本質的になってもよい。
本発明の第7の態様は、マイクロエレクトロニクスデバイス基板、上記基板上の窒化チタン層および本明細書に記載される組成物を含むか、それらからなるか、またはそれらから本質的になる製造物品に関する。
本発明の特徴および効果は、以下に記載する実例となる実施例によって、より完全に示される。
実施例1
第2の態様による3種の組成物を、下記表1の通りに調製した。TiN(タイプ1)、TiN(タイプ2)、銅、酸化窒化ケイ素および超低誘電率誘電体のクーポンを、50℃および時間に対する厚さ損失の勾配に基づき決定されたそれぞれのエッチング速度で、各調合物中に浸漬した。表1から、金属(例えば銅)および誘電体材料と比較してTiNの選択性は、少なくとも約200:1〜約500:1であることがわかった。加えて、カルボン酸塩を含む組成物Cのエッチング速度は、驚くべきことに、酸化剤の濃度が組成物AおよびBの40%未満であるとしても、カルボン酸塩を含まないものより高い。これは、銅などの金属導体への攻撃の最小化のため、有利である。
Figure 0006329909
実施例2
第1の態様による7種の組成物(すなわち、実質的に過酸化水素を含まない)を、下記表2の通りに調製した。TiN(タイプ1)、銅、PETEOS誘電体およびタングステンのクーポンを、50℃または60℃で各調合物中に浸漬し、エッチング速度は所与の時間間隔における損失から推定された。表2から、CuおよびWならびに誘電体材料と比較してTiNの選択性は、多くの場合、>50:1、いくつかの場合、>100:1であることがわかった。調合物Jに注目すると、実際の組成物は、0.1%のHFおよび0.1%のホウ酸を有し、これは数分で、0.11%のHBFおよび0.023%のホウ酸(記載される)に変換されることが予想されるが、存在する種は、最終的には平衡に、主にHBFOH+HBFとなってもよい。
Figure 0006329909
実施例3
3種の組成物を、表3に示すように調製した。
Figure 0006329909
500および750ウエハバスローディングを有する組成物を模倣するK、LおよびMの組成物は、ある種の体積の化学および500または750ウエハへの曝露を仮定して、溶液に溶解されるCu(硫酸銅として)、TiN(スズ粉末として)およびW(タングステン酸アンモニウムとして)の質量を算出することによって調製された。Cu、TiN、WおよびPETEOSのクーポンは、クリーンなK、LおよびM、ならびに500ウエハロードK、LおよびM溶液、ならびに750ウエハロードK、LおよびM溶液に60℃で特定の時間量で浸漬した。例えば、TiNクーポンは30秒間浸漬し、そしてCu、WおよびPETEOSは、5、15および30分間試験した。結果を下記の表4に示す。
Figure 0006329909
試験された3つのヨウ素捕捉剤の全てが、バスローディング寿命を、エッチング速度試験に基づき、約500ウエハまで延長することができたことがわかる。750ウエハバスローディングにおいて、制限因子はTiNエッチング速度であり、試験された最も有効なヨウ素捕捉剤は、4−メチル−2−ペンタノンであった。
実施例4
以下の置換基を有する10種の濃縮調合物を、本明細書に記載される第2の態様に従って調製した。それぞれ、0.01重量%のCDTAおよび1.000重量%の5−メチルBTA、ならびに以下の表5に記載されるエッチング液を含んだ。
Figure 0006329909
濃縮した調合物は、30%の過酸化水素で9:1に希釈された(9部のH対1部の濃縮物)。TiN、Cu、Co、TEOSおよびLTO(低温酸化物)のクーポンを、50℃でそれぞれ希釈された調合物に浸漬し、そしてそのエッチング速度を測定した。大部分のTiN(200Å)は、1分の方法時間の範囲内でクリーニングされた。結果は下記の表6にまとめた。
Figure 0006329909
有利に、様々なエッチング液を含む調合物は、銅、コバルトまたは低誘電率誘電体材料を実質的に除去することなく、TiNを効果的に除去することがわかる。
実施例5
調合物を、下記の表に示すように第1の態様に従って調製し、それによって、量は、調合物の全重量に基づき、重量パーセントで提供される。調合物の残量は、脱イオン水であった。TiN、Cu、WおよびLTO(低温酸化物)のクーポンを、50℃でそれぞれ希釈された調合物に浸漬し、そしてそのエッチング速度を測定した。特に、TiNエッチング速度は、異なるスズバッチ、したがって、異なるフィルム特性のために比較できなかった。結果は下記の表7にまとめた。
Figure 0006329909
これらの実験からの結論は、非ブロミド界面活性剤/腐食抑制剤は、ヨウ素酸アンモニウム系において高度に有効であり、それによって、TiNはCu、WおよびLTO以上に選択的にエッチングされたということである。
実施例6
調合物を、下記の表で示すように第1の態様に従って調製し、それによって、量は、調合物の全重量に基づき、重量パーセントで提供される。調合物の残量は、脱イオン水であった。TiN、Cu、WおよびPETEOSのクーポンを、50℃でそれぞれ希釈された調合物に浸漬し、そしてそのエッチング速度を測定した。結果は下記の表8にまとめた。
Figure 0006329909
これらの実験からの結論は、種々のフルオリド供給源がヨウ素酸アンモニウム系で有効であり、それによって、TiNはCu、WおよびLTO以上に選択的にエッチングされたということである。エッチング速度は、pHに強く影響を受けるようである。
実施例7
調合物を、下記の表で示すように第1の態様に従って調製し、それによって、量は、調合物の全重量に基づき、重量パーセントで提供される。調合物の残量は、脱イオン水であった。TiN、Cu、W、TEOSおよびLTO(低温酸化物)のクーポンを、50℃でそれぞれ調合物に浸漬し、そして表9に示すように、そのエッチング速度を測定した。
Figure 0006329909
種々の酸化剤およびより高いフルオリド濃度によるこれらの実験からの結論は、より高いTiNエッチング速度、したがって、他の金属導体層および誘電体層に対するより高い選択性が達成されるということである。
実施例8
0.01重量%のヨウ素酸アンモニウム、0.8重量%のヘキサフルオロケイ酸、0.2重量%のTEOS、0.04重量%の5−ベンジルテトラゾール、表10に開示される腐食抑制剤および残量の水を含む、第1の態様の調合物を調製した。それぞれのpHは1〜3の範囲にあった。エッチング速度測定は、商業的に入手可能なTiNおよびWクーポンを、それぞれの調合物に50℃で一定時間浸漬し、そしてTiNおよびW損失を分析することによって実行した。この結果も表10に示す。
Figure 0006329909
Figure 0006329909
ある種のカチオン四級塩の含有が、タングステンと比較して、TiNの除去の選択性に対する実質的な影響を有することがわかる。
本発明が、本発明の特定の態様、特徴および実施形態に関して本明細書に記載されるが、本発明の有用性がそのように限定されず、むしろ、本明細書に開示に基づき、本発明の分野の当業者が連想するように、数多くの他の変形、修正および他の実施形態まで延長されて、それらを包含するということは認識される。同様に、以下に主張される本発明は、その精神および範囲内の全てのそのような変形、修正および他の実施形態を含むものとして概括的に解釈されるように意図される。

Claims (16)

  1. 窒化チタンをその上に有するマイクロエレクトロニクスデバイスの表面から窒化チタンを選択的に除去するための組成物であって、
    酸化バナジウム、バナジウム酸アンモニウム、過ヨウ素酸、ヨウ素酸および1,4−ベンゾキノンからなる群から選択される少なくとも1種の酸化剤、
    テトラフルオロホウ酸またはヘキサフルオロケイ酸を含む少なくとも1種のエッチング液、
    少なくとも1種の溶媒および、
    5−メチル−ベンゾトリアゾール、ベンゾトリアゾールカルボン酸、ペンチレンテトラゾール、5−フェニル−1H−テトラゾール、5−ベンジル−1H−テトラゾール、Ablumine 0、2−ベンジルピリジン、スクシンイミド、アデノシン、カルバゾール、サッカリン、ベンゾインオキシム、ポリ(エチレングリコール)、ポリ(プロピレングリコール)、PEG−PPGコポリマー、ミリスチルトリメチルアンモニウムブロミド、ヘキサデシルトリメチルアンモニウムヒドロキシド及びこれらの組合せからなる群から選択される種を含む、少なくとも1種の腐食抑制剤、
    を含み、過酸化水素を実質的に含まない組成物。
  2. 前記エッチング液が、HZrF、HTiF、HPF、HF、アンモニウムフルオリド、テトラフルオロホウ酸、ヘキサフルオロケイ酸、テトラブチルアンモニウムテトラフルオロボレート(TBA−BF)、アンモニウムヘキサフルオロシリケート、アンモニウムヘキサフルオロチタネート、テトラアルキルアンモニウムフルオリド(NRF)、テトラアルキルアンモニウムヒドロキシド(NROH)(式中、R、R、R、Rは同一であっても、または互いに異なってもよく、かつ直鎖もしくは分枝鎖C〜Cアルキル基からなる群から選択される)、弱塩基およびそれらの組み合わせからなる群から選択される種を含む、請求項1に記載の組成物。
  3. 前記酸化剤が過ヨウ素酸を含む、請求項1又は2に記載の組成物。
  4. ケトンを含む、少なくとも1種のヨウ素捕捉剤をさらに含む、請求項1〜のいずれか一項に記載の組成物。
  5. 4−メチル−2−ペンタノン、2,4−ジメチル−3−ペンタノン、シクロヘキサノン、5−メチル−3−ヘプタノン、3−ペンタノン、5−ヒドロキシ−2−ペンタノン、2,5−ヘキサンジオン、4−ヒドロキシ−4−メチル−2−ペンタノン、アセトン、ブタノン、2−メチル−2−ブタノン、3,3−ジメチル−2−ブタノン、4−ヒドロキシ−2−ブタノン、シクロペンタノン、2−ペンタノン、3−ペンタノン、1−フェニルエタノン、アセトフェノン、ベンゾフェノン、2−ヘキサノン、3−ヘキサノン、2−ヘプタノン、3−ヘプタノン、4−ヘプタノン、2,6−ジメチル−4−ヘプタノン、2−オクタノン、3−オクタノン、4−オクタノン、ジシクロヘキシルケトン、2,6−ジメチルシクロヘキサノン、2−アセチルシクロヘキサノン、2,4−ペンタンジオン、メントンおよびそれらの組み合わせからなる群から選択される種を含む少なくとも1種のヨウ素捕捉剤をさらに含む、請求項1〜のいずれか一項に記載の組成物。
  6. 4−メチル−2−ペンタノン、2,4−ジメチル−3−ペンタノンおよびシクロヘキサノンからなる群から選択される、少なくとも1種のヨウ素捕捉剤をさらに含む、請求項に記載の組成物。
  7. 前記少なくとも1種の溶媒が水を含む、請求項1〜のいずれか一項に記載の組成物。
  8. 前記組成物の全重量に基づき、少なくとも約98重量%の水を含む、請求項1〜のいずれか一項に記載の組成物。
  9. 少なくとも1種の界面活性剤、少なくとも1種の低誘電率不動態化剤、少なくとも1種のケイ素含有化合物およびそれらの組み合わせからなる群から選択される少なくとも1種の追加成分をさらに含む、請求項1〜のいずれか一項に記載の組成物。
  10. ホウ酸、アンモニウムペンタボレート、ナトリウムテトラボレート、3−ヒドロキシ−2−ナフトエ酸、マロン酸、イミノジ酢酸およびそれらの組み合わせからなる群から選択される少なくとも1種の不動態化剤を含む、請求項に記載の組成物。
  11. メチルトリメトキシシラン、ジメチルジメトキシシラン、フェニルトリメトキシシラン、テトラエトキシシラン(TEOS)、N−プロピルトリメトキシシラン、N−プロピルトリエトキシシラン、ヘキシルトリメトキシシラン、ヘキシルトリエトキシシラン、アンモニウムヘキサフルオロシリケート、ケイ酸ナトリウム、テトラメチルアンモニウムシリケート(TMAS)およびそれらの組み合わせからなる群から選択される少なくとも1種のケイ素含有化合物を含む、請求項または10に記載の組成物。
  12. アミン、研磨剤材料、クロリド供給源、金属ハロゲン化物およびそれらの組み合わせを実質的に含まない、請求項1〜11のいずれか一項に記載の組成物。
  13. pHが0〜4の範囲である、請求項1〜12のいずれか一項に記載の組成物。
  14. 窒化チタン材料をその上に有するマイクロエレクトロニクスデバイスの表面から窒化チタン材料をエッチングする方法であって、前記表面を、請求項1〜12のいずれか一項に記載の組成物と接触させる工程を含み、前記組成物が、金属および絶縁材料と比較して、前記表面から前記窒化チタン材料を選択的に除去する方法。
  15. 前記接触させる工程が、約20℃〜約100℃の範囲の温度で、約0.3分〜約30分の範囲の時間を含む、請求項14に記載の方法。
  16. 前記表面を前記組成物と接触させた後、前記組成物を前記表面からすすぐ、請求項14又は15に記載の方法。
JP2014550456A 2011-12-28 2012-12-27 窒化チタンを選択的にエッチングするための組成物および方法 Active JP6329909B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201161580942P 2011-12-28 2011-12-28
US61/580,942 2011-12-28
US201261675640P 2012-07-25 2012-07-25
US61/675,640 2012-07-25
US201261726782P 2012-11-15 2012-11-15
US61/726,782 2012-11-15
PCT/US2012/071777 WO2013101907A1 (en) 2011-12-28 2012-12-27 Compositions and methods for selectively etching titanium nitride

Publications (2)

Publication Number Publication Date
JP2015506583A JP2015506583A (ja) 2015-03-02
JP6329909B2 true JP6329909B2 (ja) 2018-05-23

Family

ID=48698606

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014550456A Active JP6329909B2 (ja) 2011-12-28 2012-12-27 窒化チタンを選択的にエッチングするための組成物および方法

Country Status (8)

Country Link
US (2) US9546321B2 (ja)
EP (1) EP2798669B1 (ja)
JP (1) JP6329909B2 (ja)
KR (1) KR102102792B1 (ja)
CN (1) CN104145324B (ja)
SG (2) SG11201403556WA (ja)
TW (1) TWI588239B (ja)
WO (1) WO2013101907A1 (ja)

Families Citing this family (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG11201403556WA (en) 2011-12-28 2014-07-30 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
EP2814895A4 (en) 2012-02-15 2015-10-07 Entegris Inc POST-CMP DISPOSAL USING COMPOSITIONS AND USE PROCESSES
KR20150016574A (ko) 2012-05-18 2015-02-12 인티그리스, 인코포레이티드 티타늄 나이트라이드를 포함한 표면에서 포토레지스트를 제거하는 조성물 및 방법
KR102118964B1 (ko) 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Iii-v 반도체 물질을 세척하기 위한 조성물 및 이를 사용하는 방법
SG11201507014RA (en) * 2013-03-04 2015-10-29 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
EP3004287B1 (en) * 2013-06-06 2021-08-18 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
KR102338526B1 (ko) * 2013-07-31 2021-12-14 엔테그리스, 아이엔씨. Cu/W 호환성을 갖는, 금속 하드 마스크 및 에칭-후 잔여물을 제거하기 위한 수성 제형
EP3039098B1 (en) * 2013-08-30 2020-09-30 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US9291910B2 (en) * 2013-09-27 2016-03-22 Dynaloy, Llc Aqueous solution and process for removing substances from substrates
US20150104952A1 (en) * 2013-10-11 2015-04-16 Ekc Technology, Inc. Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper
WO2015054464A1 (en) * 2013-10-11 2015-04-16 E. I. Du Pont De Nemours And Company Removal composition for selectively removing hard mask and methods thereof
SG11201603122XA (en) 2013-10-21 2016-05-30 Fujifilm Electronic Materials Cleaning formulations for removing residues on surfaces
KR20200034830A (ko) 2013-12-06 2020-03-31 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 표면 잔류물 제거용 세정 제형
WO2015089023A1 (en) * 2013-12-11 2015-06-18 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
WO2015095175A1 (en) 2013-12-16 2015-06-25 Advanced Technology Materials, Inc. Ni:nige:ge selective etch formulations and method of using same
WO2015095726A1 (en) 2013-12-20 2015-06-25 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US9472420B2 (en) * 2013-12-20 2016-10-18 Air Products And Chemicals, Inc. Composition for titanium nitride hard mask and etch residue removal
WO2015103146A1 (en) 2013-12-31 2015-07-09 Advanced Technology Materials, Inc. Formulations to selectively etch silicon and germanium
KR101842033B1 (ko) * 2014-01-06 2018-03-26 한화테크윈 주식회사 그래핀 제조용 조성물 및 이를 이용한 그래핀의 제조 방법
TWI642763B (zh) * 2014-01-27 2018-12-01 三菱瓦斯化學股份有限公司 氮化鈦除去用液體組成物、利用該液體組成物之半導體元件之洗滌方法、及半導體元件之製造方法
US20160340620A1 (en) 2014-01-29 2016-11-24 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
US10490417B2 (en) 2014-03-18 2019-11-26 Fujifilm Electronic Materials U.S.A., Inc. Etching composition
EP3139402B1 (en) * 2014-05-02 2018-08-15 Mitsubishi Gas Chemical Company, Inc. Semiconductor element cleaning liquid and cleaning method
WO2015173730A1 (en) 2014-05-13 2015-11-19 Basf Se Tin pull-back and cleaning composition
CN115368982A (zh) * 2014-06-04 2022-11-22 恩特格里斯公司 具有金属、电介质及氮化物兼容性的抗反射涂层清洗及蚀刻后残留物去除组成物
US9222018B1 (en) * 2014-07-24 2015-12-29 Air Products And Chemicals, Inc. Titanium nitride hard mask and etch residue removal
WO2016040077A1 (en) 2014-09-14 2016-03-17 Entergris, Inc. Cobalt deposition selectivity on copper and dielectrics
WO2016042408A2 (en) * 2014-09-17 2016-03-24 Atmi Taiwan Co., Ltd. Compositions for etching titanium nitride having compatability with silicon germanide and tungsten
US9593297B2 (en) 2014-10-15 2017-03-14 Micron Technology, Inc. Compositions for removing residues and related methods
JP6501492B2 (ja) * 2014-10-31 2019-04-17 関東化學株式会社 フォトレジスト残渣および/またはポリマー残渣を除去するための組成物
TWI546371B (zh) * 2014-11-10 2016-08-21 盟智科技股份有限公司 研磨組成物
WO2016076033A1 (ja) * 2014-11-13 2016-05-19 三菱瓦斯化学株式会社 コバルトのダメージを抑制した半導体素子の洗浄液、およびこれを用いた半導体素子の洗浄方法
JP6425342B2 (ja) * 2014-12-26 2018-11-21 富士フイルム株式会社 エッチング液、これを用いたエッチング方法および半導体基板製品の製造方法
US10301580B2 (en) 2014-12-30 2019-05-28 Versum Materials Us, Llc Stripping compositions having high WN/W etching selectivity
CN105755472B (zh) * 2015-01-05 2019-12-17 东友精细化工有限公司 银蚀刻液组合物和利用它的显示基板
CN107210215B (zh) * 2015-02-12 2022-01-11 富士胶片株式会社 Iii-v族元素抗氧化液、处理液、氧化物去除液及去除方法、半导体基板处理液及制造方法
WO2016138218A1 (en) * 2015-02-25 2016-09-01 Applied Materials, Inc. Methods and apparatus for using alkyl amines for the selective removal of metal nitride
KR101587758B1 (ko) * 2015-03-05 2016-01-21 동우 화인켐 주식회사 질화 티탄(TiN) 막의 식각액 조성물 및 그를 이용한 금속배선의 형성 방법
CN106148961A (zh) * 2015-03-27 2016-11-23 东友精细化工有限公司 蚀刻剂组合物、形成金属线图案方法和制造阵列基板方法
US10332784B2 (en) 2015-03-31 2019-06-25 Versum Materials Us, Llc Selectively removing titanium nitride hard mask and etch residue removal
WO2016167184A1 (ja) * 2015-04-13 2016-10-20 三菱瓦斯化学株式会社 ウェハを再生するための炭素含有シリコン酸化物を含む材料の洗浄液および洗浄方法
US9976111B2 (en) * 2015-05-01 2018-05-22 Versum Materials Us, Llc TiN hard mask and etch residual removal
KR102092720B1 (ko) * 2015-07-09 2020-03-24 엔테그리스, 아이엔씨. 게르마늄에 대해 실리콘 게르마늄을 선택적으로 에칭하기 위한 배합물
TWI819694B (zh) 2015-07-14 2023-10-21 美商富士軟片電子材料美國股份有限公司 清潔組成物及其使用方法
US10294422B2 (en) * 2015-07-16 2019-05-21 Hailiang Wang Etching compositions for transparent conductive layers comprising silver nanowires
TWI816635B (zh) * 2015-10-15 2023-10-01 日商三菱瓦斯化學股份有限公司 半導體元件之洗淨用液體組成物、半導體元件之洗淨方法及半導體元件之製造方法
CN105369251A (zh) * 2015-12-16 2016-03-02 无锡吉进环保科技有限公司 一种基于纳米二氧化硫的电路板用蚀刻液
EP3436621B1 (en) * 2016-03-29 2020-02-12 Technic France Solution and method for etching titanium based materials
KR20180060489A (ko) * 2016-11-29 2018-06-07 삼성전자주식회사 식각용 조성물 및 이를 이용한 반도체 장치 제조 방법
EP3389083B1 (en) * 2016-12-26 2020-04-15 Mitsubishi Gas Chemical Company, Inc. Use of wet etching composition for wet etching of semiconductor substrate having si layer and sin layer
TWI735732B (zh) * 2017-01-17 2021-08-11 美商恩特葛瑞斯股份有限公司 高階節點製程後端處理之蝕刻後殘留物去除
US11035044B2 (en) * 2017-01-23 2021-06-15 Versum Materials Us, Llc Etching solution for tungsten and GST films
KR102373108B1 (ko) * 2017-03-16 2022-03-10 동우 화인켐 주식회사 도전막 식각액 조성물 및 이를 이용한 반도체 소자의 제조 방법
JP7220142B2 (ja) * 2017-03-31 2023-02-09 関東化学株式会社 チタン層またはチタン含有層のエッチング液組成物およびエッチング方法
EP3664125B1 (en) * 2017-07-31 2023-11-29 Mitsubishi Gas Chemical Company, Inc. Liquid composition for reducing damage of cobalt, alumina, interlayer insulating film and silicon nitride, and washing method using same
WO2019044463A1 (ja) * 2017-08-31 2019-03-07 富士フイルム株式会社 処理液、キット、基板の洗浄方法
WO2019145312A1 (en) * 2018-01-25 2019-08-01 Merck Patent Gmbh Photoresist remover compositions
CN110157434B (zh) * 2018-02-13 2021-07-16 东友精细化工有限公司 绝缘层蚀刻剂组合物和使用该绝缘层蚀刻剂组合物形成图案的方法
US10934484B2 (en) * 2018-03-09 2021-03-02 Versum Materials Us, Llc Etching solution for selectively removing silicon-germanium alloy from a silicon-germanium/ germanium stack during manufacture of a semiconductor device
US11499236B2 (en) * 2018-03-16 2022-11-15 Versum Materials Us, Llc Etching solution for tungsten word line recess
KR102487940B1 (ko) 2018-03-19 2023-01-16 삼성디스플레이 주식회사 식각액 조성물 및 이를 이용한 금속 패턴과 어레이 기판의 제조 방법
IL301529A (en) 2018-03-28 2023-05-01 Fujifilm Electronic Mat Usa Inc cleaning products
CN108414662B (zh) * 2018-04-09 2020-08-25 镇江出入境检验检疫局检验检疫综合技术中心 液相色谱串接质谱联用仪检测茶叶中的三甲基锍的检测方法
CN110484919A (zh) * 2018-05-14 2019-11-22 深圳市裕展精密科技有限公司 退镀液及其退除含钛膜层的方法、及表面形成有含钛膜层的基材的退镀方法
JP7128948B2 (ja) 2018-07-06 2022-08-31 インテグリス・インコーポレーテッド 材料を選択的にエッチングするための改善
CN110713868A (zh) * 2018-07-13 2020-01-21 巴斯夫欧洲公司 可移除氮化钛的蚀刻后残渣清理溶液
GB201813368D0 (en) * 2018-08-16 2018-10-03 Lam Res Ag Etchant composition
KR20200032782A (ko) 2018-09-18 2020-03-27 삼성전자주식회사 식각 조성물 및 이를 이용한 반도체 소자의 제조 방법
US20200248075A1 (en) * 2019-01-31 2020-08-06 Fujifilm Electronic Materials U.S.A., Inc. Etching compositions
US10916431B2 (en) 2019-04-16 2021-02-09 International Business Machines Corporation Robust gate cap for protecting a gate from downstream metallization etch operations
US20220220421A1 (en) 2019-05-23 2022-07-14 Basf Se Composition and process for electively etching a hard mask and/or an etch-stop layer in the presence of layers of low-k materials, copper, cobalt and/or tungsten
TWI760768B (zh) * 2019-06-13 2022-04-11 美商慧盛材料美國責任有限公司 於製造一半導體裝置時優先p-摻雜矽及矽-鍺選擇性移除多晶矽的液態組合物
CN114080473A (zh) * 2019-08-08 2022-02-22 巴斯夫欧洲公司 用于抑制钨蚀刻的组合物
KR102354378B1 (ko) * 2019-08-27 2022-01-21 엘티씨에이엠 주식회사 과산화수소를 이용한 질화티탄막 식각용 고선택비 식각액 조성물
JP6977750B2 (ja) * 2019-09-13 2021-12-08 栗田工業株式会社 過硫酸成分を含む硫酸溶液中の酸化剤濃度の低下抑制方法
US20240103377A1 (en) * 2019-10-17 2024-03-28 Versum Materials Us, Llc Etching Composition And Method For EUV Mask Protective Structure
CN113130292A (zh) * 2019-12-31 2021-07-16 安集微电子科技(上海)股份有限公司 一种等离子体刻蚀残留物清洗液
CN113122267A (zh) * 2019-12-31 2021-07-16 安集微电子科技(上海)股份有限公司 一种促进剂组合物在去除铜大马士革工艺中氮化钛的应用
US11309190B2 (en) * 2020-01-17 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
TW202134477A (zh) * 2020-03-04 2021-09-16 美商慧盛材料美國責任有限公司 用於氮化鈦及鉬導電金屬線的蝕刻溶液
US20210292647A1 (en) * 2020-03-11 2021-09-23 Advansix Resins & Chemicals Llc Surfactants for electronics products
WO2021202229A1 (en) 2020-03-31 2021-10-07 Mattson Technology, Inc. Processing of workpieces using fluorocarbon plasma
US11492709B2 (en) * 2020-04-14 2022-11-08 Entegris, Inc. Method and composition for etching molybdenum
CN111809182A (zh) * 2020-07-08 2020-10-23 江苏和达电子科技有限公司 一种用于铜/钼(铌)/igzo膜层的刻蚀液及其制备方法和应用
KR20220033141A (ko) * 2020-09-09 2022-03-16 동우 화인켐 주식회사 실리콘 식각액 조성물, 이를 이용한 패턴 형성 방법 및 어레이 기판의 제조 방법, 및 이에 따라 제조된 어레이 기판
EP4207250A1 (en) 2020-09-29 2023-07-05 Mitsubishi Gas Chemical Company, Inc. Composition for cleaning semiconductor substrate, and cleaning method
WO2022172862A1 (ja) 2021-02-12 2022-08-18 三菱瓦斯化学株式会社 半導体基板洗浄用組成物、並びに半導体基板の洗浄方法および製造方法
CN113355023B (zh) * 2021-05-31 2022-08-09 中南大学 一种4D打印NiTi合金EBSD样品抛光液的制备方法和产品及应用
JP2023036214A (ja) 2021-09-02 2023-03-14 富士フイルム株式会社 処理液
CN115011347B (zh) * 2022-06-30 2023-12-29 湖北兴福电子材料股份有限公司 一种氮化铝和钨的选择性蚀刻液
CN115044376B (zh) * 2022-06-30 2023-12-29 湖北兴福电子材料股份有限公司 一种掺钪氮化铝蚀刻液及其应用
CN114989825B (zh) * 2022-06-30 2023-07-11 湖北兴福电子材料股份有限公司 一种掺钪氮化铝和钨的选择性蚀刻液

Family Cites Families (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3544271A (en) 1968-05-14 1970-12-01 Westinghouse Electric Corp Phenol detection process
US4263343A (en) 1979-08-13 1981-04-21 Eastman Kodak Company Reference elements for ion-selective membrane electrodes
US4659440A (en) * 1985-10-24 1987-04-21 Rudolf Hradcovsky Method of coating articles of aluminum and an electrolytic bath therefor
JPH01308690A (ja) * 1988-02-25 1989-12-13 Mitsubishi Paper Mills Ltd 平版印刷の製版方法
US5279771A (en) 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
JP3160344B2 (ja) 1991-01-25 2001-04-25 アシュランド インコーポレーテッド 有機ストリッピング組成物
TW263531B (ja) 1992-03-11 1995-11-21 Mitsubishi Gas Chemical Co
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
US5421906A (en) 1993-04-05 1995-06-06 Enclean Environmental Services Group, Inc. Methods for removal of contaminants from surfaces
US6326130B1 (en) 1993-10-07 2001-12-04 Mallinckrodt Baker, Inc. Photoresist strippers containing reducing agents to reduce metal corrosion
US5571447A (en) 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
US7534752B2 (en) 1996-07-03 2009-05-19 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US5698503A (en) 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
US6755989B2 (en) 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6224785B1 (en) 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
EP1125168A1 (en) 1998-05-18 2001-08-22 Advanced Technology Materials, Inc. Stripping compositions for semiconductor substrates
US6875733B1 (en) 1998-10-14 2005-04-05 Advanced Technology Materials, Inc. Ammonium borate containing compositions for stripping residues from semiconductor substrates
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
KR100400030B1 (ko) 2000-06-05 2003-09-29 삼성전자주식회사 금속막의 화학 및 기계적 연마용 슬러리 및 그 제조방법과상기 슬러리를 이용한 반도체 소자의 금속 배선 형성 방법
US6489281B1 (en) 2000-09-12 2002-12-03 Ecolab Inc. Cleaning composition comprising inorganic acids, an oxidant, and a cationic surfactant
US6599370B2 (en) 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
MY143399A (en) 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
US20030139047A1 (en) 2002-01-24 2003-07-24 Thomas Terence M. Metal polishing slurry having a static etch inhibitor and method of formulation
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US7188644B2 (en) 2002-05-03 2007-03-13 Advanced Technology Materials, Inc. Apparatus and method for minimizing the generation of particles in ultrapure liquids
US6698619B2 (en) 2002-05-03 2004-03-02 Advanced Technology Materials, Inc. Returnable and reusable, bag-in-drum fluid storage and dispensing container system
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
ITMI20022090A1 (it) * 2002-10-03 2004-04-04 Nuovo Pignone Spa Composizione acquosa per la rimozione chimica di riporti metallici presenti sulle pale di turbine, e suo uso.
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
US7399365B2 (en) 2003-04-18 2008-07-15 Ekc Technology, Inc. Aqueous fluoride compositions for cleaning semiconductor devices
KR100960687B1 (ko) * 2003-06-24 2010-06-01 엘지디스플레이 주식회사 구리(또는 구리합금층)를 포함하는 이중금속층을 일괄식각하기위한 식각액
JP2005097715A (ja) 2003-08-19 2005-04-14 Mitsubishi Chemicals Corp チタン含有層用エッチング液及びチタン含有層のエッチング方法
WO2005019939A1 (en) 2003-08-19 2005-03-03 Mallinckrodt Baker Inc. Stripping and cleaning compositions for microelectronics
KR20060115896A (ko) 2003-12-02 2006-11-10 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 레지스트, barc 및 갭 필 재료 스트리핑 케미칼 및방법
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
KR20050110470A (ko) * 2004-05-19 2005-11-23 테크노세미켐 주식회사 반도체 기판용 세정액 조성물, 이를 이용한 반도체 기판세정방법 및 반도체 장치 제조 방법
JP4530146B2 (ja) * 2004-08-18 2010-08-25 三菱瓦斯化学株式会社 洗浄液および洗浄法。
US7329365B2 (en) 2004-08-25 2008-02-12 Samsung Electronics Co., Ltd. Etchant composition for indium oxide layer and etching method using the same
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060148666A1 (en) 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
CN101228481B (zh) 2005-02-25 2012-12-05 Ekc技术公司 从包括铜和低k电介体的基片上除去抗蚀剂、蚀刻残余物和氧化铜的方法
EP1866957A1 (en) 2005-04-08 2007-12-19 Sachem, Inc. Selective wet etching of metal nitrides
WO2006110645A2 (en) 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
JP2008538013A (ja) 2005-04-15 2008-10-02 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 溶媒系中の自己組織化単分子膜を用いた高線量イオン注入フォトレジストの除去
US20070251551A1 (en) 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
SG162757A1 (en) 2005-06-07 2010-07-29 Advanced Tech Materials Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
TW200709294A (en) 2005-06-13 2007-03-01 Advanced Tech Materials Compositions and methods for selective removal of metal or metal alloy after metal silicide formation
KR100685738B1 (ko) 2005-08-08 2007-02-26 삼성전자주식회사 절연 물질 제거용 조성물, 이를 이용한 절연막의 제거 방법및 기판의 재생 방법
WO2007027522A2 (en) 2005-08-29 2007-03-08 Advanced Technology Materials, Inc. Composition and method for removing thick film photoresist
EP1932174A4 (en) 2005-10-05 2009-09-23 Advanced Tech Materials AQUEOUS OXIDIZING CLEANER FOR REMOVING RESIDUES AFTER A PLASMA ATTACK
WO2007044447A2 (en) 2005-10-05 2007-04-19 Advanced Technology Materials, Inc. Composition and method for selectively etching gate spacer oxide material
KR20080059442A (ko) 2005-10-13 2008-06-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 상용성 포토레지스트 및/또는 희생 반사방지 코팅제거 조성물
KR100706822B1 (ko) * 2005-10-17 2007-04-12 삼성전자주식회사 절연 물질 제거용 조성물, 이를 이용한 절연막의 제거 방법및 기판의 재생 방법
US20070099810A1 (en) * 2005-10-27 2007-05-03 Hiroshi Matsunaga Cleaning liquid and cleaning method
US20090301996A1 (en) 2005-11-08 2009-12-10 Advanced Technology Materials, Inc. Formulations for removing cooper-containing post-etch residue from microelectronic devices
WO2007111694A2 (en) 2005-11-09 2007-10-04 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
US20070117497A1 (en) 2005-11-22 2007-05-24 Cabot Microelectronics Corporation Friction reducing aid for CMP
TW200734448A (en) 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US8025811B2 (en) * 2006-03-29 2011-09-27 Intel Corporation Composition for etching a metal hard mask material in semiconductor processing
US20080076688A1 (en) 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
JP4642001B2 (ja) * 2006-10-24 2011-03-02 関東化学株式会社 フォトレジスト残渣及びポリマー残渣除去液組成物
US20080125342A1 (en) * 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
KR101449774B1 (ko) 2006-12-21 2014-10-14 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 에칭 후 잔류물의 제거를 위한 액체 세정제
US8778210B2 (en) 2006-12-21 2014-07-15 Advanced Technology Materials, Inc. Compositions and methods for the selective removal of silicon nitride
TWI516573B (zh) 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
US20100112728A1 (en) 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
TW200916183A (en) 2007-05-09 2009-04-16 Advanced Tech Materials Systems and methods for material blending and distribution
JP5347237B2 (ja) 2007-05-15 2013-11-20 三菱瓦斯化学株式会社 洗浄用組成物
TW200918664A (en) 2007-06-13 2009-05-01 Advanced Tech Materials Wafer reclamation compositions and methods
JP5364250B2 (ja) * 2007-07-13 2013-12-11 東京応化工業株式会社 窒化チタン剥離液、及び窒化チタン被膜の剥離方法
US8623236B2 (en) 2007-07-13 2014-01-07 Tokyo Ohka Kogyo Co., Ltd. Titanium nitride-stripping liquid, and method for stripping titanium nitride coating film
JP5047712B2 (ja) * 2007-07-13 2012-10-10 東京応化工業株式会社 窒化チタン剥離液、及び窒化チタン被膜の剥離方法
TW200916571A (en) 2007-08-02 2009-04-16 Advanced Tech Materials Non-fluoride containing composition for the removal of residue from a microelectronic device
KR20100056537A (ko) 2007-08-20 2010-05-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 이온-주입된 포토레지스트를 제거하기 위한 조성물 및 방법
DE102007039626A1 (de) * 2007-08-22 2009-02-26 Wacker Chemie Ag Verfahren zum Reinigen von polykristallinem Silicium
JP2009075285A (ja) * 2007-09-20 2009-04-09 Fujifilm Corp 半導体デバイスの剥離液、及び、剥離方法
US20090120457A1 (en) 2007-11-09 2009-05-14 Surface Chemistry Discoveries, Inc. Compositions and method for removing coatings and preparation of surfaces for use in metal finishing, and manufacturing of electronic and microelectronic devices
US8110508B2 (en) * 2007-11-22 2012-02-07 Samsung Electronics Co., Ltd. Method of forming a bump structure using an etching composition for an under bump metallurgy layer
TW200934865A (en) 2007-11-30 2009-08-16 Advanced Tech Materials Formulations for cleaning memory device structures
WO2009081884A1 (ja) 2007-12-21 2009-07-02 Wako Pure Chemical Industries, Ltd. エッチング剤、エッチング方法及びエッチング剤調製液
US20110117751A1 (en) 2008-03-07 2011-05-19 Advanced Technology Materials, Inc. Non-selective oxide etch wet clean composition and method of use
JP2009231354A (ja) * 2008-03-19 2009-10-08 Fujifilm Corp 半導体デバイス用洗浄液、および洗浄方法
TWI494710B (zh) 2008-05-01 2015-08-01 Entegris Inc 用於移除高密度經摻雜光阻之低pH混合物
KR20100007461A (ko) 2008-07-14 2010-01-22 삼성전자주식회사 석영 부품용 세정액 및 이를 이용한 석영 부품 세정방법
CN102216854A (zh) 2008-08-04 2011-10-12 高级技术材料公司 环境友好型聚合物剥离组合物
US20110147341A1 (en) 2008-09-09 2011-06-23 Showa Denko K.K. Etching solution for titanium-based metal, tungsten-based metal, titanium/tungsten-based metal or their nitrides
US8080475B2 (en) * 2009-01-23 2011-12-20 Intel Corporation Removal chemistry for selectively etching metal hard mask
JP2012516380A (ja) 2009-01-28 2012-07-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド リソグラフツールの原位置(insitu)清浄化用配合物
WO2010086745A1 (en) 2009-02-02 2010-08-05 Atmi Taiwan Co., Ltd. Method of etching lanthanum-containing oxide layers
WO2010091045A2 (en) 2009-02-05 2010-08-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of polymers and other organic material from a surface
CN102652188A (zh) 2009-12-17 2012-08-29 昭和电工株式会社 钌类金属的蚀刻用组合物及其配制方法
KR20110085254A (ko) * 2010-01-19 2011-07-27 삼성전자주식회사 금속 배선용 식각액조성물 및 상기 식각액을 사용한 박막트랜지스터 표시판의 제조 방법
JP5858597B2 (ja) 2010-01-29 2016-02-10 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド タングステン配線半導体用洗浄剤
JP5702075B2 (ja) 2010-03-26 2015-04-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅配線半導体用洗浄剤
US9045717B2 (en) 2010-01-29 2015-06-02 Advanced Technology Materials, Inc. Cleaning agent for semiconductor provided with metal wiring
JP2012036750A (ja) 2010-08-04 2012-02-23 Panasonic Corp 圧縮機
US20130280123A1 (en) 2010-08-27 2013-10-24 Advanced Technology Materials, Inc. Method for preventing the collapse of high aspect ratio structures during drying
KR101827031B1 (ko) 2010-10-06 2018-02-07 엔테그리스, 아이엔씨. 질화 금속을 선택적으로 에칭하기 위한 조성물 및 방법
KR102064487B1 (ko) 2011-01-13 2020-01-10 엔테그리스, 아이엔씨. 세륨-함유 용액에 의해 발생된 입자의 제거를 위한 배합물
JP2012251026A (ja) 2011-05-31 2012-12-20 Sanyo Chem Ind Ltd 半導体用洗浄剤
WO2012174518A2 (en) 2011-06-16 2012-12-20 Advanced Technology Materials, Inc. Compositions and methods for selectively etching silicon nitride
US20130045908A1 (en) 2011-08-15 2013-02-21 Hua Cui Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
US8618036B2 (en) 2011-11-14 2013-12-31 International Business Machines Corporation Aqueous cerium-containing solution having an extended bath lifetime for removing mask material
SG11201403556WA (en) 2011-12-28 2014-07-30 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
WO2013138276A1 (en) 2012-03-12 2013-09-19 Advanced Technology Materials, Inc. Methods for the selective removal of ashed spin-on glass
WO2013138278A1 (en) 2012-03-12 2013-09-19 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
US9472420B2 (en) 2013-12-20 2016-10-18 Air Products And Chemicals, Inc. Composition for titanium nitride hard mask and etch residue removal

Also Published As

Publication number Publication date
WO2013101907A1 (en) 2013-07-04
EP2798669B1 (en) 2021-03-31
CN104145324A (zh) 2014-11-12
KR20140132708A (ko) 2014-11-18
JP2015506583A (ja) 2015-03-02
US10392560B2 (en) 2019-08-27
KR102102792B1 (ko) 2020-05-29
US20170260449A1 (en) 2017-09-14
US20150027978A1 (en) 2015-01-29
TW201333171A (zh) 2013-08-16
EP2798669A1 (en) 2014-11-05
SG11201403556WA (en) 2014-07-30
TWI588239B (zh) 2017-06-21
US9546321B2 (en) 2017-01-17
CN104145324B (zh) 2017-12-22
SG10201605172RA (en) 2016-08-30
EP2798669A4 (en) 2015-08-19

Similar Documents

Publication Publication Date Title
JP6329909B2 (ja) 窒化チタンを選択的にエッチングするための組成物および方法
JP6723152B2 (ja) 窒化チタンを選択的にエッチングするための組成物及び方法
JP6363116B2 (ja) 窒化チタンを選択的にエッチングするための組成物および方法
KR102266832B1 (ko) TiN 하드 마스크 및 에치 잔류물 제거
KR102338526B1 (ko) Cu/W 호환성을 갖는, 금속 하드 마스크 및 에칭-후 잔여물을 제거하기 위한 수성 제형
KR102405063B1 (ko) 텅스텐 및 코발트 상용성을 갖는 에치후 잔류물을 제거하기 위한 수성 및 반-수성 세정제
WO2016042408A2 (en) Compositions for etching titanium nitride having compatability with silicon germanide and tungsten
JP2016127291A (ja) 高いwn/w選択率を有するストリッピング組成物

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20151224

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20161219

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170105

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170328

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20170518

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170530

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20170606

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170829

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20171127

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180327

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180423

R150 Certificate of patent or registration of utility model

Ref document number: 6329909

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250