TWI638033B - 選擇性蝕刻氮化鈦之組成物及方法 - Google Patents

選擇性蝕刻氮化鈦之組成物及方法 Download PDF

Info

Publication number
TWI638033B
TWI638033B TW103130065A TW103130065A TWI638033B TW I638033 B TWI638033 B TW I638033B TW 103130065 A TW103130065 A TW 103130065A TW 103130065 A TW103130065 A TW 103130065A TW I638033 B TWI638033 B TW I638033B
Authority
TW
Taiwan
Prior art keywords
acid
ether
ammonium
composition
glycol
Prior art date
Application number
TW103130065A
Other languages
English (en)
Other versions
TW201516129A (zh
Inventor
艾曼紐 庫帕
陳立民
史帝芬 里皮
許佳榮
涂勝宏
王潔如
Original Assignee
恩特葛瑞斯股份有限公司
美商恩特葛瑞斯亞洲有限責任公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 恩特葛瑞斯股份有限公司, 美商恩特葛瑞斯亞洲有限責任公司 filed Critical 恩特葛瑞斯股份有限公司
Publication of TW201516129A publication Critical patent/TW201516129A/zh
Application granted granted Critical
Publication of TWI638033B publication Critical patent/TWI638033B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/10Etching, surface-brightening or pickling compositions containing an inorganic acid containing a boron compound
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/06Etching, surface-brightening or pickling compositions containing an inorganic acid with organic material
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

自其上具有氮化鈦及/或光阻蝕刻殘餘物料之一微電子裝置相對於絕緣材料選擇性去除該等材料之有用的組成物。該等去除組成物含有至少一種氧化劑、一種蝕刻劑、及一種活化劑以提升氮化鈦之蝕刻速率。

Description

選擇性蝕刻氮化鈦之組成物及方法
本發明係有關於用以於絕緣體材料(亦即低k電介質)存在下,選擇性地蝕刻去除氮化鈦及/或光阻蝕刻殘餘物之一種組成物及方法,及更明確言之,係有關於用來以高於暴露的或下方的低k介電材料之該蝕刻速率及選擇性之一蝕刻速率及選擇性,有效地且有效率地蝕刻氮化鈦及/或光阻蝕刻殘餘物之一種組成物及方法。
光阻遮罩常用於半導體工業以圖案化材料,諸如半導體或電介質。於一個應用中,光阻遮罩用於雙重鑲嵌處理以於一微電子裝置之後端金屬化作用中形成互連體。該雙重鑲嵌處理程序涉及於舖在一金屬導體層諸如銅層上方之一低k介電層上形成光阻遮罩。然後,該低k介電層根據該光阻遮罩蝕刻以形成一通孔及/或溝槽,其暴露出該金屬導體層。該通孔及/或溝槽,俗稱作雙重鑲嵌結構,通常係使用二光刻術步驟界定。然後,在一傳導性材料沉積入該通孔及/或溝槽內部以形成一互連體之前,從該低k介電層去除該光阻遮罩。
隨著微電子裝置之尺寸的縮小,變成更加難以達成通孔及溝槽之臨界尺寸。如此,金屬硬遮罩用以提供通孔及溝槽之輪廓側寫的更佳控制。該等金屬硬遮罩可由鈦或氮化鈦製成,且於該 雙重鑲嵌結構之該通孔及/或溝槽形成之後係藉濕蝕刻法去除。主要濕蝕刻法使用一種去除化學,該去除化學有效地去除該金屬硬遮罩及/或光阻蝕刻殘餘物而不會影響下方低k介電材料。換言之,該去除化學要求相對於該低k介電層對該金屬硬遮罩具有高度選擇性。
據此,本發明之一目的係提供相對於該存在的低k介電層選擇性地去除硬遮罩材料而不會危害該硬遮罩之蝕刻速率之改良式組成物。
本發明係有關於相對於存在的低k介電層,選擇性地蝕刻硬遮罩層及/或光阻蝕刻殘餘物之組成物及方法。更明確言之,本發明係有關於相對於低k介電層,選擇性地蝕刻氮化鈦及/或光阻蝕刻殘餘物之組成物及方法。
於一個態樣中,描述一種從其上具有氮化鈦及/或光阻蝕刻殘餘物料之微電子裝置表面選擇性地去除該等材料之組成物,該組成物包含至少一種氧化劑、至少一種活化劑、及至少一種溶劑。
於另一個態樣中,描述一種從其上具有氮化鈦及/或光阻蝕刻殘餘物料之微電子裝置表面選擇性地去除該等材料之組成物,該組成物包含至少一種氧化劑、至少一種活化劑、及至少一種溶劑,其中該至少一種活化劑包含選自於由下列所組成之組群中之一者:乙酸、乙酸銨、乙酸鈉、乙酸鉀、乙酸四甲基銨及其它乙酸四烷基銨、乙酸鏻、丁酸銨、三氟乙酸銨、胺基酸類、磷酸、磷酸氫二銨、磷酸二氫銨、磷酸一氫貳(四甲基銨)、磷酸氫二鈉、磷酸二氫鈉、磷酸氫二鉀、磷酸二氫鉀、磷酸氫二-四烷基銨、磷酸 二氫二-四烷基銨、磷酸氫二鏻、磷酸二氫鏻、膦酸銨、膦酸四烷基銨、膦酸鈉、膦酸鉀、膦酸鏻、及其組合。
於又另一個態樣中,描述一種從其上具有氮化鈦材料之微電子裝置表面蝕刻該材料之方法,該方法包含讓該表面與包含至少一種氧化劑、至少一種活化劑、及至少一種溶劑之一組成物接觸,其中相對於絕緣材料,該組成物從該表面選擇性地去除該氮化鈦材料。
於又另一個態樣中,描述一種從其上具有氮化鈦材料之微電子裝置表面蝕刻該材料之方法,該方法包含讓該表面與包含至少一種氧化劑、至少一種活化劑、及至少一種溶劑之一組成物接觸,其中相對於絕緣材料,該組成物從該表面選擇性地去除該氮化鈦材料,及其中該至少一種活化劑包含選自於由下列所組成之組群中之一者:乙酸、乙酸銨、乙酸鈉、乙酸鉀、乙酸四甲基銨及其它乙酸四烷基銨、乙酸鏻、丁酸銨、三氟乙酸銨、胺基酸類、磷酸、磷酸氫二銨、磷酸二氫銨、磷酸一氫貳(四甲基銨)、磷酸氫二鈉、磷酸二氫鈉、磷酸氫二鉀、磷酸二氫鉀、磷酸氫二-四烷基銨、磷酸二氫二-四烷基銨、磷酸氫二鏻、磷酸二氫鏻、膦酸銨、膦酸四烷基銨、膦酸鈉、膦酸鉀、膦酸鏻、及其組合。
本發明之其它態樣、特徵及實施例從後文揭示內容及隨附之申請專利範圍各項將更完整地彰顯。
概略言之,本發明係有關於相對於存在的低k介電 層,選擇性地蝕刻硬遮罩層及/或光阻蝕刻殘餘物之組成物及方法。更明確言之,本發明係有關於相對於低k介電層,選擇性地蝕刻氮化鈦及/或光阻蝕刻殘餘物之組成物及方法。可存在於微電子裝置之其它材料實質上不該從該組成物去除或腐蝕。
為了容易參照,「微電子裝置」係相對應於半導體基板、平板顯示器、相變記憶體裝置、太陽能面板及其它產品包括太陽能電池裝置、光伏裝置、及微機電系統(MEMS)製造用於微電子、積體電路、集能、或電腦晶片應用等用途。須瞭解「微電子裝置」、「微電子基板」及「微電子裝置結構」等詞絕非意圖為限制性,且包括最終將變成微電子裝置或微電子總成之任何基板或結構。該微電子裝置可為經圖案化、籠罩於氣體氣氛下、對照裝置及/或受試裝置。
如此處使用,「硬遮罩端帽層」相對應於沉積於介電材料上方以於該電漿蝕刻步驟期間保護該介電材料之材料。硬遮罩端帽層為傳統上的矽氮化物、矽氧氮化物、氮化鈦、氧氮化鈦、鈦及其它類似化合物。
如此處使用,「氮化鈦」及「TiNx」係相對應於純質氮化鈦以及包含各種化學計算學,及包含氧含量(TiOxNy)之非純質氮化鈦。
如此處使用,「約」係意圖相對應於該陳述值之±5%。
如此處使用,「低k介電材料」係相對應於一層狀微電子裝置中用作為介電材料之任何材料,其中該材料具有小於約3.5之介電常數。較佳地,低k介電材料包括低極性材料,諸如含矽有機聚合物、含矽混合有機/無機材料、有機矽酸鹽玻璃(OSG)、 TEOS、氟化矽酸鹽玻璃(FSG)、二氧化矽、及摻碳氧化物(CDO)玻璃。須瞭解該等低k介電材料可具有各種密度及各種孔隙度。
如此處使用,「胺」之類別包括至少一個第一胺、至少一個第二胺、及至少一個第三胺,但限制條件為(i)包括一個羧酸基及一個胺基之類別(例如胺基酸);(ii)包括胺基之界面活性劑;(iii)於該處胺基為一取代基(例如附接至芳基部分或雜環部分)之類別;及(iv)根據本定義,胺-N-氧化物不被視為「胺類」。胺之化學式可以NR1R2R3表示,其中R1、R2、及R3可為彼此相同或相異且係選自於由下列所組成之組群:氫、直鏈或分支鏈C1-C6烷基(例如甲基、乙基、丙基、丁基、戊基、己基)、C6-C10芳基(例如苄基)、直鏈或分支鏈C1-C6烷醇(例如甲醇、乙醇、丙醇、丁醇、戊醇、己醇)、及其組合,但限制條件為R1、R2、及R3不可全部皆為氫。
如此處定義,如熟諳技藝人士容易瞭解,「光阻蝕刻殘餘物」係相對應於包含光阻材料之任何殘餘物,或光阻於蝕刻步驟或灰化步驟之後的副產物之材料。
「實質上不含」係於此處定義為低於2wt%,較佳地低於1wt%,更佳地低於0.5wt%,又更佳地低於0.1wt%,及最佳地0wt%。
如此處使用,「氟化物」類別係相對應於含括氟陰離子(F-)之類別。須瞭解氟化物類別可含括為氟陰離子類別或原地生成。
如此處使用,「氯化物」類別係相對應於含括氯陰離子(Cl-)之類別,但限制條件為根據本定義,含括氯陰離子之界面活性劑不被視為「氯化物」。
如此處使用,強鹼為具有大於11的至少一個pKa之任 何鹼,弱鹼為具有小於11的至少一個pKa之任何鹼。
本發明之組成物可於寬廣多種特定配方具體實施,容後詳述。
於全部此等組成物中,其中該組成物之特定成分係參照重量百分比範圍(含零下限)討論,須瞭解此等成分可存在於或不存在於該組成物之各種特定實施例,及於此等成分存在之情況下,以其中採用該等成分之組成物總重為基準,此等成分可以低至0.001重量百分比之濃度存在。
本發明之實施例包括用以去除硬遮罩及/或光阻蝕刻殘餘物之化學。於一個實施例中,該去除組成物為一種濕蝕刻溶液,該濕蝕刻溶液去除介電層上之金屬硬遮罩及/或光阻蝕刻殘餘物,且對該介電層具有高度選擇性。於更特定實施例中,該去除組成物為一種濕蝕刻溶液,該濕蝕刻溶液去除對低k介電材料具有高度選擇性的氮化鈦層及/或光阻蝕刻殘餘物。
於第一態樣中,描述一種從其上具有氮化鈦及/或光阻蝕刻殘餘物料之微電子裝置表面選擇性地去除該等材料之組成物,該組成物包含至少一種氧化劑、至少一種活化劑,其中該活化劑提高TiN蝕刻速率。於一個實施例中,從其上具有氮化鈦及/或光阻蝕刻殘餘物料之微電子裝置表面選擇性地去除該等材料之該組成物包含、其組成為、或其主要組成為至少一種氧化劑、至少一種活化劑、及至少一種溶劑。於另一個實施例中,從其上具有氮化鈦及/或光阻蝕刻殘餘物料之微電子裝置表面選擇性地去除該等材料之該組成物包含、其組成為、或其主要組成為至少一種氧化劑、至少一種活化劑、至少一種氧化劑安定劑、及至少一種溶劑。於又另 一個實施例中,從其上具有氮化鈦及/或光阻蝕刻殘餘物料之微電子裝置表面選擇性地去除該等材料之該組成物包含、其組成為、或其主要組成為至少一種氧化劑、至少一種活化劑、至少一種氧化劑安定劑、至少一種電介質鈍化劑、及至少一種溶劑。於另一個實施例中,從其上具有氮化鈦及/或光阻蝕刻殘餘物料之微電子裝置表面選擇性地去除該等材料之該組成物包含、其組成為、或其主要組成為至少一種氧化劑、至少一種活化劑、至少一種蝕刻劑、及至少一種溶劑。於又另一個實施例中,從其上具有氮化鈦及/或光阻蝕刻殘餘物料之微電子裝置表面選擇性地去除該等材料之該組成物包含、其組成為、或其主要組成為至少一種氧化劑、至少一種活化劑、至少一種蝕刻劑、至少一種氧化劑安定劑、及至少一種溶劑。於又另一個實施例中,從其上具有氮化鈦及/或光阻蝕刻殘餘物料之微電子裝置表面選擇性地去除該等材料之該組成物包含、其組成為、或其主要組成為至少一種氧化劑、至少一種活化劑、至少一種蝕刻劑、至少一種氧化劑安定劑、水、及至少一種溶劑。於又另一個實施例中,從其上具有氮化鈦及/或光阻蝕刻殘餘物料之微電子裝置表面選擇性地去除該等材料之該組成物包含、其組成為、或其主要組成為至少一種氧化劑、至少一種活化劑、至少一種蝕刻劑、至少一種氧化劑安定劑、至少一種電介質鈍化劑、及至少一種溶劑。於該第一態樣之各個實施例中,可添加至少一種含矽化合物、至少一種界面活性劑、或其任何組合。此等組成物實質上不含磨蝕材料、氯化物來源、金屬鹵化物、及其組合。取決於所使用的氧化劑之性質,此等組成物具有約5至約13,較佳地約6至約10,或約10至約13之範圍之pH值。
添加蝕刻劑以提高氮化鈦之蝕刻速率。預期涵蓋之蝕刻劑包括,但非限制性,HF、氟化銨、四氟硼酸、六氟矽酸、含B-F鍵或Si-F鍵之其它化合物、四氟硼酸四丁基銨(TBA-BF4)、氟化四烷基銨(NR1R2R3R4F)、強鹼諸如氫氧化四烷基銨(NR1R2R3R4OH),於該處R1、R2、R3、R4可彼此相同或相異且係選自於由下列所組成之組群:氫、直鏈或分支鏈C1-C6烷基(例如甲基、乙基、丙基、丁基、戊基、己基)、C1-C6烷氧基(例如羥基乙基、羥基丙基)、經取代之或未經取代之芳基(例如苄基)、弱鹼、及其組合。較佳地,該氟陰離子來源包含四氟硼酸、六氟矽酸、H2ZrF6、H2TiF6、HPF6、氟化銨、氟化四甲基銨、六氟矽酸銨、六氟鈦酸銨、或氟化銨與氟化四甲基銨之組合。另外,或除了氟陰離子來源之外,該蝕刻劑可包含強鹼諸如,氫氧化四甲基銨(TMAH)、氫氧化四乙基銨(TEAH)、氫氧化四丙基銨(TPAH)、氫氧化四丁基銨(TBAH)、氫氧化苄基三甲基銨(BTMAH)、氫氧化鉀、氫氧化銨、氫氧化苄基三乙基銨(BTEAH)、氫氧化四丁基鏻(TBPH)、氫氧化(2-羥基乙基)三甲基銨(氫氧化膽鹼)、氫氧化(2-羥基乙基)三乙基銨、氫氧化(2-羥基乙基)三丙基銨、氫氧化(1-羥基丙基)三甲基銨、氫氧化乙基三甲基銨、氫氧化二乙基二甲基銨(DEDMAH)、氫氧化三乙基甲基銨、氫氧化正十六烷基三甲基銨、1,1,3,3-四甲基胍(TMG)、碳酸胍、精胺酸、及其組合。預期含括之弱鹼包括,但非限制性,氫氧化銨、一乙醇胺(MEA)、二乙醇胺(DEA)、三乙醇胺(TEA)、伸乙基二胺、半胱胺酸、及其組合。最佳地,該蝕刻劑包含強鹼諸如TMAH、1,1,3,3-四甲基胍、氫氧化(2-羥基乙基)三甲基銨、氫氧化苄基三甲基銨、及其組合。
含括氧化劑以氧化TiNx之Ti3+。此處意圖涵蓋之氧化劑包括,但非限制性,過氧化氫(H2O2)、FeCl3、FeF3、Fe(NO3)3、Sr(NO3)2、CoF3、MnF3、過硫酸氫鉀(2KHSO5.KHSO4.K2SO4)、過碘酸、碘酸、氧化釩(V)、氧化釩(IV、V)、釩酸銨、銨多原子鹽(例如,過側氧基硫酸銨、亞氯酸銨(NH4ClO2)、氯酸銨(NH4ClO3)、碘酸銨(NH4IO3)、硝酸銨(NH4NO3)、過硼酸銨(NH4BO3)、酸式硼酸銨((NH4)2B4O7)、五硼酸銨((NH4)B5O8)、或前述硼酸鹽化合物組合過氧化氫、過氯酸銨(NH4ClO4)、過碘酸銨(NH4IO4)、過硫酸銨((NH4)2S2O8)、次氯酸銨(NH4ClO))、鎢酸銨((NH4)10H2(W2O7))、二鹼基磷酸銨((NH4)2HPO4)組合過氧化氫、單鹼基磷酸銨((NH4)H2PO4)組合過氧化氫、磷酸組合過氧化氫、鈉多原子鹽(例如,過硫酸鈉(Na2S2O8)、次氯酸鈉(NaClO)、過硼酸鈉)、鉀多原子鹽(例如,碘酸鉀(KIO3)、過錳酸鉀(KMnO4)、過硫酸鉀、硝酸(HNO3)、過硫酸鉀(K2S2O8)、次氯酸鉀(KClO))、四甲基銨多原子鹽或四烷基銨多原子鹽(例如,亞氯酸四甲基銨((N(CH3)4)ClO2)、氯酸四甲基銨((N(CH3)4)ClO3)、碘酸四甲基銨((N(CH3)4)IO3)、過硼酸四甲基銨((N(CH3)4)BO3)、過氯酸四甲基銨((N(CH3)4)ClO4)、過碘酸四甲基銨((N(CH3)4)IO4)、過硫酸四甲基銨((N(CH3)4)S2O8)、過側氧基硫酸四丁基銨)、過側氧基硫酸、硝酸鐵(Fe(NO3)3)、尿素過氧化氫((CO(NH2)2)H2O2)、過羧酸類諸如過甲酸(H(CO)OOH)、過乙酸(CH3(CO)OOH)、過丁酸(CH3CH2(CO)OOH)、過苯甲酸、過氧基三氟乙酸(CF3(CO)OOH)、或乙酸、甲酸、三氟乙酸、苯甲酸或其組合過氧化氫之鹽類、1,4-苯醌、甲苯醌、二甲基-1,4-苯醌、四氯苯醌、四氧嘧啶、N-甲基啉N-氧化物、三甲基胺N-氧化物、及其組 合。當該氧化劑為鹽時,其可為水合或可為無水。該氧化劑可於製造商導入該組成物內,於該組成物導入該裝置晶圓之前,或另外可於裝置晶圓亦即於原位導入。較佳地,針對該第一態樣之組成物的該氧化劑包含過氧化氫。較佳地,該氧化劑包含過氧化氫、過氧化氫帶有強鹼(例如TMAH、氫氧化(2-羥基乙基)三甲基銨)、碘酸銨(NH4IO3)、過碘酸銨(NH4IO4)、二鹼基磷酸銨((NH4)2HPO4)、單鹼基磷酸銨((NH4)H2PO4)、或前述一種磷酸鹽組合過氧化氫、過乙酸(CH3(CO)OOH)、過氧基三氟乙酸(CF3(CO)OOH)、過甲酸(H(CO)OOH)、過乙酸(CH3(CO)OOH)、過丁酸(CH3CH2(CO)OOH)、過苯甲酸、過氧基三氟乙酸(CF3(CO)OOH)、或乙酸、甲酸、三氟乙酸組合過氧化氫。當該氧化劑包含碘酸鹽或過碘酸鹽時,較佳地添加碘清除劑至該去除組成物。雖然不欲受理論所限,但相信當碘酸鹽或過碘酸鹽被還原時,碘積聚而提高了銅之蝕刻速率。碘清除劑包括,但非限制性,酮類更佳地具有甲醯基α位之氫的酮類,諸如4-甲基-2-戊酮、2,4-二甲基-3-戊酮、環己酮、5-甲基-3-庚酮、3-戊酮、5-羥基-2-戊酮、2,5-己烷二酮、4-羥基-4-甲基-2-戊酮、丙酮、丁酮、2-甲基-2-丁酮、3,3-二甲基-2-丁酮、4-羥基-2-丁酮、環戊酮、2-戊酮、3-戊酮、1-苯基乙酮、苯乙酮、二苯甲酮、2-己酮、3-己酮、2-庚酮、3-庚酮、4-庚酮、2,6-二甲基-4-庚酮、2-辛酮、3-辛酮、4-辛酮、二環己基甲酮、2,6-二甲基環己酮、2-乙醯基環己酮、2,4-戊二酮、薄荷酮、及其組合。較佳地,碘清除劑包括4-甲基-2-戊酮、2,4-二甲基-3-戊酮、或環己酮。
如前文介紹,該等組成物包括至少一種活化劑,其中該活化劑相信可提高TiN材料之蝕刻速率。活化劑包括,但非限制 性,乙酸鹽類(例如乙酸、乙酸銨、乙酸鈉、乙酸鉀、乙酸四甲基銨及其它乙酸四烷基銨、乙酸鏻)、其它羧酸鹽類(例如丁酸銨、三氟乙酸銨、胺基酸類)、磷酸鹽類(例如磷酸、磷酸氫二銨、磷酸二氫銨、磷酸一氫貳(四甲基銨)、磷酸氫二鈉、磷酸二氫鈉、磷酸氫二鉀、磷酸二氫鉀、磷酸氫二-四烷基銨、磷酸二氫二-四烷基銨、磷酸氫二鏻、磷酸二氫鏻)、膦酸銨、膦酸四烷基銨、膦酸鈉、膦酸鉀、膦酸鏻、及其組合。較佳地,該活化劑包含至少一種磷酸鹽諸如磷酸氫二銨。
第一態樣之組成物可進一步包括至少一種低k介電鈍化劑來減少該等低k介電層之化學攻擊且保護晶圓免受額外氧化。較佳的低k鈍化劑包括,但非限制性,硼酸、硼酸鹽類(例如五硼酸銨、四硼酸鈉、及酸式硼酸銨)、3-羥基-2-萘甲酸、丙二酸、亞胺基二乙酸、銨陽離子諸如氯化烷基三甲基銨或溴化烷基三甲基銨、及氯化癸基三甲基銨、肉鹼、菜鹼及其組合。當存在時,該組成物包括以該組成物之總重為基準,約0.01wt%至約2wt%介電鈍化劑。較佳地,以下方低k材料之總重為基準,低於2wt%之下方介電材料使用此處描述之組成物被蝕刻/去除,更佳地低於1wt%,最佳地低於0.5wt%。
氧化劑安定劑可添加至水性組成物,特別當該氧化劑係在使用點之前的任何時間組合其它成分時。氧化劑安定劑包括,但非限制性,甘胺酸、絲胺酸、脯胺酸、白胺酸、丙胺酸、天冬醯胺酸、天冬胺酸、麩胺酸、纈胺酸、及離胺酸、腈基三乙酸、腈基參(亞甲基膦)酸、亞胺基二乙酸、1-羥基乙烷1,1-二膦酸(etidronic acid)、伸乙基二胺四乙酸(EDTA)、伸乙基二腈基肆(亞甲基膦)酸、 (1,2-伸環己基二腈基)四乙酸(CDTA)、尿酸、四乙二醇二甲醚、二伸乙基三胺五乙酸、二伸乙基三胺伍(亞甲基膦)酸、伸丙基二胺四乙酸、伸乙基二胺二丁二酸、胺基苯磺醯胺、及其組合。較佳地,該氧化劑安定劑包含EDTA、CDTA、胺基苯磺醯胺、或其組合。
第一態樣之組成物可進一步包括至少一種含矽化合物來減低該蝕刻劑來源之活性。於一個實施例中,該至少一種含矽化合物包含烷氧基矽烷。此處涵蓋之烷氧基矽烷具有通式SiR1R2R3R4,於該處R1、R2、R3及R4係彼此相同或相異且係選自於由下列所組成之組群:直鏈C1-C6烷基(例如甲基、乙基、丙基、丁基、戊基、己基)、分支鏈C1-C6烷基、C1-C6烷氧基(例如甲氧基、乙氧基、丙氧基、丁氧基、戊氧基、己氧基)、苯基、及其組合。熟諳技藝人士須瞭解欲被特徵化為烷氧基矽烷,R1、R2、R3或R4中之至少一者須為C1-C6烷氧基。涵蓋之烷氧基矽烷包括甲基三甲氧基矽烷、二甲基二甲氧基矽烷、苯基三甲氧基矽烷、四乙氧基矽烷(TEOS)、N-丙基三甲氧基矽烷、N-丙基三乙氧基矽烷、己基三甲氧基矽烷、己基三乙氧基矽烷、及其組合。烷氧基矽烷替代或除外可使用的其它含矽化合物包括六氟矽酸銨、矽酸鈉、矽酸四甲基銨(TMAS)、及其組合。較佳地,該含矽化合物包含TEOS、TMAS、及矽酸鈉。當存在時,以該組成物之總重為基準,含矽化合物之含量係於約0.001wt%至約2wt%之範圍。
為了確保濕潤,特別當pH為低時尤為如此,可添加界面活性劑至該水性組成物,較佳地為耐氧化的氟化陰離子性界面活性劑。涵蓋於本發明之該等組成物內之陰離子性界面活性劑包括,但非限制性,含氟界面活性劑諸如宗尼爾(ZONYL®)UR及宗尼爾 (ZONYL®)FS-62(杜邦加拿大公司(DuPont Canada Inc.),Missisauga,加拿大安大略省),及氟烷基磺酸銨諸如諾維克(NovecTM)4300(3M)。當所使用的蝕刻劑包含氟陰離子時,意圖使用可用作為界面活性劑及蝕刻劑兩者的長鏈四烷基氟化銨。
該至少一種溶劑可包含水、至少一種水可溶混的有機溶劑、或其組合,其中該至少一種水可溶混的有機溶劑係選自於由下列所組成之組群:甲醇、乙醇、異丙醇、丁醇、戊醇、己醇、2-乙基-1-己醇、庚醇、辛醇、乙二醇、丙二醇、丁二醇、己二醇、碳酸伸丁酯、碳酸伸乙酯、碳酸伸丙酯、二丙二醇、二乙二醇、二乙二醇單甲醚、三乙二醇單甲醚、二乙二醇單乙醚、三乙二醇單乙醚、乙二醇單丙醚、乙二醇單丁醚、二乙二醇單丁醚、三乙二醇單丁醚、乙二醇單己醚、二乙二醇單己醚、乙二醇苯基醚、丙二醇甲基醚、二丙二醇甲基醚(DPGME)、三丙二醇甲基醚(TPGME)、二丙二醇二甲醚、二丙二醇乙基醚、丙二醇正丙基醚、二丙二醇正丙基醚(DPGPE)、三丙二醇正丙基醚、丙二醇正丁基醚、二丙二醇正丁基醚、三丙二醇正丁基醚、丙二醇苯基醚、2,3-二氫十氟戊烷、乙基全氟丁基醚、甲基全氟丁基醚、二甲亞碸(DMSO)、四亞甲碸、4-甲基-2-戊醇、及其組合。較佳地,該至少一種溶劑包含水,最佳地去離子水。當存在時,較佳地該至少一種有機溶劑包含選自於由下列所組成之組群中之至少一者:二醇醚(例如二乙二醇單甲醚、三乙二醇單乙醚、二乙二醇單丁醚)、DMSO、四亞甲碸、及其組合。
於另一個實施例中,本發明之組成物中之任一者可進一步包含氮化鈦及/或光阻蝕刻材料殘餘物,其中該殘餘物係懸浮於及/或溶解於該水性組成物內。
於該第一態樣之該組成物之一實施例中,該組成物包含、其組成為、或其主要組成為至少一種氧化劑、至少一種蝕刻劑、至少一種活化劑、至少一種氧化劑安定劑、及至少一種溶劑,以該組成物之總重為基準,係存在於後述範圍內:
較佳地,該氧化劑包含過氧化氫及該蝕刻劑包含氫氧化四甲基銨(TMAH)。
於該第一態樣之該組成物之另一實施例中,該組成物包含、其組成為、或其主要組成為至少一種氧化劑、至少一種蝕刻劑、至少一種活化劑、至少一種氧化劑安定劑、水、及至少一種有機溶劑,以該組成物之總重為基準,係存在於後述範圍內:
較佳地,該氧化劑包含過氧化氫及該蝕刻劑包含氫氧化(2-羥基乙基)三甲基銨。
於該第一態樣之該組成物之又另一實施例中,該組成物包含、其組成為、或其主要組成為至少一種氧化劑、至少一種蝕刻劑、至少一種活化劑、至少一種氧化劑安定劑、至少一種鈍化劑、及至少一種溶劑,以該組成物之總重為基準,係存在於後述範圍內:
較佳地,該氧化劑包含過氧化氫及該蝕刻劑包含氫氧化四甲基銨(TMAH)。
於一特佳實施例中,該組成物包含、其組成為、或其主要組成為強鹼、活化劑、CDTA、及水。於另一較佳實施例中,該組成物包含、其組成為、或其主要組成為TMAH、活化劑、CDTA、及水。於又另一較佳實施例中,該組成物包含、其組成為、或其主 要組成為氫氧化(2-羥基乙基)三甲基銨、活化劑、CDTA、至少一種有機溶劑、及水。於又復另一較佳實施例中,該組成物包含、其組成為、或其主要組成為TMAH、乙酸鹽活化劑、CDTA、及水。於又另一較佳實施例中,該組成物包含、其組成為、或其主要組成為TMAH、乙酸鹽活化劑、CDTA、酸式硼酸銨、及水。於又另一較佳實施例中,該組成物包含、其組成為、或其主要組成為氫氧化(2-羥基乙基)三甲基銨、磷酸類活化劑、CDTA、至少一種有機溶劑、及水,其中該pH係於約6至約10之範圍。於水更另一較佳實施例中,該組成物包含、其組成為、或其主要組成為強鹼、磷酸氫二銨、CDTA、至少一種有機溶劑、及水,其中該pH係於約6至約10之範圍。各個情況下,該組成物係以氧化劑例如過氧化氫稀釋。
須瞭解常見實務係製造欲於使用前經稀釋的該等組成物之濃縮形式。舉例言之,該組成物可製造成較為濃縮的形式,及隨後於製造商處於使用前、及/或於製造廠使用期間以至少一種溶劑稀釋。稀釋比可於約0.1份稀釋劑:1份組成物濃縮劑至約100份稀釋劑:1份組成物濃縮劑之範圍。進一步須瞭解此處描述之該等組成物包括氧化劑,氧化劑可能隨著時間之經過而變不安定。因此,濃縮劑型可實質上不含氧化劑,該氧化劑可由製造商於使用前及/或於製造廠使用期間導入該濃縮劑或該稀釋組成物內。於該組成物之一個實施例中,該稀釋劑為該至少一種氧化劑,及稀釋劑對濃縮劑之比係於約30:1至約1:1,較佳地約20:1至約5:1之範圍。舉例言之,若該稀釋劑為31%過氧化氫,則稀釋劑對濃縮劑之比可於約5:1至約1:5,較佳地約2:1至約1:2之範圍。
此處描述之該等組成物容易藉單純添加個別成分及 混合成均質條件配方。再者,該等組成物容易調配成單一包括配方或多份式配方,該等配方於使用點或於使用點之前混合,以多份式配方為較佳。該多份式配方之該等個別部分可於工具混合,或於混合區域/區諸如管線內混合器混合,或於該工具上游之一貯槽內混合。預期該多份式配方之各個部分可含有組成分/組成要素之任一項組合,該等成分要素當混合在一起時形成期望的組成物。該等個別組成分之濃度可以該組成物之特定倍數(亦即更稀或更濃)而有寬廣變化,且須瞭解該等組成物可各式各樣地且替代地包含、其組成為、或其主要組成為符合此處揭示之組成分之任一項組合。
據此,第二態樣係有關於一種套組,於一或多個容器內含有適合用以形成此處描述之該等組成物的一或多個成分。該套組之該等容器須為適合儲存與運送該等組成物成分的容器,例如NOWPak®容器(Advanced Technology Materials,Inc.,Danbury,Conn.,USA)。含有組成物之成分的一或多個容器較佳地包括構件,該構件可用以將該等一或多個容器內之該等成分作流體連通以供摻混與配送。舉例言之,參考NOWPak®容器,可施加氣體壓力至該等一或多個容器中之一襯墊外部以使得該襯墊內容物之至少一部分被排放,及因而許可作流體連通以供摻混與配送。另外,氣體壓力可施加至習知可加壓容器的頂上空間,或可使用泵浦允許作流體連通。此外,該系統較佳地包括一配送埠口用以配送該已摻混之組成物至一加工工具。
較佳地使用實質上化學惰性、不含雜質的可撓性及彈性聚合膜材,諸如高密度聚乙烯來製造用於該等一或多個容器之該等襯墊。期望的襯墊材料經加工處理而無需共同擠塑層或障壁層, 且不含可能對欲設置於該襯墊內部之該等成分的純度要求造成負面影響之任何顏料、紫外光抑制劑、或加工助劑。期望的襯墊材料之一列表包含精純(不含添加劑)聚乙烯、精純聚四氟乙烯(PTFE)、聚丙烯、聚胺基甲酸酯、聚亞乙烯基氯、聚乙烯基氯、聚縮醛、聚苯乙烯、聚丙烯腈、聚丁烯等。此等襯墊材料之較佳厚度係於約5密耳(0.005吋)至約30密耳(0.030吋)之範圍,例如20密耳(0.020吋)之厚度。
至於有關套組之容器,下列專利案及專利申請案之揭示內容係爰引於此並以其全文融入本說明書之揭示:美國專利案第7,188,644號名稱「減少超純液體中粒子的生成之裝置及方法(APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS)」;美國專利案第6,698,619號名稱「可回收且可再利用的桶中袋式流體儲存及配送容器系統(RETURNABLE AND REUSABLE,BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM)」;及PCT/US08/63276名稱「材料摻混及分配之系統及方法(SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION)」,申請日2008年5月9日。
於第三態樣中,本發明係有關於使用如此處描述之第一態樣之該組成物從其上具有氮化鈦材料之微電子裝置表面蝕刻該氮化鈦材料之方法。舉例言之,氮化鈦材料可被去除而不會實質上破壞/去除存在於微電子裝置上的絕緣體材料。據此,於一較佳實施例中,描述一種使用如此處描述之第一態樣之該組成物從其上具有氮化鈦及/或光阻蝕刻殘餘物料之微電子裝置表面選擇性地且實 質上地去除該等材料之方法。於另一較佳實施例中,描述一種使用如此處描述之第一態樣之該組成物,相對於絕緣體材料,從其上具有氮化鈦及/或光阻蝕刻殘餘物料之微電子裝置表面選擇性地且實質上地去除該等材料之方法。
於蝕刻應用中,該組成物係以任一種適當方式施用至具有氮化鈦及/或光阻蝕刻殘餘物料於其上的該微電子裝置的表面,例如藉將該組成物噴灑至該裝置表面上,藉浸泡包括氮化鈦及/或光阻蝕刻殘餘物料之該裝置(於靜態體積之或動態體積之該組成物內),藉將該裝置接觸任一種材料例如具有該組成物吸收於其上的墊子或含纖維之吸收性施用器元件,藉將包括氮化鈦及/或光阻蝕刻殘餘物料之該裝置接觸循環流動的組成物,或藉任何其它適當手段、方式或技術,藉此,該組成物係與該氮化鈦及/或光阻蝕刻殘餘物料作去除接觸。該施用可為批次式晶圓設備或單一晶圓設備用於動態清潔或靜態清潔。優異地,由於相對於可能存在於微電子裝置結構上的及暴露於該組成物的其它材料諸如絕緣材料(亦即低k介電質),組成物對氮化鈦及/或光阻蝕刻殘餘物料具有選擇性之故,此處描述之該等組成物以高度有效且具高度選擇性之方式達成該氮化鈦及/或光阻蝕刻殘餘物料之至少部分移除。
當使用第一態樣之該等組成物來從具有氮化鈦及/或光阻蝕刻殘餘物料於其上的該微電子裝置結構去除氮化鈦及/或光阻蝕刻殘餘物料時,該組成物典型地係於約20℃至約100℃,較佳地約30℃至約70℃之範圍的溫度,接觸於單一晶圓工具內之該裝置結構歷經約0.3分鐘至約30分鐘,較佳地約0.5分鐘至約3分鐘之足夠時間。此等接觸時間及接觸溫度為例示說明性,而可採用可有效地 從該裝置結構至少部分地去除氮化鈦及/或光阻蝕刻殘餘物料的任何其它適當時間及溫度條件。
於一個實施例中,於遞送至裝置結構期間,該組成物係於管線內部加熱。藉由於管線內部加熱而非於浴槽本身內部加熱,該組成物之壽命延長。
在達成期望的蝕刻動作之後,該組成物方便從其先前已經被施用其上之該微電子裝置去除,例如藉清洗、洗滌、或其它去除步驟去除,如於此處描述之該等組成物之給定終端使用用途所期望者及有效者。舉例言之,該裝置可以含去離子水之清洗液清洗及/或乾燥(例如離心乾燥、氮氣、蒸氣乾燥等)。
相對於絕緣(亦即低k介電)材料,第一態樣之該等組成物較佳地選擇性地蝕刻氮化鈦材料。於一個實施例中,氮化鈦之蝕刻速率為高(500埃/分鐘以上),較佳地於50℃約350埃/分鐘以上,及於60℃約500埃/分鐘以上,而低k介電質之蝕刻速率為低(約0.01至約10埃/分鐘,較佳地約0.01至約5埃/分鐘)。
本發明之第四態樣係有關於根據此處描述之方法製造的改良微電子裝置及含有此等微電子裝置之產品。
第五態樣係有關於製造包含微電子裝置之物件的方法,該方法包含該微電子裝置接觸一種組成物歷經足夠時間來從具有氮化鈦及/或光阻蝕刻殘餘物料於其上的該微電子裝置表面上去除氮化鈦及/或光阻蝕刻殘餘物料,及將該微電子裝置結合入該物件內部,其中該組成物包含、其組成為、或其主要組成為至少一種氧化劑、至少一種蝕刻劑、至少一種活化劑、至少一種氧化劑安定劑、及至少一種溶劑。於又另一個替代實施例中,該組成物包含、其組 成為、或其主要組成為至少一種氧化劑、至少一種蝕刻劑、至少一種活化劑、至少一種氧化劑安定劑、至少一種電介質鈍化劑、及至少一種溶劑。該組成物可進一步包含、其組成為、或其主要組成為氮化鈦材料。
本發明之第六態樣係有關於一種製造物件包含、其組成為、或其主要組成為微電子裝置基板、該基板上之氮化鈦層、及此處描述之組成物。
本發明之特徵及優點將藉後文討論之具體實施例更完整地顯示。
[實施例1]
依據該第一態樣之濃縮劑係如下表1描述製備。各個配方係以31% H2O2:濃縮劑稀釋9:1。TiN測試條係浸沒於55℃及60℃之各個配方內及重複三次測定蝕刻速率,如表2顯示。
於各個情況下,超低k介電材料之薄膜損耗當介電常數為k=2.5時係小於8埃,而當介電常數為k=2.3時係小於5埃,兩者皆係於60℃,處理時間2分鐘。
[實施例2]
依據該第一態樣之濃縮劑係如下表3描述製備。各個配方係以31% H2O2:濃縮劑稀釋1:1。TiN及BDIIx測試條係於表4中指示之溫度浸沒於各個配方內及重複三次測定蝕刻速率,如表4顯示。
可知低於某個含量的氫氧化膽鹼有效地去除TiN而不會去除任何低k介電材料。
雖然已經參考本發明之特定態樣、特徵及具體實施例於此處描述本發明,但須瞭解本發明之用途並非受此所限,反而延伸至及涵蓋無數其它變化、修正及替代實施例,如熟諳本發明領域技藝人士根據此處揭示將顯然易知。相對應地,如後文請求專利之本發明意圖被廣義地解讀為及詮釋為包括落入於其精髓及範圍內之全部此等變化、修正及替代實施例。

Claims (10)

  1. 一種組成物,該組成物包含至少一種氧化劑、蝕刻劑、約0.01wt%至約10wt%之至少一種活化劑、及至少一種溶劑,其中,該至少一種活化劑包含選自於由下列所組成之組群中之一者:磷酸、磷酸氫二銨、磷酸二氫銨、磷酸一氫貳(四甲基銨)、磷酸氫二鈉、磷酸二氫鈉、磷酸氫二鉀、磷酸二氫鉀、磷酸氫二-四烷基銨、磷酸二氫二-四烷基銨、磷酸氫二鏻、磷酸二氫鏻、膦酸銨、膦酸鈉、膦酸鉀、膦酸鏻、及其組合,其中,當與微電子裝置之表面接觸時,該組合物從微電子裝置表面選擇性地去除氮化鈦及/或光阻材料而不移除低-k介電材料。
  2. 如申請專利範圍第1項之組成物,其中,該氧化劑包含選自於由下列所組成之組群中之至少一者:過氧化氫(H2O2)、FeCl3、FeF3、Fe(NO3)3、Sr(NO3)2、CoF3、MnF3、過硫酸氫鉀(2KHSO5.KHSO4.K2SO4)、過碘酸、碘酸、氧化釩(V)、氧化釩(IV、V)、釩酸銨、過側氧基硫酸銨、亞氯酸銨(NH4ClO2)、氯酸銨(NH4ClO3)、碘酸銨(NH4IO3)、硝酸銨(NH4NO3)、過硼酸銨(NH4BO3)、酸式硼酸銨((NH4)2B4O7)、五硼酸銨((NH4)B5O8)、過氯酸銨(NH4ClO4)、過碘酸銨(NH4IO4)、過硫酸銨((NH4)2S2O8)、次氯酸銨(NH4ClO)、鎢酸銨((NH4)10H2(W2O7))、二鹼基磷酸銨((NH4)2HPO4)組合過氧化氫、單鹼基磷酸銨((NH4)H2PO4)組合過氧化氫、磷酸組合過氧化氫、過硫酸鈉(Na2S2O8)、次氯酸鈉(NaClO)、過硼酸鈉、碘酸鉀(KIO3)、過錳酸鉀(KMnO4)、過硫酸鉀、硝酸(HNO3)、過硫酸鉀(K2S2O8)、次氯酸鉀(KClO)、亞氯酸四甲基銨((N(CH3)4)ClO2)、氯酸四甲基銨((N(CH3)4)ClO3)、碘酸四甲基銨((N(CH3)4)IO3)、過硼酸四甲基銨((N(CH3)4)BO3)、過氯酸四甲基銨((N(CH3)4)ClO4)、過碘酸四甲基銨((N(CH3)4)IO4)、過硫酸四甲基銨((N(CH3)4)S2O8)、過側氧基硫酸四丁基銨、過側氧基硫酸、硝酸鐵(Fe(NO3)3)、尿素過氧化氫((CO(NH2)2)H2O2)、過甲酸(H(CO)OOH)、過乙酸(CH3(CO)OOH)、過丁酸(CH3CH2(CO)OOH)、過苯甲酸、過氧基三氟乙酸(CF3(CO)OOH)、乙酸、甲酸、三氟乙酸、苯甲酸、1,4-苯醌、甲苯醌、二甲基-1,4-苯醌、四氯苯醌、四氧嘧啶、N-甲基啉N-氧化物、三甲基胺N-氧化物、及其組合。
  3. 如申請專利範圍第1或2項之組成物,其中,該至少一種溶劑包含選自於由下列所組成之組群中之一者:水、甲醇、乙醇、異丙醇、丁醇、戊醇、己醇、2-乙基-1-己醇、庚醇、辛醇、乙二醇、丙二醇、丁二醇、己二醇、碳酸伸丁酯、碳酸伸乙酯、碳酸伸丙酯、碳酸氫膽鹼、二丙二醇、二甲亞碸、四亞甲碸、四氫糠醇(THFA)、1,2-丁烷二醇、1,4-丁烷二醇、四甲基脲、二乙二醇單甲醚、三乙二醇單甲醚、二乙二醇單乙醚、三乙二醇單乙醚、乙二醇單丙醚、乙二醇單丁醚、二乙二醇單丁醚、三乙二醇單丁醚、乙二醇單己醚、二乙二醇單己醚、乙二醇苯基醚、丙二醇甲基醚、二丙二醇甲基醚(DPGME)、三丙二醇甲基醚(TPGME)、二丙二醇二甲醚、二丙二醇乙基醚、丙二醇正丙基醚、二丙二醇正丙基醚(DPGPE)、三丙二醇正丙基醚、丙二醇正丁基醚、二丙二醇正丁基醚、三丙二醇正丁基醚、丙二醇苯基醚、2,3-二氫十氟戊烷、乙基全氟丁基醚、甲基全氟丁基醚、二甲亞碸、四亞甲碸、4-甲基-2-戊醇、及其組合。
  4. 如申請專利範圍第1或2項之組成物,其中,該至少一種溶劑包含水。
  5. 如申請專利範圍第1或2項之組成物,其中,該至少一種溶劑包含水及選自於由下列所組成之組群中之至少一種有機溶劑:甲醇、乙醇、異丙醇、丁醇、戊醇、己醇、2-乙基-1-己醇、庚醇、辛醇、乙二醇、丙二醇、丁二醇、己二醇、碳酸伸丁酯、碳酸伸乙酯、碳酸伸丙酯、碳酸氫膽鹼、二丙二醇、二甲亞碸、四亞甲碸、四氫糠醇(THFA)、1,2-丁烷二醇、1,4-丁烷二醇、四甲基脲、二乙二醇單甲醚、三乙二醇單甲醚、二乙二醇單乙醚、三乙二醇單乙醚、乙二醇單丙醚、乙二醇單丁醚、二乙二醇單丁醚、三乙二醇單丁醚、乙二醇單己醚、二乙二醇單己醚、乙二醇苯基醚、丙二醇甲基醚、二丙二醇甲基醚(DPGME)、三丙二醇甲基醚(TPGME)、二丙二醇二甲醚、二丙二醇乙基醚、丙二醇正丙基醚、二丙二醇正丙基醚(DPGPE)、三丙二醇正丙基醚、丙二醇正丁基醚、二丙二醇正丁基醚、三丙二醇正丁基醚、丙二醇苯基醚、2,3-二氫十氟戊烷、乙基全氟丁基醚、甲基全氟丁基醚、二甲亞碸、四亞甲碸、4-甲基-2-戊醇、及其組合。
  6. 如申請專利範圍第1項之組成物,其中,該蝕刻劑包含選自於由下列所組成之組群中之一者:H2ZrF6、H2TiF6、HPF6、HF、氟化銨、四氟硼酸、六氟矽酸、四氟硼酸四丁基銨(TBA-BF4)、六氟矽酸銨、六氟鈦酸銨、氫氧化四甲基銨(TMAH)、氫氧化四乙基銨(TEAH)、氫氧化四丙基銨(TPAH)、氫氧化四丁基銨(TBAH)、氫氧化苄基三甲基銨(BTMAH)、氫氧化鉀、氫氧化銨、氫氧化苄基三乙基銨(BTEAH)、氫氧化四丁基鏻(TBPH)、氫氧化(2-羥基乙基)三甲基銨、氫氧化(2-羥基乙基)三乙基銨、氫氧化(2-羥基乙基)三丙基銨、氫氧化(1-羥基丙基)三甲基銨、氫氧化乙基三甲基銨、氫氧化二乙基二甲基銨(DEDMAH)、1,1,3,3-四甲基胍(TMG)、碳酸胍、精胺酸、氫氧化銨、一乙醇胺(MEA)、二乙醇胺(DEA)、三乙醇胺(TEA)、伸乙基二胺、半胱胺酸、氟化四烷基銨(NR1R2R3R4F),其中R1、R2、R3、R4可彼此相同或相異且係選自於由直鏈或分支鏈C1-C6烷基所組成之組群,及其組合。
  7. 如申請專利範圍第1或2項之組成物,其進一步包含選自於由下列所組成之組群中之至少一種低k鈍化劑:硼酸、五硼酸銨、四硼酸鈉、酸式硼酸銨、3-羥基-2-萘甲酸、丙二酸、亞胺基二乙酸、氯化烷基三甲基銨、溴化烷基三甲基銨、氯化癸基三甲基銨、肉鹼、菜鹼及其組合。
  8. 如申請專利範圍第1或2項之組成物,其進一步包含選自於由下列所組成之組群中之至少一種氧化劑安定劑:甘胺酸、絲胺酸、脯胺酸、白胺酸、丙胺酸、天冬醯胺酸、天冬胺酸、麩胺酸、纈胺酸、及離胺酸、腈基三乙酸、腈基參(亞甲基膦)酸、亞胺基二乙酸、1-羥基乙烷1,1-二膦酸(etidronic acid)、伸乙基二胺四乙酸(EDTA)、伸乙基二腈基肆(亞甲基膦)酸、(1,2-伸環己基二腈基)四乙酸(CDTA)、尿酸、四乙二醇二甲醚、二伸乙基三胺五乙酸、二伸乙基三胺伍(亞甲基膦)酸、伸丙基二胺四乙酸、伸乙基二胺二丁二酸、胺基苯磺醯胺、及其組合。
  9. 如申請專利範圍第1或2項之組成物,其中,該組成物之pH係於約6至約13之範圍內。
  10. 一種從其上具有氮化鈦材料之微電子裝置表面蝕刻該材料之方法,該方法包含讓該表面與一組成物接觸,該組成物包含至少一種氧化劑、蝕刻劑、約0.01wt%至約10wt%之至少一種活化劑、及至少一種溶劑,其中,該至少一種活化劑包含選自於由下列所組成之組群中之一者:乙酸、乙酸銨、乙酸鈉、乙酸鉀、乙酸鏻、丁酸銨、三氟乙酸銨、胺基酸類、磷酸、磷酸氫二銨、磷酸二氫銨、磷酸一氫貳(四甲基銨)、磷酸氫二鈉、磷酸二氫鈉、磷酸氫二鉀、磷酸二氫鉀、磷酸氫二-四烷基銨、磷酸二氫二-四烷基銨、磷酸氫二鏻、磷酸二氫鏻、膦酸銨、膦酸鈉、膦酸鉀、膦酸鏻、及其組合,及其中該組合物從微電子裝置表面選擇性地去除氮化鈦及/或光阻材料而不移除低-k介電材料。
TW103130065A 2013-08-30 2014-08-29 選擇性蝕刻氮化鈦之組成物及方法 TWI638033B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361872297P 2013-08-30 2013-08-30
US61/872,297 2013-08-30

Publications (2)

Publication Number Publication Date
TW201516129A TW201516129A (zh) 2015-05-01
TWI638033B true TWI638033B (zh) 2018-10-11

Family

ID=52587332

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103130065A TWI638033B (zh) 2013-08-30 2014-08-29 選擇性蝕刻氮化鈦之組成物及方法

Country Status (7)

Country Link
US (1) US10428271B2 (zh)
EP (1) EP3039098B1 (zh)
KR (1) KR102340516B1 (zh)
CN (1) CN105492576B (zh)
SG (2) SG11201601158VA (zh)
TW (1) TWI638033B (zh)
WO (1) WO2015031620A1 (zh)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG11201601158VA (en) 2013-08-30 2016-03-30 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
TWI654340B (zh) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
WO2015095726A1 (en) 2013-12-20 2015-06-25 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
WO2015103146A1 (en) 2013-12-31 2015-07-09 Advanced Technology Materials, Inc. Formulations to selectively etch silicon and germanium
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
US9976111B2 (en) 2015-05-01 2018-05-22 Versum Materials Us, Llc TiN hard mask and etch residual removal
KR102415954B1 (ko) * 2016-01-12 2022-07-01 동우 화인켐 주식회사 질화 티탄(TiN) 막의 식각액 조성물 및 그를 이용한 금속배선의 형성 방법
US10865484B2 (en) 2016-03-29 2020-12-15 Technic France Solution and method for etching titanium based materials
JP6860276B2 (ja) * 2016-09-09 2021-04-14 花王株式会社 樹脂マスク剥離用洗浄剤組成物
KR20180060489A (ko) 2016-11-29 2018-06-07 삼성전자주식회사 식각용 조성물 및 이를 이용한 반도체 장치 제조 방법
US10870799B2 (en) * 2017-08-25 2020-12-22 Versum Materials Us, Llc Etching solution for selectively removing tantalum nitride over titanium nitride during manufacture of a semiconductor device
US20190103282A1 (en) * 2017-09-29 2019-04-04 Versum Materials Us, Llc Etching Solution for Simultaneously Removing Silicon and Silicon-Germanium Alloy From a Silicon-Germanium/Silicon Stack During Manufacture of a Semiconductor Device
CN111356747A (zh) * 2017-11-22 2020-06-30 巴斯夫欧洲公司 化学机械抛光组合物
CN108085683A (zh) * 2018-01-22 2018-05-29 深圳市华星光电技术有限公司 一种蚀刻液组合物
US10934484B2 (en) * 2018-03-09 2021-03-02 Versum Materials Us, Llc Etching solution for selectively removing silicon-germanium alloy from a silicon-germanium/ germanium stack during manufacture of a semiconductor device
US11499236B2 (en) * 2018-03-16 2022-11-15 Versum Materials Us, Llc Etching solution for tungsten word line recess
US10529572B2 (en) 2018-04-30 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
CN108754515A (zh) * 2018-05-16 2018-11-06 深圳仕上电子科技有限公司 利用氨水双氧水溶液剥离钛和氮化钛膜的方法
TWI791535B (zh) * 2018-06-11 2023-02-11 德商巴斯夫歐洲公司 可移除氮化鈦的蝕刻後殘渣清理溶液
US11017995B2 (en) * 2018-07-26 2021-05-25 Versum Materials Us, Llc Composition for TiN hard mask removal and etch residue cleaning
CN112752867B (zh) * 2018-09-12 2024-05-24 富士胶片电子材料美国有限公司 蚀刻组合物
US11946148B2 (en) 2019-01-11 2024-04-02 Versum Materials Us, Llc Hafnium oxide corrosion inhibitor
US11955341B2 (en) * 2019-03-11 2024-04-09 Versum Materials Us, Llc Etching solution and method for selectively removing silicon nitride during manufacture of a semiconductor device
JP2022547312A (ja) 2019-09-10 2022-11-11 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド エッチング組成物
CN113122267A (zh) * 2019-12-31 2021-07-16 安集微电子科技(上海)股份有限公司 一种促进剂组合物在去除铜大马士革工艺中氮化钛的应用
EP4136273A4 (en) * 2020-04-14 2024-05-01 Entegris, Inc. METHOD AND COMPOSITION FOR ETCHING MOLYBDENUM
EP4189728A1 (en) 2020-07-30 2023-06-07 Entegris, Inc. Compositions and methods for selectively etching silicon nitride films
KR20220033141A (ko) * 2020-09-09 2022-03-16 동우 화인켐 주식회사 실리콘 식각액 조성물, 이를 이용한 패턴 형성 방법 및 어레이 기판의 제조 방법, 및 이에 따라 제조된 어레이 기판

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201333171A (zh) * 2011-12-28 2013-08-16 Advanced Tech Materials 選擇性蝕刻氮化鈦之組成物及方法

Family Cites Families (146)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
US5702075A (en) 1996-01-31 1997-12-30 David Lehrman Automatically collapsible support for an electrical cord for use with an ironing board
US7534752B2 (en) 1996-07-03 2009-05-19 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US6323168B1 (en) 1996-07-03 2001-11-27 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6224785B1 (en) 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US6755989B2 (en) 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US5993685A (en) 1997-04-02 1999-11-30 Advanced Technology Materials Planarization composition for removing metal films
AU7147798A (en) 1997-04-23 1998-11-13 Advanced Chemical Systems International, Inc. Planarization compositions for cmp of interlayer dielectrics
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US5976928A (en) 1997-11-20 1999-11-02 Advanced Technology Materials, Inc. Chemical mechanical polishing of FeRAM capacitors
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
JP4226216B2 (ja) 1998-05-18 2009-02-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 半導体基板用の剥離用組成物
US6875733B1 (en) 1998-10-14 2005-04-05 Advanced Technology Materials, Inc. Ammonium borate containing compositions for stripping residues from semiconductor substrates
EP1124912B1 (en) 1998-10-23 2010-07-21 FujiFilm Electronic Materials USA, Inc. A chemical mechanical polishing slurry system having an activator solution
US6395194B1 (en) 1998-12-18 2002-05-28 Intersurface Dynamics Inc. Chemical mechanical polishing compositions, and process for the CMP removal of iridium thin using same
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6492308B1 (en) 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6723691B2 (en) 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6409781B1 (en) 2000-05-01 2002-06-25 Advanced Technology Materials, Inc. Polishing slurries for copper and associated materials
KR100822236B1 (ko) * 2000-11-30 2008-04-16 토소가부시키가이샤 레지스트 박리제
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6627587B2 (en) 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US7029373B2 (en) 2001-08-14 2006-04-18 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6800218B2 (en) 2001-08-23 2004-10-05 Advanced Technology Materials, Inc. Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same
US6802983B2 (en) 2001-09-17 2004-10-12 Advanced Technology Materials, Inc. Preparation of high performance silica slurry using a centrifuge
US7557073B2 (en) 2001-12-31 2009-07-07 Advanced Technology Materials, Inc. Non-fluoride containing supercritical fluid composition for removal of ion-implant photoresist
US7030168B2 (en) 2001-12-31 2006-04-18 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
US7119418B2 (en) 2001-12-31 2006-10-10 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
US7326673B2 (en) 2001-12-31 2008-02-05 Advanced Technology Materials, Inc. Treatment of semiconductor substrates using long-chain organothiols or long-chain acetates
US20030148624A1 (en) * 2002-01-31 2003-08-07 Kazuto Ikemoto Method for removing resists
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US7188644B2 (en) 2002-05-03 2007-03-13 Advanced Technology Materials, Inc. Apparatus and method for minimizing the generation of particles in ultrapure liquids
US6698619B2 (en) 2002-05-03 2004-03-02 Advanced Technology Materials, Inc. Returnable and reusable, bag-in-drum fluid storage and dispensing container system
US20040050406A1 (en) * 2002-07-17 2004-03-18 Akshey Sehgal Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US7223352B2 (en) 2002-10-31 2007-05-29 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US7485611B2 (en) 2002-10-31 2009-02-03 Advanced Technology Materials, Inc. Supercritical fluid-based cleaning compositions and methods
US7011716B2 (en) 2003-04-29 2006-03-14 Advanced Technology Materials, Inc. Compositions and methods for drying patterned wafers during manufacture of integrated circuitry products
US20060019850A1 (en) 2002-10-31 2006-01-26 Korzenski Michael B Removal of particle contamination on a patterned silicon/silicon dioxide using dense fluid/chemical formulations
US6943139B2 (en) 2002-10-31 2005-09-13 Advanced Technology Materials, Inc. Removal of particle contamination on patterned silicon/silicon dioxide using supercritical carbon dioxide/chemical formulations
US6989358B2 (en) 2002-10-31 2006-01-24 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for removal of photoresists
US7300601B2 (en) 2002-12-10 2007-11-27 Advanced Technology Materials, Inc. Passivative chemical mechanical polishing composition for copper film planarization
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
US6735978B1 (en) 2003-02-11 2004-05-18 Advanced Technology Materials, Inc. Treatment of supercritical fluid utilized in semiconductor manufacturing applications
US7736405B2 (en) 2003-05-12 2010-06-15 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for copper and associated materials and method of using same
CN101371339A (zh) 2003-05-12 2009-02-18 高级技术材料公司 用于步骤ⅱ的铜衬里和其他相关材料的化学机械抛光组合物及其使用方法
US7119052B2 (en) 2003-06-24 2006-10-10 Advanced Technology Materials, Inc. Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
US7335239B2 (en) 2003-11-17 2008-02-26 Advanced Technology Materials, Inc. Chemical mechanical planarization pad
US20050118832A1 (en) 2003-12-01 2005-06-02 Korzenski Michael B. Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
KR20060115896A (ko) 2003-12-02 2006-11-10 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 레지스트, barc 및 갭 필 재료 스트리핑 케미칼 및방법
US20050145311A1 (en) 2003-12-30 2005-07-07 Walker Elizabeth L. Method for monitoring surface treatment of copper containing devices
CA2555665C (en) * 2004-02-11 2012-10-02 Mallinckrodt Baker Inc. Microelectronic cleaning composition containing halogen oxygen acids, salts and derivatives thereof
US7553803B2 (en) 2004-03-01 2009-06-30 Advanced Technology Materials, Inc. Enhancement of silicon-containing particulate material removal using supercritical fluid-based compositions
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US20050227482A1 (en) 2004-03-24 2005-10-13 Korzenski Michael B Composition useful for removal of bottom anti-reflection coatings from patterned ion-implanted photoresist wafers
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060148666A1 (en) 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7923423B2 (en) 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
US7365045B2 (en) 2005-03-30 2008-04-29 Advanced Tehnology Materials, Inc. Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
WO2006110645A2 (en) 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
EP1879704A2 (en) 2005-04-15 2008-01-23 Advanced Technology Materials, Inc. Formulations for cleaning ion-implanted photoresist layers from microelectronic devices
KR20070121057A (ko) 2005-04-15 2007-12-26 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 초임계 유체 제거 또는 증착 공정을 위한 방법 및 장치
US20070251551A1 (en) 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
WO2006127885A1 (en) 2005-05-26 2006-11-30 Advanced Technology Materials, Inc. Copper passivating post-chemical mechanical polishing cleaning composition and method of use
WO2006133249A2 (en) 2005-06-06 2006-12-14 Advanced Technology Materials, Inc. Integrated chemical mechanical polishing composition and process for single platen processing
TWI622639B (zh) 2005-06-07 2018-05-01 恩特葛瑞斯股份有限公司 金屬及介電相容犠牲抗反射塗層清洗及移除組成物
KR20080015027A (ko) 2005-06-13 2008-02-15 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 규화물 형성 후 금속 또는 금속 합금의 선택적인제거를 위한 조성물 및 방법
KR20080023346A (ko) * 2005-06-16 2008-03-13 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 경화된 포토레지스트, 에칭 후 잔류물 및/또는 바닥 반사방지 코팅 층의 제거를 위한 고밀도 유체 조성물
TW200714696A (en) 2005-08-05 2007-04-16 Advanced Tech Materials High throughput chemical mechanical polishing composition for metal film planarization
WO2007027522A2 (en) 2005-08-29 2007-03-08 Advanced Technology Materials, Inc. Composition and method for removing thick film photoresist
KR101444468B1 (ko) 2005-10-05 2014-10-30 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
EP1949424A2 (en) 2005-10-05 2008-07-30 Advanced Technology Materials, Inc. Composition and method for selectively etching gate spacer oxide material
KR20080059442A (ko) 2005-10-13 2008-06-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 상용성 포토레지스트 및/또는 희생 반사방지 코팅제거 조성물
US20090301996A1 (en) 2005-11-08 2009-12-10 Advanced Technology Materials, Inc. Formulations for removing cooper-containing post-etch residue from microelectronic devices
WO2007111694A2 (en) 2005-11-09 2007-10-04 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
TW200734448A (en) 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
WO2008036823A2 (en) 2006-09-21 2008-03-27 Advanced Technology Materials, Inc. Uric acid additive for cleaning formulations
US20080076688A1 (en) 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
EP2082024A4 (en) 2006-09-25 2010-11-17 Advanced Tech Materials COMPOSITIONS AND METHODS FOR REMOVING A PHOTORESISTANT AGENT FOR RECYCLING A SILICON GALETTE
US20080125342A1 (en) 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
TWI509690B (zh) 2006-12-21 2015-11-21 Entegris Inc 選擇性移除氮化矽之組合物及方法
TWI611047B (zh) 2006-12-21 2018-01-11 恩特葛瑞斯股份有限公司 用以移除蝕刻後殘餘物之液體清洗劑
TWI441905B (zh) 2006-12-28 2014-06-21 Kao Corp 研磨液組合物
US20100087065A1 (en) 2007-01-31 2010-04-08 Advanced Technology Materials, Inc. Stabilization of polymer-silica dispersions for chemical mechanical polishing slurry applications
TWI516573B (zh) 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
US20100112728A1 (en) 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
TW200916183A (en) 2007-05-09 2009-04-16 Advanced Tech Materials Systems and methods for material blending and distribution
TW200918664A (en) 2007-06-13 2009-05-01 Advanced Tech Materials Wafer reclamation compositions and methods
TW200916571A (en) 2007-08-02 2009-04-16 Advanced Tech Materials Non-fluoride containing composition for the removal of residue from a microelectronic device
KR20100056537A (ko) 2007-08-20 2010-05-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 이온-주입된 포토레지스트를 제거하기 위한 조성물 및 방법
KR20100101105A (ko) 2007-11-14 2010-09-16 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 가용성 나노결정의 무-용매 합성
TW200934865A (en) 2007-11-30 2009-08-16 Advanced Tech Materials Formulations for cleaning memory device structures
KR20100123757A (ko) 2008-03-07 2010-11-24 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 비-선택적 산화물 에칭용 습윤 세정 조성물 및 사용 방법
US20090253072A1 (en) 2008-04-01 2009-10-08 Petruska Melissa A Nanoparticle reversible contrast enhancement material and method
EP2288965A4 (en) 2008-05-01 2011-08-10 Advanced Tech Materials LOW PH MIXTURES FOR REMOVAL OF HIGH DENSITY IMPLANTED RESERVE
CN102216854A (zh) 2008-08-04 2011-10-12 高级技术材料公司 环境友好型聚合物剥离组合物
CN102149851A (zh) * 2008-09-09 2011-08-10 昭和电工株式会社 钛系金属、钨系金属、钛钨系金属或它们的氮化物的蚀刻液
EP2342738A4 (en) 2008-10-02 2013-04-17 Advanced Tech Materials USE OF TENSID / DETOINT MIXTURES FOR INCREASED METAL LOADING AND SURFACE PASSIVATION OF SILICON SUBSTRATES
CN102197124B (zh) 2008-10-21 2013-12-18 高级技术材料公司 铜清洁及保护调配物
EP2391700A4 (en) 2009-01-28 2016-08-31 Entegris Inc IN SITU CLEANING FORMULATIONS OF LITHOGRAPHIC APPARATUS
WO2010086745A1 (en) 2009-02-02 2010-08-05 Atmi Taiwan Co., Ltd. Method of etching lanthanum-containing oxide layers
WO2010091045A2 (en) 2009-02-05 2010-08-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of polymers and other organic material from a surface
US8754021B2 (en) 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
US8367555B2 (en) 2009-12-11 2013-02-05 International Business Machines Corporation Removal of masking material
TWI583786B (zh) 2010-01-29 2017-05-21 恩特葛瑞斯股份有限公司 供附有金屬佈線之半導體用清洗劑
JP5858597B2 (ja) 2010-01-29 2016-02-10 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド タングステン配線半導体用洗浄剤
KR101749086B1 (ko) 2010-04-15 2017-06-21 엔테그리스, 아이엔씨. 폐 인쇄 회로판의 재순환 방법
JP2012021151A (ja) 2010-06-16 2012-02-02 Sanyo Chem Ind Ltd 銅配線半導体用洗浄剤
JP2013533631A (ja) 2010-07-16 2013-08-22 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド エッチング後残渣を除去するための水性洗浄剤
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
TW201716588A (zh) 2010-08-20 2017-05-16 恩特葛瑞斯股份有限公司 從電子廢棄物再生貴金屬和卑金屬之永續製程
SG187959A1 (en) 2010-08-27 2013-03-28 Advanced Tech Materials Method for preventing the collapse of high aspect ratio structures during drying
SG10201508015RA (en) 2010-10-06 2015-10-29 Entegris Inc Composition and process for selectively etching metal nitrides
US9416338B2 (en) 2010-10-13 2016-08-16 Advanced Technology Materials, Inc. Composition for and method of suppressing titanium nitride corrosion
WO2012097143A2 (en) 2011-01-13 2012-07-19 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium- containing solutions
JP2012186470A (ja) 2011-02-18 2012-09-27 Sanyo Chem Ind Ltd 銅配線半導体用洗浄剤
WO2012154498A2 (en) 2011-05-06 2012-11-15 Advanced Technology Materials, Inc. Removal of metal impurities from silicon surfaces for solar cell and semiconductor applications
JP2012251026A (ja) 2011-05-31 2012-12-20 Sanyo Chem Ind Ltd 半導体用洗浄剤
WO2012174518A2 (en) 2011-06-16 2012-12-20 Advanced Technology Materials, Inc. Compositions and methods for selectively etching silicon nitride
US9972830B2 (en) 2011-06-21 2018-05-15 Warner Babcock Institute For Green Chemistry, Llc Method for the recovery of lithium cobalt oxide from lithium ion batteries
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
CN103958640B (zh) 2011-10-21 2016-05-18 安格斯公司 无胺cmp后组合物及其使用方法
US8618036B2 (en) 2011-11-14 2013-12-31 International Business Machines Corporation Aqueous cerium-containing solution having an extended bath lifetime for removing mask material
CN106914470A (zh) 2011-12-15 2017-07-04 恩特格里斯公司 用于在废弃的电气和电子设备的循环利用期间剥离焊料金属的装置和方法
WO2013123317A1 (en) 2012-02-15 2013-08-22 Advanced Technology Materials, Inc. Post-cmp removal using compositions and method of use
WO2013138278A1 (en) 2012-03-12 2013-09-19 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
CN104488068B (zh) 2012-03-12 2019-02-12 恩特格里斯公司 选择性去除灰化旋涂玻璃的方法
SG11201405737VA (en) 2012-03-18 2014-10-30 Entegris Inc Post-cmp formulation having improved barrier layer compatibility and cleaning performance
CA2869431A1 (en) 2012-04-06 2013-10-10 Entegris, Inc. Removal of lead from solid materials
US20130295712A1 (en) 2012-05-03 2013-11-07 Advanced Technology Materials, Inc. Methods of texturing surfaces for controlled reflection
TW201406931A (zh) 2012-05-11 2014-02-16 Advanced Tech Materials 用於矽化物製造期間濕蝕刻NiPt之配方
KR20150013830A (ko) 2012-05-18 2015-02-05 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 유기 잔류물 제거 개선을 위한 낮은 구리 에칭 속도를 가진 수성 세정 용액
TW201406932A (zh) 2012-05-18 2014-02-16 Advanced Tech Materials 用於自包含氮化鈦之表面脫除光阻劑之組成物及方法
WO2014089196A1 (en) 2012-12-05 2014-06-12 Advanced Technology Materials, Inc. Compositions for cleaning iii-v semiconductor materials and methods of using same
EP2964725B1 (en) 2013-03-04 2021-06-23 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US9520617B2 (en) 2013-03-14 2016-12-13 Advanced Technology Materials, Inc. Sulfolane mixtures as ambient aprotic polar solvents
TW201500542A (zh) 2013-04-22 2015-01-01 Advanced Tech Materials 銅清洗及保護配方
US20160122696A1 (en) 2013-05-17 2016-05-05 Advanced Technology Materials, Inc. Compositions and methods for removing ceria particles from a surface
KR102338550B1 (ko) 2013-06-06 2021-12-14 엔테그리스, 아이엔씨. 질화 티타늄의 선택적인 에칭을 위한 조성물 및 방법
EP3027709A4 (en) 2013-07-31 2017-03-29 Entegris, Inc. AQUEOUS FORMULATIONS FOR REMOVING METAL HARD MASK AND POST-ETCH RESIDUE WITH Cu/W COMPATIBILITY
SG11201601158VA (en) 2013-08-30 2016-03-30 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201333171A (zh) * 2011-12-28 2013-08-16 Advanced Tech Materials 選擇性蝕刻氮化鈦之組成物及方法

Also Published As

Publication number Publication date
CN105492576B (zh) 2019-01-04
TW201516129A (zh) 2015-05-01
EP3039098A1 (en) 2016-07-06
SG10201801575YA (en) 2018-03-28
EP3039098B1 (en) 2020-09-30
SG11201601158VA (en) 2016-03-30
WO2015031620A1 (en) 2015-03-05
CN105492576A (zh) 2016-04-13
KR102340516B1 (ko) 2021-12-21
KR20160048909A (ko) 2016-05-04
EP3039098A4 (en) 2017-04-19
US20160200975A1 (en) 2016-07-14
US10428271B2 (en) 2019-10-01

Similar Documents

Publication Publication Date Title
TWI638033B (zh) 選擇性蝕刻氮化鈦之組成物及方法
KR102266832B1 (ko) TiN 하드 마스크 및 에치 잔류물 제거
JP6723152B2 (ja) 窒化チタンを選択的にエッチングするための組成物及び方法
KR102338526B1 (ko) Cu/W 호환성을 갖는, 금속 하드 마스크 및 에칭-후 잔여물을 제거하기 위한 수성 제형
KR102102792B1 (ko) 티타늄 나이트라이드의 선택적인 에칭을 위한 조성물 및 방법
JP6363116B2 (ja) 窒化チタンを選択的にエッチングするための組成物および方法
TW202014512A (zh) 用於TiN硬遮罩的移除及蝕刻殘留物的清潔的組合物
JP7516664B2 (ja) エッチング剤組成物
TWI824299B (zh) 蝕刻劑組合物