KR102102792B1 - 티타늄 나이트라이드의 선택적인 에칭을 위한 조성물 및 방법 - Google Patents

티타늄 나이트라이드의 선택적인 에칭을 위한 조성물 및 방법 Download PDF

Info

Publication number
KR102102792B1
KR102102792B1 KR1020147021045A KR20147021045A KR102102792B1 KR 102102792 B1 KR102102792 B1 KR 102102792B1 KR 1020147021045 A KR1020147021045 A KR 1020147021045A KR 20147021045 A KR20147021045 A KR 20147021045A KR 102102792 B1 KR102102792 B1 KR 102102792B1
Authority
KR
South Korea
Prior art keywords
composition
ammonium
acid
combinations
group
Prior art date
Application number
KR1020147021045A
Other languages
English (en)
Other versions
KR20140132708A (ko
Inventor
제프리 에이 반즈
엠마누엘 아이 쿠퍼
리 민 첸
스티븐 리피
레카 라자람
셍-헝 투
Original Assignee
엔테그리스, 아이엔씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엔테그리스, 아이엔씨. filed Critical 엔테그리스, 아이엔씨.
Publication of KR20140132708A publication Critical patent/KR20140132708A/ko
Application granted granted Critical
Publication of KR102102792B1 publication Critical patent/KR102102792B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/10Etching, surface-brightening or pickling compositions containing an inorganic acid containing a boron compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/06Etching, surface-brightening or pickling compositions containing an inorganic acid with organic material
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/02Local etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/30Acidic compositions for etching other metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/32Alkaline compositions
    • C23F1/40Alkaline compositions for etching other metallic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을, 표면에 상기 물질을 갖는 초소형 전자장치로부터 금속 전도체, 예를 들어 텅스텐 및 절연 물질에 비해 선택적으로 제거하기에 유용한 조성물을 개시한다. 상기 제거 조성물은 하나 이상의 산화제 및 하나 이상의 부식액을 함유하며, 선택성을 보장하기 위해서 다양한 부식 억제제를 함유할 수도 있다.

Description

티타늄 나이트라이드의 선택적인 에칭을 위한 조성물 및 방법{COMPOSITIONS AND METHODS FOR SELECTIVELY ETCHING TITANIUM NITRIDE}
본 발명은 금속 전도체 및 절연체 물질(즉 저-k 유전체)의 존재 하에서 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사를 선택적으로 에칭하기 위한 조성물 및 방법, 및 보다 특히 노출되거나 또는 하부의 구리, 텅스텐 및 저-k 유전성 물질 층의 경우보다 더 높은 에칭율 및 선택성으로 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사를 유효하고 효율적으로 에칭하기 위한 조성물 및 방법에 관한 것이다.
포토레지스트 마스크는 반도체 또는 유전체와 같은 물질을 패턴화하기 위해서 반도체 산업에 통상적으로 사용된다. 하나의 용도에서, 포토레지스트 마스크는 초소형 전자장치의 백엔드(back-end) 금속화에서 상호연결부를 형성시키기 위해 이중 다마센 공정에 사용된다. 상기 이중 다마센 공정은 구리층과 같은 금속 전도체층 위에 놓이는 저-k 유전체층상에 포토레지스트 마스크를 형성시킴을 포함한다. 이어서 상기 저-k 유전체층을 상기 포토레지스트 마스크를 따라 에칭시켜 상기 금속 전도체층을 노출시키는 비아 및 트렌치를 형성시킨다. 상기 비아 및 트렌치(이중 다마센 구조로서 통상적으로 공지되어 있다)는 대개 2 개의 리소그래피 단계를 사용하여 한정된다. 이어서 상기 포토레지스트 마스크를 상기 저-k 유전체층으로부터 제거한 후에 전도성 물질을 상기 비아 및/또는 트렌치 내에 침착시켜 상호연결부를 형성시킨다.
초소형 전자장치의 크기가 감소함에 따라, 비아 및 트렌치의 임계 치수를 성취하기가 더욱 더 어려워지고 있다. 따라서, 비아 및 트렌치의 보다 양호한 프로파일 조절을 제공하기 위해서 금속 하드 마스크가 사용된다. 상기 금속 하드 마스크는 티타늄 또는 티타늄 나이트라이드로 제조될 수 있으며, 상기 이중 다마센 구조의 비아 및/또는 트렌치를 형성시킨 후에 습식 에칭 공정에 의해 제거된다. 상기 습식 에칭 공정은 하부의 금속 전도체층 및 저-k 유전체 물질에 영향을 미치지 않으면서 상기 금속 하드 마스크 및/또는 포토레지스트 에칭 잔사를 유효하게 제거하는 제거 화학을 이용하는 것이 필수적이다. 즉, 상기 제거 화학은 상기 금속 전도체층 및 저-k 유전체층에 고도로 선택성일 것을 요한다.
따라서, 본 발명의 목적은 상기 하드 마스크의 에칭율을 손상시키지 않으면서, 존재하는 금속 전도체층 및 저-k 유전체층에 대한 하드 마스크 물질의 선택적인 제거를 위한 개선된 조성물을 제공하는 것이다.
본 발명은 존재하는 금속 전도체층 및 저-k 유전체층에 대해 하드 마스크층 및/또는 포토레지스트 에칭 잔사를 선택적으로 에칭하기 위한 조성물 및 방법에 관한 것이다. 보다 구체적으로, 본 발명은 구리, 텅스텐, 및 저-k 유전체층에 비해 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사를 선택적으로 에칭하기 위한 조성물 및 방법에 관한 것이다.
하나의 태양에서, 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을, 표면에 상기 물질을 갖는 초소형 전자창치의 표면으로부터 선택적으로 제거하기 위한 조성물을 개시하며, 상기 조성물은 하나 이상의 산화제, 하나 이상의 부식액, 및 하나 이상의 용매를 포함하고, 여기에서 상기 조성물은 과산화 수소가 실질적으로 없다.
또 다른 태양에서, 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을, 표면에 상기 물질을 갖는 초소형 전자창치의 표면으로부터 선택적으로 제거하기 위한 조성물을 개시하며, 상기 조성물은 하나 이상의 산화제, 하나 이상의 부식액, 하나 이상의 금속 부식 억제제, 및 하나 이상의 용매를 포함한다.
더욱 또 다른 태양에서, 티타늄 나이트라이드 물질을, 표면에 상기 물질을 갖는 초소형 전자장치의 표면으로부터 에칭하는 방법을 개시하며, 상기 방법은 상기 표면을 하나 이상의 산화제, 하나 이상의 부식액, 및 하나 이상의 용매를 포함하는 조성물과 접촉시킴을 포함하고, 이때 상기 조성물은 과산화 수소가 실질적으로 없으며, 상기 조성물은 티타늄 나이트라이드 물질을 상기 표면으로부터 금속 및 절연 물질에 비해 선택적으로 제거한다.
더욱 또 다른 태양에서, 티타늄 나이트라이드 물질을, 표면에 상기 물질을 갖는 초소형 전자장치의 표면으로부터 에칭하는 방법을 개시하며, 상기 방법은 상기 표면을 하나 이상의 산화제, 하나 이상의 부식액, 하나 이상의 금속 부식 억제제, 및 하나 이상의 용매를 포함하는 조성물과 접촉시킴을 포함하고, 이때 상기 조성물은 티타늄 나이트라이드 물질을 상기 표면으로부터 금속 및 절연 물질에 비해 선택적으로 제거한다.
본 발명의 다른 태양, 특징 및 실시태양들은 이어지는 명세 및 첨부된 청구의 범위로부터 보다 충분히 자명하게 될 것이다.
일반적으로, 본 발명은 존재하는 금속 전도체층 및 저-k 유전체층에 대해 하드 마스크층 및/또는 포토레지스트 에칭 잔사를 선택적으로 에칭하기 위한 조성물 및 방법에 관한 것이다. 보다 구체적으로, 본 발명은 구리, 텅스텐, 및 저-k 유전체층에 대해 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사를 선택적으로 에칭하기 위한 조성물 및 방법에 관한 것이다. 상기 초소형 전자장치 상에 존재할 수도 있는 다른 물질들은 상기 조성물에 의해 실질적으로 제거되거나 부식되지 않아야 한다.
참조하기 편하도록, "초소형 전자장치"는 초소형 전자공학, 집적 회로, 에너지 수집 또는 컴퓨터칩 용도에 사용하기 위해 제작된, 반도체 기판, 평면 디스플레이, 상변환 기억 장치, 태양 전지판, 및 태양전지 장치, 광전지 및 미세전자기계 시스템(MEMS)을 포함한 다른 제품들에 상응한다. "초소형 전자장치", "초소형 전자 기판" 및 "초소형전자 장치 구조"라는 용어들은 임의의 방식으로 제한됨을 의미하지 않으며 최종적으로 초소형 전자장치 또는 초소형 전자장치 조립체로 되는 임의의 기판 또는 구조를 포함함은 물론이다. 상기 초소형 전자장치는 패턴화되고, 블랭킷으로 덮인, 조정 및/또는 시험 장치일 수 있다.
본 발명에 사용된 바와 같은 "하드마스크 피복층"은 플라스마 에칭 단계 동안 유전체 물질을 보호하기 위해서 상기 물질 위에 침착된 물질에 상응한다. 하드마스크 피복층은 전통적으로 규소 나이트라이드, 규소 옥시나이트라이드, 타타늄 나이트라이드, 티타늄 옥시나이트라이드, 티타늄 및 다른 유사한 화합물이다.
본 발명에 사용된 바와 같이, "티타늄 나이트라이드" 및 "TiNx"는 순수한 티타늄 나이트라이드뿐만 아니라 다양한 화학량론 및 산소 함량(TiOxNy)을 포함한 불순한 티타늄 나이트라이드에 상응한다.
본 발명에 사용된 바와 같이, "약"은 서술된 값의 ±5%에 상응함을 의미한다.
본 발명에 정의된 바와 같이, "저-k 유전체 물질"은 층상화된 초소형 전자장치 중에 유전체 물질로서 사용되는 임의의 물질에 상응하며, 여기에서 상기 물질은 약 3.5 미만의 유전 상수를 갖는다. 바람직하게, 상기 저-k 유전체 물질은 저-극성 물질, 예를 들어 규소-함유 유기 중합체, 규소-함유 하이브리드 유기/무기 물질, 유기실리케이트 유리(OSG), TEOS, 플루오르화된 실리케이트 유리(FSG), 이산화 규소, 및 탄소-도핑된 옥사이드(CDO) 유리를 포함한다. 상기 저-k 유전체 물질은 다양한 밀도 및 다양한 다공도를 가질 수 있음을 알아야 한다.
본 발명에 정의된 바와 같이, "금속 전도체층"은 구리, 텅스텐, 코발트, 몰리브데늄, 알루미늄, 루테늄, 이들을 포함하는 합금, 및 이들의 조합을 포함한다.
본 발명에 정의된 바와 같이, "아민" 종은 하나 이상의 1급, 2급 및 3급 아민을 포함하나, 단 (i) 카복실산기 및 아민기를 모두 포함하는 종, (ii) 아민기를 포함하는 계면활성제, 및 (iii) 아민기가 치환체인(예를 들어 아릴 또는 헤테로사이클릭 부분에 결합된) 종들은 상기 정의에 따른 "아민"으로 간주되지 않는다. 상기 아민 화학식을 NR1R2R3으로 나타낼 수 있으며, 여기에서 R1, R2 및 R3은 서로 동일하거나 상이할 수 있고 수소, 직쇄 또는 분지된 C1-C6 알킬(예를 들어 메틸, 에틸, 프로필, 부틸, 펜틸, 헥실), C6-C10 아릴(예를 들어 벤질), 직쇄 또는 분지된 C1-C6 알칸올(예를 들어 메탄올, 에탄올, 프로판올, 부탄올, 펜탄올, 헥산올), 및 이들의 조합으로 이루어진 그룹 중에서 선택되나, 단 R1, R2 및 R3이 모두 수소일 수는 없다.
본 발명에 정의된 바와 같이, "포토레지스트 에칭 잔사"는 당해 분야의 숙련가에 의해 쉽게 이해되는 바와 같이, 포토레지스트 물질, 또는 에칭 또는 애싱(ashing) 단계에 따른 포토레지스트의 부산물인 물질을 포함하는 임의의 잔사에 상응한다.
"실질적으로 없는"은 본 발명에서 2 중량% 미만, 바람직하게는 1 중량% 미만, 보다 바람직하게는 0.5 중량% 미만, 훨씬 더 바람직하게는 0.1 중량% 미만, 및 가장 바람직하게는 0 중량%로서 정의된다.
본 발명에 사용된 바와 같이, "플루오라이드" 종은 이온성 플루오라이드(F-) 또는 공유 결합된 불소를 포함하는 종들에 상응한다. 상기 플루오라이드 종이 플루오라이드 종으로서 포함되거나 또는 동일 반응계에서 생성될 수도 있음을 알아야 한다.
본 발명에 사용된 바와 같이, "클로라이드" 종은 이온성 클로라이드(Cl-)를 포함하는 종들에 상응하나, 단 클로라이드 음이온을 포함하는 계면활성제는 상기 정의에 따른 "클로라이드"로 간주되지 않는다.
본 발명에 정의된 바와 같이, 강염기는 11 초과의 하나 이상의 pKa를 갖는 임의의 염기인 반면 약염기는 11 미만의 하나 이상의 pKa를 갖는 임의의 염기이다.
본 발명의 조성물은 본 발명에서 이후에 보다 충분히 개시하는 바와 같이, 광범위하게 다양한 특정한 제형들로 실행될 수 있다.
모든 상기와 같은 조성물에서, 상기 조성물의 특정한 성분들을 0의 하한을 포함하여 중량 백분율의 범위를 참조하여 논의하며, 상기와 같은 성분들은 상기 조성물의 다양한 특정한 실시태양들 중에 존재하거나 존재하지 않을 수도 있고, 상기와 같은 성분들이 존재하는 경우에, 이들 성분은 상기와 같은 성분들이 사용되는 조성물의 전체 중량을 기준으로 0.001 중량 퍼센트 정도로 낮은 농도로 존재할 수도 있음을 알 것이다.
본 발명의 실시태양은 하드 마스크 및/또는 포토레지스트 에칭 잔사를 제거하기 위한 화학을 포함한다. 하나의 실시태양에서, 상기 제거 조성물은, 유전체층상의 금속 하드 마스크 및/또는 포토레지스트 에칭 잔사를 제거하고 상기 유전체층 바로 아래의 금속 전도체층 및 상기 유전체층 자체에 대단히 선택성인 습식-에칭 용액이다. 보다 특정한 실시태양에서, 상기 제거 조성물은 구리, 텅스텐 및 저-k 유전체 물질 중 하나 이상에 매우 선택성인, 티타늄 나이트라이드층 및/또는 포토레지스트 에칭 잔사를 제거하는 습식-에칭 용액이다.
따라서, 하나의 태양에서, 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을, 표면에 상기 물질을 갖는 초소형 전자장치의 표면으로부터 선택적으로 제거하기 위한 조성물을 개시하며, 상기 조성물은 하나 이상의 산화제 및 하나 이상의 부식액을 포함하고, 여기에서 상기 조성물은 과산화 수소가 실질적으로 없다. 하나의 실시태양에서, 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을, 표면에 상기 물질을 갖는 초소형 전자장치의 표면으로부터 제거하기 위한 조성물은 하나 이상의 산화제, 하나 이상의 부식액 및 하나 이상의 용매를 포함하거나, 이들로 이루어지거나 또는 필수적으로 이루어지며, 여기에서 상기 조성물은 과산화 수소가 실질적으로 없다. 또 다른 실시태양에서, 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을, 표면에 상기 물질을 갖는 초소형 전자장치의 표면으로부터 제거하기 위한 조성물은 하나 이상의 산화제, 하나 이상의 부식액, 하나 이상의 부식 억제제, 및 하나 이상의 용매를 포함하거나, 이들로 이루어지거나 또는 필수적으로 이루어지며, 여기에서 상기 조성물은 과산화 수소가 실질적으로 없다. 더욱 또 다른 실시태양에서, 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을, 표면에 상기 물질을 갖는 초소형 전자장치의 표면으로부터 제거하기 위한 조성물은 하나 이상의 산화제, 하나 이상의 부식액, 하나 이상의 부식 억제제, 적어도 계면활성제, 및 하나 이상의 용매를 포함하거나, 이들로 이루어지거나 또는 필수적으로 이루어지며, 여기에서 상기 조성물은 과산화 수소가 실질적으로 없다. 더욱 또 다른 실시태양에서, 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을, 표면에 상기 물질을 갖는 초소형 전자장치의 표면으로부터 제거하기 위한 조성물은 하나 이상의 산화제, 하나 이상의 부식액, 하나 이상의 부식 억제제, 적어도 부동태화제(passivating agent), 및 하나 이상의 용매를 포함하거나, 이들로 이루어지거나 또는 필수적으로 이루어지며, 여기에서 상기 조성물은 과산화 수소가 실질적으로 없다. 추가의 실시태양에서, 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을, 표면에 상기 물질을 갖는 초소형 전자장치의 표면으로부터 제거하기 위한 조성물은 하나 이상의 산화제, 하나 이상의 부식액, 하나 이상의 부식 억제제, 하나 이상의 용매, 및 하나 이상의 요오드 제거제를 포함하거나, 이들로 이루어지거나 또는 필수적으로 이루어지며, 여기에서 상기 조성물은 과산화 수소가 실질적으로 없다. 상기 첫 번째 태양의 각각의 실시태양에서, 하나 이상의 규소-함유 화합물을 첨가할 수 있다. 바람직하게, 상기 조성물은 상기 조성물의 전체 중량을 기준으로 95 중량% 이상의 물, 보다 바람직하게는 97 중량% 이상의 물, 및 가장 바람직하게는 98 중량% 이상의 물을 포함한다. 유리하게는, 상기 조성물은 50:1 초과의 TiN 대 텅스텐 선택성 및 1 Å min-1 미만의 텅스텐 제거율, 훨씬 더 바람직하게는 60:1 초과, 및 0.5 Å min-1 미만의 텅스텐 제거율을 갖는다. 이들 조성물은 본 발명에 정의된 바와 같은 아민, 마모성 물질, 클로라이드 공급원, 금속 할라이드, 및 이들의 조합이 실질적으로 없다. 이들 조성물은 0 내지 4, 바람직하게는 1 내지 3 범위의 pH 값을 갖는다.
두 번째 태양에서, 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을, 표면에 상기 물질을 갖는 초소형 전자장치의 표면으로부터 선택적으로 제거하기 위한 조성물을 개시하며, 상기 조성물은 하나 이상의 산화제 및 하나 이상의 부식액을 포함한다. 하나의 실시태양에서, 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을, 표면에 상기 물질을 갖는 초소형 전자장치의 표면으로부터 제거하기 위한 조성물은 하나 이상의 산화제, 하나 이상의 부식액, 하나 이상의 금속 부식 억제제, 및 하나 이상의 용매를 포함하거나, 이들로 이루어지거나 또는 필수적으로 이루어진다. 또 다른 실시태양에서, 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을, 표면에 상기 물질을 갖는 초소형 전자장치의 표면으로부터 제거하기 위한 조성물은 하나 이상의 산화제, 하나 이상의 부식액, 하나 이상의 카복실레이트 염, 하나 이상의 금속 부식 억제제, 및 하나 이상의 용매를 포함하거나, 이들로 이루어지거나 또는 필수적으로 이루어진다. 더욱 또 다른 실시태양에서, 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을, 표면에 상기 물질을 갖는 초소형 전자장치의 표면으로부터 제거하기 위한 조성물은 하나 이상의 산화제, 하나 이상의 부식액, 하나 이상의 카복실레이트 염, 하나 이상의 금속 부식 억제제, 하나 이상의 산화제 안정제, 및 하나 이상의 용매를 포함하거나, 이들로 이루어지거나 또는 필수적으로 이루어진다. 더욱 또 다른 실시태양에서, 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을, 표면에 상기 물질을 갖는 초소형 전자장치의 표면으로부터 제거하기 위한 조성물은 하나 이상의 산화제, 하나 이상의 부식액, 하나 이상의 카복실레이트 염, 하나 이상의 금속 부식 억제제, 하나 이상의 산화제 안정제, 하나 이상의 계면활성제, 및 하나 이상의 용매를 포함하거나, 이들로 이루어지거나 또는 필수적으로 이루어진다. 또 다른 실시태양에서, 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을, 표면에 상기 물질을 갖는 초소형 전자장치의 표면으로부터 제거하기 위한 조성물은 하나 이상의 산화제, 하나 이상의 부식액, 하나 이상의 금속 부식 억제제, 하나 이상의 산화제 안정제, 하나 이상의 게면활성제, 및 하나 이상의 용매를 포함하거나, 이들로 이루어지거나 또는 필수적으로 이루어진다. 더욱 또 다른 실시태양에서, 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을, 표면에 상기 물질을 갖는 초소형 전자장치의 표면으로부터 선택적으로 제거하기 위한 조성물은 하나 이상의 산화제, 하나 이상의 부식액, 하나 이상의 금속 부식 억제제, 하나 이상의 산화제 안정제, 및 하나 이상의 용매를 포함하거나, 이들로 이루어지거나 또는 필수적으로 이루어진다. 상기 두 번째 태양의 각각의 실시태양에서, 하나 이상의 규소-함유 화합물을 첨가할 수 있다. 상기 조성물은 실리케이트, 마모성 물질, 클로라이드 공급원, 금속 할라이드, 및 이들의 조합이 실질적으로 없다. 이들 조성물은 약 5 내지 약 10, 바람직하게는 약 6 내지 약 9 범위의 pH 값을 갖는다.
부식액을 상기 티타늄 나이트라이드의 에칭율을 증가시키기 위해 첨가한다. 고려되는 부식액은 비제한적으로 HF, 암모늄 플루오라이드, 테트라플루오로붕산, 헥사플루오로규산, B-F 또는 Si-F 결합을 함유하는 다른 화합물, 테트라부틸암모늄 테트라플루오로보레이트(TBA-BF4), 테트라알킬암모늄 플루오라이드(NR1R2R3R4F), 강염기, 예를 들어 테트라알킬암모늄 하이드록사이드(NR1R2R3R4OH), 약염기, 및 이들의 조합을 포함하며, 여기에서 R1, R2, R3, R4는 서로 동일하거나 상이할 수 있고, 수소, 직쇄 또는 분지된 C1-C6 알킬기(예를 들어 메틸, 에틸, 프로필, 부틸, 펜틸, 헥실), C1-C6 알콕시기(예를 들어 하이드록시에틸, 하이드록시프로필) 치환되거나 비치환된 아릴기(예를 들어 벤질)로 이루어진 그룹 중에서 선택된다. 바람직하게, 상기 플루오라이드 공급원은 테트라플루오로붕산, 헥사플루오로규산, H2ZrF6, H2TiF6, HPF6, 암모늄 플루오라이드, 테트라메틸암모늄 플루오라이드, 테트라메틸암모늄 하이드록사이드, 암모늄 헥사플루오로실리케이트, 암모늄 헥사플루오로티타네이트, 또는 암모늄 플루오라이드와 테트라메틸암모늄 플루오라이드의 조합을 포함한다. 한편으로, 또는 플루오라이드 공급원 외에, 상기 부식액은 강염기, 예를 들어 테트라메틸암모늄 하이드록사이드(TMAH), 테트라에틸암모늄 하이드록사이드(TEAH), 테트라프로필암모늄 하이드록사이드(TPAH), 테트라부틸암모늄 하이드록사이드(TBAH), 벤질트라이메틸암모늄 하이드록사이드(BTMAH), 칼륨 하이드록사이드, 암모늄 하이드록사이드, 벤질트라이에틸암모늄 하이드록사이드(BTEAH), 테트라부틸포스포늄 하이드록사이드(TBPH), (2-하이드록시에틸) 트라이메틸암모늄 하이드록사이드, (2-하이드록시에틸) 트라이에틸암모늄 하이드록사이드, (2-하이드록시에틸) 트라이프로필암모늄 하이드록사이드, (1-하이드록시프로필) 트라이메틸암모늄 하이드록사이드, 에틸트라이메틸암모늄 하이드록사이드, 다이에틸다이메틸암모늄 하이드록사이드(DEDMAH), 1,1,3,3-테트라메틸구아니딘(TMG), 구아니딘 카보네이트, 아르기닌, 및 이들의 조합을 포함할 수 있다. 고려되는 약염기는 비제한적으로 암모늄 하이드록사이드, 모노에탄올아민(MEA), 다이에탄올아민(DEA), 트라이에탄올아민(TEA), 에틸렌다이아민, 시스테인, 및 이들의 조합을 포함한다. 가장 바람직하게는, 상기 부식액은 헥사플루오로규산을 포함한다.
산화제는 TiNx 중의 Ti3+를 산화시키기 위해 포함된다. 본 발명에서 고려되는 산화제는 비제한적으로 과산화 수소(H2O2), FeCl3, FeF3, Fe(NO3)3, Sr(NO3)2, CoF3, MnF3, 옥손(2KHSO5·KHSO4·K2SO4), 퍼요오드산, 요오드산, 바나듐(V) 옥사이드, 바나듐(IV,V) 옥사이드, 암모늄 바나데이트, 암모늄 다원자염(예를 들어, 암모늄 퍼옥소모노설페이트, 암모늄 클로라이트(NH4ClO2), 암모늄 클로레이트(NH4ClO3), 암모늄 요오데이트(NH4IO3), 암모늄 나이트레이트(NH4NO3), 암모늄 퍼보레이트(NH4BO3), 암모늄 퍼클로레이트(NH4ClO4), 암모늄 퍼요오데이트(NH4IO4), 암모늄 퍼설페이트((NH4)2S2O8), 암모늄 하이포클로라이트(NH4ClO)), 암모늄 텅스테이트((NH4)10H2(W2O7)), 나트륨 다원자염(예를 들어, 나트륨 퍼설페이트(Na2S2O8), 나트륨 하이포클로라이트(NaClO), 나트륨 퍼보레이트), 칼륨 다원자염(예를 들어, 칼륨 요오데이트(KIO3), 칼륨 퍼망가네이트(KMnO4), 칼륨 퍼설페이트, 질산(HNO3), 칼륨 퍼설페이트(K2S2O8), 칼륨 하이포클로라이트(KClO)), 테트라메틸암모늄 다원자염(예를 들어, 테트라메틸암모늄 클로라이트((N(CH3)4)ClO2), 테트라메틸암모늄 클로레이트((N(CH3)4)ClO3), 테트라메틸암모늄 요오데이트((N(CH3)4)IO3), 테트라메틸암모늄 퍼보레이트((N(CH3)4)BO3), 테트라메틸암모늄 퍼클로레이트((N(CH3)4)ClO4), 테트라메틸암모늄 퍼요오데이트((N(CH3)4)IO4), 테트라메틸암모늄 퍼설페이트((N(CH3)4)S2O8)), 테트라부틸암모늄 다원자염(예를 들어, 테트라부틸암모늄 퍼옥소모노설페이트), 퍼옥소모노황산, 질산 제2철(Fe(NO3)3), 유레아 과산화 수소((CO(NH2)2)H2O2), 퍼아세트산(CH3(CO)OOH), 1,4-벤조퀴논, 톨루퀴논, 다이메틸-1,4-벤조퀴논, 클로라닐, 알록산, N-메틸모폴린 N-옥사이드, 트라이메틸아민 N-옥사이드, 및 이들의 조합을 포함한다. 상기 산화제가 염인 경우, 상기 산화제는 수화되거나 또는 무수일 수 있다. 상기 산화제를 상기 조성물에, 상기 조성물의 상기 장치 웨이퍼에의 도입 전에 제조사에서, 또는 한편으로 상기 장치 웨이퍼에, 즉 원 장소에서 도입시킬 수 있다. 바람직하게는, 상기 두 번째 태양의 조성물에 대한 산화제는 과산화 수소를 포함한다. 바람직하게는, 상기 첫 번째 태양의 조성물에 대한 산화제는 바나듐 옥사이드, 암모늄 요오데이트, 암모늄 퍼요오데이트, 요오드산, 또는 퍼요오드산을 포함한다.
상기 산화제가 요오데이트 또는 퍼요오데이트를 포함하는 경우, 상기 제거 조성물에 요오드 제거제를 가하는 것이 바람직하다. 이론에 얽매이고자 하는 것은 아니지만, 상기 요도데이트 또는 퍼요오데이트를 감소시킴에 따라, 요오드가 축적되고, 이는 구리 에칭율을 증가시키는 것으로 생각된다. 요오드 제거제는 비제한적으로 케톤, 보다 바람직하게는 카보닐에 대해 알파 수소(들)를 갖는 케톤, 예를 들어 4-메틸-2-펜탄온, 2,4-다이메틸-3-펜탄온, 사이클로헥산온, 5-메틸-3-헵탄온, 3-펜탄온, 5-하이드록시-2-펜탄온, 2,5-헥산다이온, 4-하이드록시-4-메틸-2-펜탄온, 아세톤, 부탄온, 2-메틸-2-부탄온, 3,3-다이메틸-2-부탄온, 4-하이드록시-2-부탄온, 사이클로펜탄온, 2-펜탄온, 3-펜탄온, 1-페닐에탄온, 아세토페논, 벤조페논, 2-헥산온, 3-헥산온, 2-헵탄온, 3-헵탄온, 4-헵탄온, 2,6-다이메틸-4-헵탄온, 2-옥탄온, 3-옥탄온, 4-옥탄온, 다이사이클로헥실 케톤, 2,6-다이메틸사이클로헥산온, 2-아세틸사이클로헥산온, 2,4-펜탄다이온, 멘톤, 및 이들의 조합을 포함한다. 바람직하게, 상기 요오드 제거제는 4-메틸-2-펜탄온, 2,4-다이메틸-3-펜탄온, 또는 사이클로헥산온을 포함한다.
카복실레이트 염을 가하여 상기 TiNx의 에칭율을 증가시키며, 상기 염은 주로 내산화성이다. 이론에 얽매이고자 하는 것은 아니지만, 상기 카복실레이트 염은 동일 반응계에서 반응하여 퍼카복실레이트를 생성시키며, 이는 매우 강한 산화제이다. 바람직하게는, 상기 카복실레이트 염은 암모늄 양이온 또는 테트라알킬암모늄 양이온([NR1R2R3R4]+, 이때 R1, R2, R3 및 R4는 서로 동일하거나 상이할 수 있으며 수소 및 C1-C6 알킬(예를 들어 메틸, 에틸, 프로필, 부틸, 펜틸, 헥실)로 이루어진 그룹 중에서 선택된다), 및 아세테이트, 벤조에이트, 프로피오네이트, 시트레이트, 포메이트, 옥살레이트, 타타레이트, 숙시네이트, 락테이트, 말리에이트, 말로네이트, 퓨마레이트, 말레이트, 아스코르베이트, 만델레이트, 및 프탈레이트로 이루어진 그룹 중에서 선택된 음이온을 포함한다. 가장 바람직하게는, 상기 카복실레이트 염은 암모늄 아세테이트, 암모늄 벤조에이트, 또는 이들의 조합을 포함한다.
금속 부식 억제제를 가하여 상기 산화제(들) 및 카복실레이트 염(들)(존재하는 경우)의 산화 활성을 차단한다. 본 발명에서 고려되는 금속 부식 억제제는 비제한적으로 5-아미노-1,3,4-티아다이아졸-2-티올(ATDT), 벤조트라이아졸(BTA), 1,2,4-트라이아졸(TAZ), 톨릴트라이아졸, 5-메틸-벤조트라이아졸, 5-페닐-벤조트라이아졸, 5-나이트로-벤조트라이아졸, 벤조트라이아졸 카복실산, 3-아미노-5-머캅토-1,2,4-트라이아졸, 1-아미노-1,2,4-트라이아졸, 하이드록시벤조트라이아졸, 2-(5-아미노-펜틸)-벤조트라이아졸, 1-아미노-1,2,3-트라이아졸, 1-아미노-5-메틸-1,2,3-트라이아졸, 3-아미노-1,2,4-트라이아졸, 3-머캅토-1,2,4-트라이아졸, 3-아이소프로필-1,2,4-트라이아졸, 5-페닐티올-벤조트라이아졸, 할로-벤조트라이아졸 (할로 = F, Cl, Br 또는 I), 나프토트라이아졸, 2-머캅토벤즈이미다졸(MBI), 2-머캅토벤조티아졸, 4-메틸-2-페닐이미다졸, 2-머캅토티아졸린, 5-아미노테트라졸, 펜틸렌테트라졸, 5-페닐-1H-테트라졸, 5-벤질-1H-테트라졸, 아블루민(Ablumine) O(타이완 설팩턴트(Taiwan Surfactant)), 2-벤질피리딘, 숙신이미드, 2,4-다이아미노-6-메틸-1,3,5-트라이아진, 티아졸, 트라이아진, 메틸테트라졸, 1,3-다이메틸-2-이미다졸리디논, 1,5-펜타메틸렌테트라졸, 1-페닐-5-머캅토테트라졸, 다이아미노메틸트라이아진, 이미다졸린 티온, 4-메틸-4H-1,2,4-트라이아졸-3-티올, 벤조티아졸, 이미다졸, 인디아졸, 아데노신, 카바졸, 사카린 및 벤조인 옥심을 포함한다. 추가적인 부식 억제제는 양이온성 4급염, 예를 들어 벤즈알코늄 클로라이드, 벤질다이메틸도데실암모늄 클로라이드, 미리스틸트라이메틸암모늄 브로마이드, 도데실트라이메틸암모늄 브로마이드, 헥사데실피리디늄 클로라이드, 알리콰트(Aliquat) 336(코그니스(Cognis)), 벤질다이메틸페닐암모늄 클로라이드, 크로다콰트(Crodaquat) TES(크로다 인코포레이티드(Croda Inc.)), 리워콰트(Rewoquat) CPEM(위트코(Witco)), 헥사데실트라이메틸암모늄 p-톨루엔설포네이트, 헥사데실트라이메틸암모늄 하이드록사이드, 1-메틸-1'-테트라데실-4,4'-바이피리디늄 다이클로라이드, 알킬트라이메틸암모늄 브로마이드, 암프롤륨 하이드로클로라이드, 벤즈에토늄 하이드록사이드, 벤즈에토늄 클로라이드, 벤질다이메틸헥사데실암모늄 클로라이드, 벤질다이메틸테트라데실암모늄 클로라이드, 벤질도데실다이메틸암모늄 브로마이드, 벤질도데실다이메틸암모늄 클로라이드, 세틸피리디늄 클로라이드, 콜린 p-톨루엔설포네이트 염, 다이메틸다이옥타데실암모늄 브로마이드, 도데실에틸다이메틸암모늄 브로마이드, 도데실트라이메틸암모늄 클로라이드, 에틸헥사데실다이메틸암모늄 브로마이드, 지라르 시약, 헥사데실(2-하이드록시에틸)다이메틸암모늄 이수소 포스페이트, 덱사데실피리디늄 브로마이드, 헥사데실트라이메틸암모늄 브로마이드, 헥사데실트라이메틸암모늄 클로라이드, 메틸벤즈에토늄 클로라이드, 하이아민(Hyamine)(등록상표) 1622, 루비콰트(Luviquat)(상표), N,N',N'-폴리옥시에틸렌 (10)-N-탈로우-1,3-다이아미노프로판 액체, 옥시페노늄 브로마이드, 테트라헵틸암모늄 브로마이드, 테트라키스(데실)암모늄 브로마이드, 톤조늄 브로마이드, 트라이도데실암모늄 클로라이드, 트라이메틸옥타데실암모늄 브로마이드, 1-메틸-3-n-옥틸이미다졸륨 테트라플루오로보레이트, 1-데실-3-메틸이미다졸륨 테트라플루오로보레이트, 1-데실-3-메틸이미다졸륨 클로라이드, 트라이도데실메틸암모늄 브로마이드, 다이메틸다이스테아릴암모늄 클로라이드, 및 헥사메토늄 클로라이드를 포함한다. 다른 부식 억제제는 비이온성 계면활성제, 예를 들어 폴리폭스(PolyFox) PF-159(OMNOVA 솔류션즈(Solutions)), 폴리(에틸렌 글리콜)("PEG"), 폴리(프로필렌 글리콜)("PPG"), PEG-PPG 공중합체, 예를 들어 플루로닉(Pluronic) F-127 (BASF), 음이온성 계면활성제, 예를 들어 도데실벤젠설폰산, 나트륨 도데실벤젠설포네이트, 및 이들의 조합을 포함한다. 상기 4급 염은 부식억제제(특히 구리 및 텅스텐에 대한)와 습윤제 모두로서 작용할 수 있다. 4급 염을 가장 종종 클로라이드 또는 브로마이드로서 상업적으로 입수할 수 있지만, 상기 할라이드 음이온을 비-할라이드 음이온, 예를 들어 설페이트, 메탄설포네이트, 나이트레이트, 하이드록사이드 등과 이온-교환하는 것이 용이함은 당해 분야의 숙련가들에게 명백할 것이다. 상기와 같은 전환된 4급 염들이 또한 본 발명에서 고려된다. 특히 바람직한 실시태양에서, 5-메틸-1H-벤조트라이아졸은 구리에 대한 상기 산화제의 산화 활성을 차단하는 것으로 공지되어 있다. 다른 바람직한 부식 억제제는 양이온성 4급 염, 보다 바람직하게는 미리스틸트라이메틸암모늄 브로마이드, 벤즈알코늄 클로라이드, 헥사데실트라이메틸암모늄 p-톨루엔설포네이트, 및 헥사데실트라이메틸암모늄 하이드록사이드, 및 테트라졸, 예를 들어 5-벤질-1H-테트라졸을 포함한다.
상기 첫 번째 또는 두 번째 태양의 조성물들은 상기 저-k 유전체층의 화학적 공격을 감소시키고 상기 웨이퍼를 추가적인 산화로부터 보호하기 위해서 하나 이상의 저-k 부동태화제를 추가로 포함할 수 있다. 바람직한 저-k 부동태화제는 비제한적으로 붕산, 보레이트염, 예를 들어 암모늄 펜타보레이트, 나트륨 테트라보레이트, 3-하이드록시-2-나프토산, 말론산, 이미노다이아세트산을 포함한다. 존재하는 경우, 상기 조성물은 상기 조성물의 전체 중량을 기준으로 약 0.01 중량% 내지 약 2 중량%의 저-k 부동태화제를 포함한다. 바람직하게는, 하부의 저-k 물질의 전체 중량을 기준으로 상기 하부의 저-k 물질의 2 중량% 미만, 보다 바람직하게는 1 중량% 미만, 가장 바람직하게는 0.5 중량% 미만이 본 발명에 개시된 조성물을 사용하여 에칭/제거된다.
상기 첫 번째 또는 두 번째 태양의 조성물들은 상기 부식액 공급원의 활성을 감소시키기 위해서 하나 이상의 규소-함유 화합물을 추가로 포함할 수 있다. 하나의 실시태양에서, 상기 하나 이상의 규소-함유 화합물은 알콕시실란을 포함한다. 고려되는 알콕시실란은 화학식 SiR1R2R3R4를 가지며, 여기에서 상기 R1, R2, R3 및 R4는 서로 동일하거나 상이하며 직쇄 C1-C6 알킬기(예를 들어 메틸, 에틸, 프로필, 부틸, 펜틸, 헥실), 분지된 C1-C6 알킬기, C1-C6 알콕시기(예를 들어 메톡시, 에톡시, 프로폭시, 부톡시, 펜톡시, 헥속시), 페닐기, 및 이들의 조합으로 이루어진 그룹 중에서 선택된다. 숙련가들은, 알콕시실란으로서 특성화되기 위해서 상기 R1, R2, R3 및 R4 중 하나 이상이 C1-C6 알콕시기이어야 함을 알 것이다. 고려되는 알콕시실란은 메틸트라이메톡시실란, 다이메틸다이메톡시실란, 페닐트라이메톡시실란, 테트라에톡시실란(TEOS), N-프로필트라이메톡시실란, N-프로필트라이에톡시실란, 헥실트라이메톡시실란, 헥실트라이에톡시실란, 및 이들의 조합을 포함한다. 상기 알콕시실란 대신에 또는 상기 알콕시실란 외에 사용될 수 있는 다른 규소-함유 화합물은 암모늄 헥사플루오로실리케이트, 나트륨 실리케이트, 테트라메틸 암모늄 실리케이트(TMAS), 및 이들의 조합을 포함한다. 바람직하게, 상기 규소-함유 화합물은 TEOS, TMAS, 및 나트륨 실리케이트를 포함한다. 존재하는 경우, 상기 규소-함유 화합물(들)의 양은 상기 조성물의 전체 중량을 기준으로 약 0.001 중량% 내지 약 2 중량%의 범위이다.
산화제 안정제를, 특히 상기 산화제를 사용 시점전 임의의 시기에 다른 성분들과 배합하는 경우, 상기 수성 조성물에 첨가할 수 있다. 산화제 안정제는 비제한적으로 글리신, 세린, 프롤린, 류신, 알라닌, 아스파라진, 아스파트산, 글루타민, 발린 및 리신, 나이트릴로트라이아세트산, 이미노다이아세트산, 에티드론산, 에틸렌다이아민테트라아세트산(EDTA), (1,2-사이클로헥실렌다이나이트릴로)테트라아세트산(CDTA), 요산, 테트라글라임, 다이에틸렌트라이아민 펜타아세트산, 프로필렌다이아민 테트라아세트산, 에틸렌다이아민 다이숙신산, 설파닐아미드, 및 이들의 조합을 포함한다. 바람직하게, 상기 산화제 안정제는 CDTA, 설파닐아미드, 또는 이들의 조합을 포함한다.
습윤을 보장하기 위해서, 특히 pH가 낮을 때, 계면활성제, 바람직하게는 내산화성, 플루오르화된 음이온성 계면활성제를 상기 수성 조성물에 첨가할 수 있다. 본 발명의 조성물 중에 고려되는 음이온성 계면활성제는 비제한적으로 플루오로계면활성제, 예를 들어 조닐(ZONYL)(등록상표) UR 및 조닐(등록상표) FS-62(듀퐁 캐나다 인코포레이티드(DuPont Canada Inc.), 캐나다 온타리오주 미씨쏘가 소재), 및 암모늄 플루오로알킬설포네이트, 예를 들어 노벡(Novec)(상표) 4300(3M)을 포함한다. 상기 사용되는 부식액이 플루오라이드를 포함하는 경우, 계면활성제 및 부식액으로서 사용될 수 있는 장쇄 테트라알킬암모늄 플루오라이드를 사용하는 것이 고려된다.
상기 하나 이상의 용매는 물, 하나 이상의 수-혼화성 유기 용매, 또는 이들의 조합을 포함할 수 있으며, 여기에서 상기 하나 이상의 수-혼화성 유기 용매는 화학식 R1R2R3C(OH)의 화합물로 이루어진 그룹 중에서 선택되고, 이때 R1, R2 및 R3은 서로 독립적으로 수소, C2-C30 알킬, C2-C30 알켄, 사이클로알킬, C2-C30 알콕시, 및 이들의 조합으로 이루어진 그룹 중에서 선택된다. 예를 들어, 상기 하나 이상의 용매는 물, 메탄올, 에탄올, 아이소프로판올, 부탄올, 펜탄올, 헥산올, 2-에틸-1-헥산올, 헵탄올, 옥탄올, 에틸렌 글리콜, 프로필렌 글리콜, 부틸렌 글리콜, 부틸렌 카보네이트, 에틸렌 카보네이트, 프로필렌 카보네이트, 다이프로필렌 글리콜, 다이에틸렌 글리콜 모노메틸 에테르, 트라이에틸렌 글리콜 모노메틸 에테르, 다이에틸렌 글리콜 모노에틸 에테르, 트라이에틸렌 글리콜 모노에틸 에테르, 에틸렌 글리콜 모노프로필 에테르, 에틸렌 글리콜 모노부틸 에테르, 다이에틸렌 글리콜 모노부틸 에테르, 트라이에틸렌 글리콜 모노부틸 에테르, 에틸렌 글리콜 모노헥실 에테르, 다이에틸렌 글리콜 모노헥실 에테르, 에틸렌 글리콜 페닐 에테르, 프로필렌 글리콜 메틸 에테르, 다이프로필렌 글리콜 메틸 에테르(DPGME), 트라이프로필렌 글리콜 메틸 에테르(TPGME), 다이프로필렌 글리콜 다이메틸 에테르, 다이프로필렌 글리콜 에틸 에테르, 프로필렌 글리콜 n-프로필 에테르, 다이프로필렌 글리콜 n-프로필 에테르(DPGPE), 트리이프로필렌 글리콜 n-프로필 에테르, 프로필렌 글리콜 n-부틸 에테르, 다이프로필렌 글리콜 n-부틸 에테르, 트라이프로필렌 글리콜 n-부틸 에테르, 프로필렌 글리콜 페닐 에테르, 2,3-다이하이드로데카플루오로펜탄, 에틸 퍼플루오로부틸에테르, 메틸 퍼플루오로부틸에테르, 알킬 카보네이트, 알킬렌 카보네이트, 4-메틸-2-펜탄올 및 이들의 조합으로 이루어진 그룹 중에서 선택되는 하나 이상의 종을 포함할 수 있다. 바람직하게, 상기 하나 이상의 용매는 물, 가장 바람직하게는 탈이온수를 포함한다.
또 다른 실시태양에서, 본 발명의 조성물들 중 어느 하나는 티타늄 나이트라이드 및/또는 포토레지스트 에칭 물질 잔사를 추가로 포함할 수 있으며, 여기에서 상기 잔사는 상기 수성 조성물 중에 현탁되고/되거나 용해된다.
하나의 실시태양에서, 상기 첫 번째 태양의 조성물은, 상기 조성물의 전체 중량을 기준으로 하기의 범위로 존재하는, 하나 이상의 산화제, 하나 이상의 부식액 및 하나 이상의 용매를 포함하거나, 이들로 이루어지거나 또는 필수적으로 이루어지며, 여기에서 상기 조성물은 과산화 수소가 실질적으로 없다:
Figure 112014070394350-pct00001
바람직하게, 상기 산화제는 바나듐 옥사이드, 암모늄 요오데이트, 퍼요오드산, 또는 1,4-벤조퀴논을 포함한다. 바람직하게, 상기 부식액은 테트라플루오로붕산 또는 헥사플루오로규산을 포함한다. 상기 산화제가 요오데이트 또는 퍼요오데이트 화합물을 포함하는 경우, 상기 첫 번째 태양의 제거 조성물은 바람직하게는 하나 이상의 요오드 제거제를 추가로 포함한다.
또 다른 실시태양에서, 상기 첫 번째 태양의 조성물은, 상기 조성물의 전체 중량을 기준으로 하기의 범위로 존재하는, 하나 이상의 산화제, 하나 이상의 부식액, 하나 이상의 용매, 및 하나 이상의 부식 억제제를 포함하거나, 이들로 이루어지거나 또는 필수적으로 이루어지며, 여기에서 상기 조성물은 과산화 수소가 실질적으로 없다:
Figure 112014070394350-pct00002
바람직하게, 상기 산화제는 바나듐 옥사이드, 암모늄 요오데이트, 퍼요오드산, 또는 1,4-벤조퀴논을 포함한다. 바람직하게, 상기 부식액은 테트라플루오로붕산 또는 헥사플루오로규산을 포함한다. 상기 산화제가 요오데이트 또는 퍼요오데이트 화합물을 포함하는 경우, 상기 첫 번째 태양의 제거 조성물은 바람직하게는 하나 이상의 요오드 제거제를 추가로 포함한다.
또 다른 실시태양에서, 상기 첫 번째 태양의 조성물은, 상기 조성물의 전체 중량을 기준으로 하기의 범위로 존재하는, 하나 이상의 산화제, 하나 이상의 부식액, 하나 이상의 용매, 하나 이상의 부식 억제제, 및 하나 이상의 계면활성제를 포함하거나, 이들로 이루어지거나 또는 필수적으로 이루어지며, 여기에서 상기 조성물은 과산화 수소가 실질적으로 없다:
Figure 112014070394350-pct00003
바람직하게, 상기 산화제는 바나듐 옥사이드, 암모늄 요오데이트, 퍼요오드산, 또는 1,4-벤조퀴논을 포함한다. 바람직하게, 상기 부식액은 테트라플루오로붕산 또는 헥사플루오로규산을 포함한다. 상기 산화제가 요오데이트 또는 퍼요오데이트 화합물을 포함하는 경우, 상기 첫 번째 태양의 제거 조성물은 바람직하게는 하나 이상의 요오드 제거제를 추가로 포함한다.
더욱 또 다른 실시태양에서, 상기 첫 번째 태양의 조성물은, 상기 조성물의 전체 중량을 기준으로 하기의 범위로 존재하는, 하나 이상의 산화제, 하나 이상의 부식액, 하나 이상의 용매, 하나 이상의 부식 억제제, 및 하나 이상의 부동태화제를 포함하거나, 이들로 이루어지거나 또는 필수적으로 이루어지며, 여기에서 상기 조성물은 과산화 수소가 실질적으로 없다:
Figure 112014070394350-pct00004
바람직하게, 상기 산화제는 바나듐 옥사이드, 암모늄 요오데이트, 퍼요오드산, 또는 1,4-벤조퀴논을 포함한다. 바람직하게, 상기 부식액은 테트라플루오로붕산 또는 헥사플루오로규산을 포함한다. 상기 산화제가 요오데이트 또는 퍼요오데이트 화합물을 포함하는 경우, 상기 첫 번째 태양의 제거 조성물은 바람직하게는 하나 이상의 요오드 제거제를 추가로 포함한다.
더욱 또 다른 실시태양에서, 상기 첫 번째 태양의 조성물은, 상기 조성물의 전체 중량을 기준으로 하기의 범위로 존재하는, 하나 이상의 산화제, 하나 이상의 부식액, 하나 이상의 용매, 하나 이상의 부식 억제제, 하나 이상의 규소-함유 화합물, 및 하나 이상의 부동태화제를 포함하거나, 이들로 이루어지거나 또는 필수적으로 이루어지며, 여기에서 상기 조성물은 과산화 수소가 실질적으로 없다:
Figure 112014070394350-pct00005
바람직하게, 상기 산화제는 바나듐 옥사이드, 암모늄 요오데이트, 퍼요오드산, 또는 1,4-벤조퀴논을 포함한다. 바람직하게, 상기 부식액은 테트라플루오로붕산 또는 헥사플루오로규산을 포함한다. 상기 산화제가 요오데이트 또는 퍼요오데이트 화합물을 포함하는 경우, 상기 첫 번째 태양의 제거 조성물은 바람직하게는 하나 이상의 요오드 제거제를 추가로 포함한다.
가장 바람직하게, 상기 첫 번째 태양의 제거 조성물은 하나 이상의 요오데이트 또는 퍼요오데이트 산화제, 하나 이상의 부식액, 하나 이상의 용매, 하나 이상의 부식 억제제, 하나 이상의 부동태화제, 하나 이상의 규소-함유 화합물, 및 하나 이상의 요오드 제거제를 포함하거나, 이들로 이루어지거나 또는 필수적으로 이루어지며, 여기에서 상기 조성물은 과산화 수소가 실질적으로 없다. 훨씬 더 바람직하게, 상기 첫 번째 태양의 제거 조성물은 하나 이상의 요오데이트 또는 퍼요오데이트 산화제, 헥사플루오로규산, 물, 하나 이상의 부식 억제제, 하나 이상의 부동태화제, 하나 이상의 규소-함유 화합물, 및 하나 이상의 요오드 제거제를 포함하거나, 이들로 이루어지거나 또는 필수적으로 이루어지며, 여기에서 상기 조성물은 과산화 수소가 실질적으로 없다. 가장 바람직하게, 상기 첫 번째 태양의 제거 조성물은 암모늄 요오데이트, 헥사플루오로규산, 물, TEOS, 하나 이상의 부식 억제제, 하나 이상의 부동태화제, 및 4-메틸-2-펜탄온, 2,4-다이메틸-3-펜탄온, 사이클로헥산온 및 5-메틸-3-헵탄온으로 이루어진 그룹 중에서 선택된 하나 이상의 요오드 제거제를 포함하거나, 이들로 이루어지거나 또는 필수적으로 이루어지며, 여기에서 상기 조성물은 과산화 수소가 실질적으로 없다. 또 다른 대안에서, 상기 첫 번째 태양의 제거 조성물은 하나 이상의 요오데이트 또는 퍼요오데이트 산화제, 하나 이상의 부식액, 하나 이상의 용매, 하나 이상의 부식 억제제, 및 하나 이상의 규소-함유 화합물을 포함하거나, 이들로 이루어지거나 또는 필수적으로 이루어지며, 여기에서 상기 조성물은 과산화 수소가 실질적으로 없다. 훨씬 더 바람직하게, 상기 첫 번째 태양의 제거 조성물은 하나 이상의 요오데이트 또는 퍼요오데이트 산화제, 헥사플루오로규산, 물, 하나 이상의 부식 억제제, 및 하나 이상의 규소-함유 화합물을 포함하거나, 이들로 이루어지거나 또는 필수적으로 이루어지며, 여기에서 상기 조성물은 과산화 수소가 실질적으로 없다. 가장 바람직하게, 상기 첫 번째 태양의 제거 조성물은 암모늄 요오데이트, 헥사플루오로규산, 물, TEOS, 및 하나 이상의 부식 억제제를 포함하거나, 이들로 이루어지거나 또는 필수적으로 이루어지며, 여기에서 상기 조성물은 과산화 수소가 실질적으로 없다.
상기 두 번째 태양의 조성물의 실시태양에서, 상기 조성물은 상기 조성물의 전체 중량을 기준으로 하기의 범위로 존재하는, 하나 이상의 산화제, 하나 이상의 부식액, 하나 이상의 금속 부식 억제제, 하나 이상의 산화제 안정제, 및 하나 이상의 용매를 포함하거나, 이들로 이루어지거나 또는 필수적으로 이루어진다:
Figure 112014070394350-pct00006
바람직하게, 상기 산화제는 과산화 수소를 포함하고 상기 부식액은 TMAH를 포함한다.
상기 두 번째 태양의 조성물의 더욱 또 다른 실시태양에서, 상기 조성물은 상기 조성물의 전체 중량을 기준으로 하기의 범위로 존재하는, 하나 이상의 산화제, 하나 이상의 부식액, 하나 이상의 금속 부식 억제제, 하나 이상의 산화제 안정제, 하나 이상의 카복실레이트 염, 하나 이상의 계면활성제, 및 하나 이상의 용매를 포함하거나, 이들로 이루어지거나 또는 필수적으로 이루어진다:
Figure 112014070394350-pct00007
바람직하게, 상기 산화제는 과산화 수소를 포함하고, 상기 부식액은 TMAH를 포함하며, 상기 카복실레이트 염은 암모늄 아세테이트를 포함한다.
상기 조성물의 농축된 형태를 사용 전에 희석하는 것은 통상적인 실시임을 알 것이다. 예를 들어, 상기 조성물을 보다 농축된 형태로 제조하고 그 후에 제조사에서, 사용전에, 및/또는 제조시 사용 중에 하나 이상의 용매로 희석할 수 있다. 희석비는 약 0.1 부 희석제:1 부 조성물 농축물 내지 약 100 부 희석제:1 부 조성물 농축물의 범위일 수 있다. 더욱이 본 발명에 개시된 조성물이 산화제(이는 시간이 지남에 따라 불안정할 수 있다)를 포함함을 알아야 한다. 따라서, 상기 농축된 형태는 산화제(들)가 실질적으로 없을 수 있으며 상기 산화제를 사용전 및/또는 제조시 사용 중에 제조사에 의해 상기 농축물 또는 희석된 조성물에 도입시킬 수 있다.
본 발명에 개시된 조성물을 각각의 성분들의 단순한 첨가 및 균질한 상태로의 혼합에 의해 용이하게 제형화한다. 더욱 또한, 상기 조성물을 단일-패키지 제형 또는 사용 시점에서 또는 사용 시점 전에 혼합되는 다수-부분 제형으로서, 바람직하게는 다수-부분 제형으로서 용이하게 제형화할 수 있다. 상기 다수-부분 제형의 개별적인 부분들을 도구에서 또는 혼합 부위/영역에서, 예를 들어 인라인 믹서 또는 상기 도구의 상류 저장 탱크에서 혼합할 수 있다. 상기 다수-부분 제형의 다양한 부분들은 혼합 시 함께 목적하는 조성물을 형성하는 성분들/구성성분들의 임의의 조합을 함유할 수 있다. 상기 각 성분들의 농도는 상기 조성물의 특정한 배수로 광범위하게 다양할 수 있으며, 즉 보다 희석되거나 보다 농축될 수 있으며, 상기 조성물이 다양하게 및 한편으로 본 명세와 일치하는 성분들의 임의의 조합을 포함하거나, 상기 조합으로 이루어지거나 또는 상기 조합으로 필수적으로 이루어질 수 있음을 알 것이다.
따라서, 세 번째 태양은 하나 이상의 용기 중에 본 발명에 개시된 조성물을 형성하기에 적합한 하나 이상의 성분들을 포함하는 키트에 관한 것이다. 상기 키트의 용기는 상기 제거 조성물 성분들을 보관 및 선적하기에 적합해야 한다, 예를 들어 나우팩(NOWPak)(등록상표) 용기(어드밴스드 테크놀로지 머티리얼즈 인코포레이티드(Advanced Technology Materials, Inc.), 미국 코넥티컷주 댄버리 소재). 상기 조성물의 성분들을 함유하는 하나 이상의 용기는 바람직하게는 블렌딩 및 분배를 위해 상기 하나 이상의 용기 중의 성분들을 유체 연통시키는 수단을 포함한다. 예를 들어, 상기 나우팩(등록상표) 용기에 대해서, 상기 하나 이상의 용기 중의 라이너의 외부에 기체 압력을 적용하여 상기 라이너의 내용물 중 적어도 일부가 배출되게 하고 따라서 블렌딩 및 분배를 위한 유체 연통을 가능하게 할 수 있다. 한편으로, 기체 압력을 통상적인 가압성 용기의 헤드 공간에 적용하거나 또는 펌프를 사용하여 유체 연통을 가능하게 할 수도 있다. 또한, 상기 시스템은 바람직하게는 상기 블렌딩된 조성물을 공정 도구로 분배하기 위한 분배 포트를 포함한다.
상기 하나 이상의 용기들에 대한 라이너를 제작하기 위해서 바람직하게는 실질적으로 화학적으로 불활성이고, 불순물이 없으며, 가요성이고 탄성인 중합체성 필름 물질, 예를 들어 고밀도 폴리에틸렌을 사용한다. 바람직한 라이너 물질을 공-압출 또는 차단층 없이, 상기 라이너에 배치되는 성분들의 순도 요건에 불리한 영향을 미칠 수도 있는 임의의 안료, UV 억제제 또는 가공제 없이 가공한다. 바람직한 라이너 물질의 목록은 순수한(첨가제 없는) 폴리에틸렌, 순수한 폴리테트라플루오로에틸렌(PTFE), 폴리프로필렌, 폴리유레탄, 폴리비닐리덴 클로라이드, 폴리비닐클로라이드, 폴리아세탈, 폴리스타이렌, 폴리아크릴로나이트릴, 폴리부틸렌 등을 포함한다. 상기와 같은 라이너 물질의 바람직한 두께는 약 5 밀(0.005 in) 내지 약 30 밀(0.030 in)의 범위, 예를 들어 20 밀(0.020 in)의 두께이다.
상기 키트용 용기에 관하여, 하기의 특허 및 특허 출원들 각각의 명세 전체를 본 발명에 참고로 인용한다: "초순수 액체 중 입자의 생성을 최소화하기 위한 장치 및 방법"이란 표제의 미국 특허 제 7,188,644 호; "회수 가능하고 재사용 가능한, 백-인-드럼 유체 보관 및 분배 용기 시스템"이란 표제의 미국 특허 제 6,698,619 호; 및 2008년 5월 9일자로 출원된 "물질 블렌딩 및 분배를 위한 시스템 및 방법"이란 표제의 PCT/US08/63276.
네 번째 태양에서, 본 발명은 본 발명에 개시된 바와 같은 첫 번째 태양의 조성물 또는 두 번째 태양의 조성물을 사용하여 티타늄 나이트라이드 물질을, 표면에 상기 물질을 갖는 초소형 전자장치의 표면으로부터 에칭하는 방법에 관한 것이다. 예를 들어 티타늄 나이트라이드 물질을, 상기 초소형 전자장치 상에 존재하는 금속 전도체 및 절연체 물질을 실질적으로 손상/제거하지 않으면서 제거할 수 있다. 따라서, 바람직한 실시태양에서, 본 발명에 개시된 바와 같은 첫 번째 태양의 조성물 또는 두 번째 태양의 조성물을 사용하여 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을, 표면에 상기 물질을 갖는 초소형 전자장치의 표면으로부터 금속 전도체 및 절연체 물질에 대해 선택적이고 실질적으로 제거하는 방법을 개시한다. 또 다른 바람직한 실시태양에서, 본 발명에 개시된 첫 번째 태양의 조성물을 사용하여 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을, 표면에 상기 물질을 갖는 초소형 전자장치의 표면으로부터 금속 전도체(예를 들어 구리), 텅스텐 및 절연체 물질에 대해 선택적이고 실질적으로 제거하는 방법을 개시한다.
에칭 용도에서, 상기 조성물을 임의의 적합한 방식으로, 예를 들어 상기 조성물을 상기 초소형 전자장치의 표면상에 분무하거나, 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을 포함하는 상기 장치를 침지시키거나(상기 조성물의 정적 또는 동적 부피로), 상기 장치를 상기 조성물이 표면상에 흡수된 또 다른 물질, 예를 들어 패드, 또는 섬유성 흡수제 도포기 요소와 접촉시키거나, 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을 포함하는 상기 장치를 순환하는 조성물과 접촉시키거나, 또는 상기 조성물을 상기 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질과 제거 접촉되게 하는 임의의 다른 적합한 수단, 방식 또는 기법에 의해, 상기 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을 갖는 상기 장치의 표면에 임의의 적합한 방식으로 적용한다. 상기 적용을 동적인 또는 정적인 세정을 위해 배치 또는 단일 웨이퍼 장치에서 수행할 수 있다. 유리하게, 본 발명에 개시된 조성물은, 상기 초소형 전자장치 구조 상에 존재하고 상기 조성물에 노출될 수 있는 다른 물질들, 예를 들어 금속 및 절연 물질(즉 저-k 유전체)에 비해 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질의 선택성 덕분에, 상기 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질의 적어도 부분적인 제거를 매우 효율적이고 매우 선택적인 방식으로 성취한다.
티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을, 표면에 상기 물질을 갖는 초소형 전자장치의 표면으로부터 제거하기 위한 상기 첫 번째 또는 두 번째 태양의 조성물들의 사용에 있어서, 상기 조성물을 전형적으로는 약 20 ℃ 내지 약 100 ℃, 바람직하게는 약 45 ℃ 내지 약 60 ℃ 범위의 온도에서, 약 0.3 분 내지 약 30 분, 바람직하게는 약 0.5 분 내지 약 3 분의 충분한 시간 동안 단일 웨이퍼 도구에서 상기 장치 구조와 접촉시킨다. 상기와 같은 접촉 시간 및 온도는 예시적이며, 상기 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을 상기 장치 구조로부터 적어도 부분적으로 제거하기에 유효한 임의의 다른 적합한 시간 및 온도 조건들을 사용할 수도 있다.
하나의 실시태양에서, 상기 조성물을 상기 장치 구조로 전달하는 동안 바로바로(inline) 가열한다. 상기 욕 자체에서보다는 바로바로 가열함으로써, 상기 조성물 수명이 증가한다.
상기 목적하는 에칭 작용의 성취에 이어서, 본 발명에 개시된 조성물의 주어진 최종 사용 용도에 바람직하고 유효할 수 있는 바와 같이, 상기 조성물을 앞서 상기 조성물이 적용된 초소형 전자장치로부터, 예를 들어 세정, 세척, 또는 다른 제거 단계(들)에 의해 용이하게 제거할 수 있다. 예를 들어, 상기 장치를 탈이온수를 포함한 세정액으로 세정하고/하거나 건조(예를 들어 회전-건조, N2, 증기-건조 등)시킬 수 있다.
상기 첫 번째 또는 두 번째 태양의 조성물은 바람직하게는 금속 전도체 및 절연(즉 저-k 유전체) 물질에 비해 티타늄 나이트라이드 물질을 선택적으로 에칭시킨다. 하나의 실시태양에서, 상기 티타늄 나이트라이드의 에칭율은 높은 반면(500 Å min-1 이상, 바람직하게는 50 ℃에서 약 350 Å min-1 이상 및 60 ℃에서 약 500 Å min-1 이상), 금속의 에칭율은 낮고(약 0.01 내지 약 10 Å min-1, 바람직하게는 약 0.1 내지 약 5 Å min-1), 저-k 유전체의 에칭율은 낮다(약 0.01 내지 약 10 Å min-1, 바람직하게는 약 0.01 내지 약 5 Å min-1).
본 발명의 다섯 번째 태양은 본 발명에 개시된 방법에 따라 제조된 개선된 초소형 전자장치 및 상기와 같은 초소형 전자장치를 함유하는 제품에 관한 것이다.
여섯 번째 태양은 초소형 전자장치를 포함하는 물품의 제조 방법에 관한 것이며, 상기 방법은 상기 초소형 전자장치를, 표면에 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을 갖는 상기 초소형 전자장치의 표면으로부터 상기 물질을 에칭에 의해 제거하기에 충분한 시간 동안 상기 조성물과 접촉시키고, 상기 초소형 전자장치를 상기 물품에 통합시킴을 포함하며, 여기에서 상기 조성물은 하나 이상의 산화제, 하나 이상의 부식액, 및 하나 이상의 용매를 포함하거나, 이들로 이루어지거나 또는 필수적으로 이루어지며, 여기에서 상기 조성물은 과산화 수소가 실질적으로 없다. 한편으로, 상기 조성물은 하나 이상의 산화제, 하나 이상의 부식액, 하나 이상의 금속 부식 억제제, 하나 이상의 산화제 안정제, 및 하나 이상의 용매를 포함하거나, 이들로 이루어지거나 또는 필수적으로 이루어진다. 더욱 또 다른 태양에서, 상기 조성물은 하나 이상의 산화제, 하나 이상의 부식액, 하나 이상의 금속 부식 억제제, 하나 이상의 산화제 안정제, 하나 이상의 카복실레이트 염, 하나 이상의 계면활성제, 및 하나 이상의 용매를 포함하거나, 이들로 이루어지거나 또는 필수적으로 이루어진다. 상기 조성물은 티타늄 나이트라이드 물질을 추가로 포함하거나, 상기 물질로 이루어지거나 또는 필수적으로 이루어질 수 있다.
본 발명의 일곱 번째 태양은 초소형 전자장치 기판, 상기 기판상의 티타늄 나이트라이드층, 및 본 발명에 개시된 조성물을 포함하거나, 이들로 이루어지거나 또는 필수적으로 이루어지는 제조 물품에 관한 것이다.
본 발명의 상기 특징 및 이점들을 하기에 논의되는 예시적인 실시예들에 의해 보다 충분히 나타낸다.
실시예 1
상기 두 번째 태양에 따른 3 개의 조성물을 하기 표 1에 개시된 바와 같이 제조하였다. TiN(유형 1), TiN(유형 2), 구리, 규소 옥시나이트라이드 및 초저-k 유전체의 쿠폰들을 50 ℃에서 각각의 제형 중에 침지시키고 각각의 에칭율을 두께 손실 대 시간의 기울기를 근거로 측정하였다. 표 1에서 금속(예를 들어 구리) 및 유전체 물질에 비해 TiN의 선택성이 약 200:1 내지 약 500:1 이상임을 알 수 있다. 또한, 카복실레이트 염을 포함하는 조성물 C의 에칭율은 놀랍게도, 산화제의 농도가 조성물 A 및 B보다 40% 적다 하더라도 상기 카복실레이트 염이 없는 경우보다 더 크다. 이는 구리와 같은 금속 전도체에 대한 공격의 최소화 때문에 유리하다.
제형 및 에칭율
제형 A/중량% B/중량% C/중량%
탈이온수 72.48 72.10 73.5
과산화 수소 27.00 27.00 15.96
암모늄 플루오라이드 0.40 0.4
TMAH 0.80
CDTA 0.001 0.001
설파닐아미드 0.01
5-메틸-1H-벤조트라이아졸 0.10 0.10 0.1
암모늄 아세테이트 10
노벡(Novec) 4300 0.01 0.01
TiN 유형 1 ER ( min-1) 240.8 263.5 294.8
TiN 유형 2 ER ( min-1) 153.6 175.4 210.2
4 분간 TiN 유형 2 손실 (Å) 614.32 701.44 840.72
Cu ER (Å min-1) 0.66 0.98 0.81
SiON ER (Å min-1) 1.07 0.03 0.59
ULK ER (Å min-1) 0.96 0.96 1.10
실시예 2
상기 첫 번째 태양에 따른 7 개의 조성물(즉 과산화 수소가 실질적으로 없다)을 하기 표 2에 개시된 바와 같이 제조하였다. TiN(유형 1), 구리, PETEOS 유전체, 및 텅스텐의 쿠폰들을 50 ℃ 또는 60 ℃에서 각각의 제형 중에 침지시키고 에칭율을 주어진 시간 간격에 걸친 손실로부터 추정하였다. 표 2에서 Cu 및 W 및 유전체 물질에 비해 TiN의 선택성이 다수의 경우에 >50:1, 일부의 경우에 >100:1임을 알 수 있다. 0.1% HF 및 0.1% 붕산을 갖는 실제 조성물, 제형 J의 경우, 최종적으로 평형으로 존재하는 종들은 주로 HBF3OH + HBF4일 수도 있지만, 수분 내에 0.11% HBF 및 0.023% 붕산(나열된 바와 같이)으로 전환되는 것으로 예상됨에 주목한다.
제형 및 에칭율
제형 D/중량%
(60℃)
E/중량%
(60℃)
F/중량%
(60℃)
G/중량% (50℃) H/중량% (50℃) I/중량% (60℃) J/중량% (60℃)
탈이온수 99.46 99.46 99.465 99.06 99.09 99.089 98.767
V6O13 0.01 0.01 0.01 0.01
암모늄 요오데이트 0.005
퍼요오드산 0.01
테트라플루오로붕산 0.8 0.8 0.8 0.11
헥사플루오로규산 0.4 0.4 0.4
붕산 0.023
1,4-벤조퀴논 1
5-페닐-1H-테트라졸 0.03 0.03 0.03 0.03
5-메틸-1H-벤조트라이아졸 0.1 0.1
미리스틸트라이메틸-암모늄 브로마이드 0.1
도데실트라이메틸-암모늄 브로마이드 0.1
벤즈알코늄 클로라이드 0.1 0.001
벤질다이메틸도데실 암모늄 클로라이드 0.1
폴리폭스(PolyFox) PF-159 0.1
TiN 유형 1 ER (0.8-1 분간 손실로부터) (Å min-1) 146.8 97.4 139.0 111.5 106.0 183.2 93.5
Cu ER (Å min-1)(30 분간 손실로부터) 6.1 2.9 1.0 1.9 2.2 10.4 0.9
W ER (Å min-1)(30 분간 손실로부터) 3.8 0.9 1.1 4.4 2.3 3.0 ---
PETEOS ER (Å min-1)(30 분간 손실로부터) 1.4 1.5 1.6 2.5 --- 1.1 0.4
실시예 3
3 개의 조성물을 표 3에 나타낸 바와 같이 제형화하였다.
요오드 제거제 함유 조성물
성분 K L M
탈이온수 98.845 98.645 98.845
H2SiF6 0.8 0.8 0.8
암모늄 요오데이트 0.01 0.01 0.01
5-벤질-테트라졸 0.04 0.04 0.04
미리스틸 트라이메틸 암모늄 Br 0.005 0.005 0.005
TEOS 0.2 0.2 0.2
4-메틸-2-펜탄온 0.1 - -
2,4-트라이메틸-3-펜탄온 - 0.3 -
사이클로헥산온 - - 0.1
500 및 750 웨이퍼 욕 부하(bath loading)를 갖는 조성물들을 모방하는 K, L 및 M의 조성물들을, 용액 중에 용해될 수 있는 Cu(구리 설페이트로서), TiN(TiN 분말로서) 및 W(암모늄 텅스테이트로서)의 질량(이는 500 또는 750 웨이퍼에 대해 일정한 부피의 화학 및 노출을 나타낸다)을 계산함으로써 제조하였다. Cu, TiN, W 및 PETEOS의 쿠폰들을 특정 량의 시간 동안 60 ℃에서, 깨끗한 K, L 및 M뿐만 아니라 500 웨이퍼 부하된 K, L 및 M 용액, 및 750 웨이퍼 부하된 K, L 및 M 용액에 침지시켰다. 예를 들어, TiN 쿠폰을 30초간 침지시키고, Cu, W 및 PETEOS를 5, 15 및 30 분간 시험하였다. 결과를 하기 표 4에 나타낸다.
제형 K, L 및 M 중에서 TiN, W, Cu 및 PETEOS 에칭율
제형 TiN 에칭율/Å min-1 TiN 표준 편차 W 에칭율/Å min-1 Cu 에칭율/Å min-1 PETEOS 에칭율/Å min-1
K 91.3 8.9 <0.5 0.6 <0.5
K (500 웨이퍼 부하된) 85.7 8.1 0.6 <0.5 <0.5
K (750 웨이퍼 부하된) 64.5 7.5 0.8 0.6 <0.5
L 92.2 7.1 <0.5 0.6 <0.5
L (500 웨이퍼 부하된) 81.3 7.6 <0.5 1.1 <0.5
L (750 웨이퍼 부하된) 45.3 6.2 0.6 1.0 <0.5
M 98.1 6.5 0.6 0.5 <0.5
M (500 웨이퍼 부하된) 85.4 7.1 1.0 0.7 <0.5
M (750 웨이퍼 부하된) 44.4 1.7 1.0 0.5 <0.5
시험된 3 개의 요오드 제거제들은 모두 에칭율 시험을 근거로 대략 500 웨이퍼까지 욕 부하 수명을 연장시킬 수 있었음을 알 수 있다. 750 웨이퍼 욕 부하시, 제한 인자는 TiN 에칭율이었으며, 여기에서 시험된 가장 유효한 요오드 제거제는 4-메틸-2-펜타논이었다.
실시예 4
10 개의 농축된 제형을 본 발명에 개시된 두 번째 태양에 따라 제조하였으며, 상기 제형은 하기의 치환체들을 갖는다. 각각은 0.01 중량% CDTA 및 1.000 중량% 5-메틸 BTA, 및 하기 표 5에 개시된 부식액을 포함하였다:
제형 AA - JJ 중의 부식액들
제형 부식액 순수한 부식액의 중량% 수 중량%
AA TMAH 8.000 90.990
BB 40% TEAH 12.93 86.06
CC 40 % TPAH 17.86 81.13
DD 40 % TPAH 35.71 63.28
EE 55 % TBAH 22.77 76.22
FF KOH 4.93 94.06
GG 40 % TBPH 24.27 74.72
HH 20 % BTEAH 18.38 80.61
II TMG 10.11 88.88
JJ 20 % DEDMAH 10.47 88.52
상기 농축된 제형들을 30% 과산화 수소로 9:1 희석하였다(9 부의 H2O2 대 1 부의 농축물). TiN, Cu, Co, TEOS 및 LTO(저온 옥사이드)의 쿠폰들을 50 ℃에서 각각의 희석된 제형 중에 침지시키고 이의 에칭율을 측정하였다. 상기 TiN(200 Å)의 대부분은 1 분의 처리 시간 내에 제거되었다. 결과를 하기 표 6에 표로 나타낸다.
제형 AA-JJ에서의 Cu, TiN, LTO, TEOS, 및 Co의 에칭율
제형 Cu ER (Å/분) TiN ER (Å/분) LTO ER (Å/분) TEOS ER (Å/분) Co ER (Å/분)
AA 0.7 >500 <0.5 <0.5 <0.5
BB 0.419 371.8 0.6341 0.0216 -0.2612
CC 0.237 236.5 0.1852 0.0298 -0.18
DD 0.266 277.6 0.3692 0.1996 -0.203
EE 0.978 180.2 0.0474 -0.111 0.457
FF 0.669 418.5 -0.1559 0.38 0.69
GG 0.0157 362.2 0.0255 0.202 -0.806
HH 0.552 228.9 0.1394 -0.27 0.2849
II 1.184 279.7 0.2531 -0.032 0.0754
JJ 0.92 233.2 -0.0188 0.0485 -0.4176
유리하게는, 상이한 부식액들을 포함하는 상기 제형들은 구리, 코발트 또는 저-k 유전체 물질을 실질적으로 제거하지 않으면서 TiN을 유효하게 제거함을 알 수 있다.
실시예 5
제형들을 하기 표에 나타낸 바와 같이 상기 첫 번째 태양에 따라 제조하였으며, 이때 양은 상기 제형의 전체 중량을 기준으로 중량%로 제공된다. 상기 제형의 잔량은 탈이온수였다. TiN, Cu, W 및 LTO(저온 옥사이드)의 쿠폰들을 50 ℃에서 각각의 희석된 제형 중에 침지시키고 이들의 에칭율을 측정하였다. 특히, 상기 TiN 에칭율은 상이한 TiN 배치 및 따라서 상이한 필름 성질들로 인해 비교될 수 없었다. 결과를 하기 표 7에 표로 나타낸다.
제형 KK-PP 및 에칭 결과
제형 KK 제형 LL 제형 MM 제형 NN 제형 OO 제형 PP
H2SiF6 0.8 0.8 0.8 0.4 0.4 0.4
NH4IO3 0.01 0.01 0.01
퍼요오드산 0.125 0.125 0.125
5-페닐 테트라졸 0.003 0.003 0.003
5 벤질 테트라졸 0.04 0.04 0.04
미리스틸트라이메틸암모늄 브로마이드 0.005
헥사데실트라이메틸암모늄 p-톨루엔설포네이트 0.005 0.005
헥사데실트라이메틸 암모늄 하이드록사이드 0.005 0.005
TEOS 0.2 0.2 0.2 0.005
Cu ER (Å/분) 1.17 0.18 0.22 7.25 0.23 10.85
W ER (Å/분) 0.7 1.07 2.32 0.28 161.45 0.67
LTO ER (Å/분) 0.35 1.03 0.71 4.17 3.96 3.87
TiN ER (Å/분) 101.41 43.22 657.04 236.16 62.98 755.82
이들 실험으로부터의 결론은 비-브로마이드 계면활성제/부식 억제제가 상기 암모늄 요오데이트 시스템에서 매우 유효하였으며, 이때 TiN은 Cu, W 및 LTO에 비해 선택적으로 에칭되었다는 것이다.
실시예 6
제형들을 하기 표에 나타낸 바와 같이 상기 첫 번째 태양에 따라 제조하였으며, 이때 양은 상기 제형의 전체 중량을 기준으로 중량%로 제공된다. 상기 제형의 잔량은 탈이온수였다. TiN, Cu, W 및 PETEOS의 쿠폰들을 50 ℃에서 각각의 희석된 제형 중에 침지시키고 이들의 에칭율을 측정하였다. 결과를 하기 표 8에 표로 나타낸다.
제형 RR-VV 및 에칭율
제형 RR 제형 SS 제형 TT 제형 UU 제형 VV
플루오라이드 공급원 0.8 중량% H2SiF6 0.8 중량% H2ZrF6 0.8 중량% H2TiF6 0.8 중량% HPF6 1 중량% HF
TEOS 0.1 0.1 0.1 0.1 0.1
4-메틸-2-펜탄온 0.1 0.1 0.1 0.1 0.1
미리스틸트라이메틸암모늄 브로마이드 0.005 0.005 0.005 0.005 0.005
메틸 BTA 0.5 0.5 0.5 0.5 0.5
암모늄 요오데이트 0.01 0.01 0.01 0.01 0.01
DI 수 98.49 98.49 98.49 98.49 98.29
Cu ER (Å/분) 0.7 0.6 1.0 0.6 0.5
W ER (Å/분) 2.1 1.8 2.2 6.2 9.8
TiN ER (Å/분) 117.1 78.6 40.5 122.8 420.9
PETEOS ER (Å/분) 0.9 0.5 1.7 5.1 -
이들 실험으로부터의 결론은 상이한 플루오라이드 공급원이 상기 암모늄 요오데이트 시스템에서 매우 유효하였으며, 이때 TiN은 Cu, W 및 LTO에 비해 선택적으로 에칭되었다는 것이다. 에칭율은 pH에 의해 강하게 영향을 받는 것처럼 보인다.
실시예 7
제형들을 하기 표에 나타낸 바와 같이 상기 첫 번째 태양에 따라 제조하였으며, 이때 양은 상기 제형의 전체 중량을 기준으로 중량%로 제공된다. 상기 제형의 잔량은 탈이온수였다. TiN, Cu, W, TEOS 및 LTO(저온 옥사이드)의 쿠폰들을 50 ℃에서 각각의 희석된 제형 중에 침지시키고 표 9에 제공된 바와 같이 이들의 에칭율을 측정하였다.
제형 WW-ZZ-2 및 에칭율
제형 WW 제형 XX 제형 YY 제형 ZZ 제형 ZZ-1 제형 ZZ-2
플루오라이드 공급원 1.2 중량% H2SiF6 1.2 중량% H2SiF6 1.2 중량% H2SiF6 0.8 중량% H2SiF6 0.8 중량% H2SiF6 0.8 중량% H2SiF6
암모늄 요오데이트 0.01 0.01 0.01
퍼요오드산 0.003
V6O13 0.01
NH4VO3 0.01
TEOS 0.3 0.3 0.3 0.2 0.2 0.2
벤즈알코늄 클로라이드 0.004 0.004 0.004 0.005
미리스틸트라이메틸암모늄 브로마이드 0.005 0.005
5 메틸 BTA 0.5 0.5 0.5
4-메틸-2-펜탄온 0.1 0.1
DI 수 98.49 98.49 98.49 98.49 98.39 98.39
Cu ER (Å/분) 0.5 1.7 1.3
W ER (Å/분) < 0.5 0.9 0.8 < 0.5 < 2.3 < 0.5
TiN ER (Å/분) 109.1 122.6 132.9 49.33 51.57 39.49
LTO ER (Å/분) 1.3 1.1 1.0 1.4 < 0.5 < 0.5
TEOS ER (Å/분) < 0.5 < 0.5 < 0.5 < 0.5 < 0.5 < 0.5
상이한 산화제 및 보다 높은 플루오라이드 농도를 갖는 이들 실험으로부터의 결론은 보다 높은 TiN 에칭율, 및 따라서 다른 금속 전도체층들 및 유전체층들에 대해 보다 높은 선택성이 성취된다는 것이다.
실시예 8
0.01 중량% 암모늄 요오데이트, 0.8 중량% 헥사플루오로규산, 0.2 중량% TEOS, 0.04 중량% 5-벤질 테트라졸, 표 10에 개시된 부식 억제제, 및 잔량의 물을 포함하는 상기 첫 번째 태양의 제형들을 제조하였다. 각각의 pH는 1 내지 3의 범위였다. 에칭율 측정을, 상업적으로 입수할 수 있는 TiN 및 W 쿠폰을 50 ℃에서 일정 시간 동안 각각의 제형 중에 침지시키고 손실된 TiN 및 W를 분석함으로써 수행하였다. 결과를 또한 표 10에 제공한다.
제형 부식 억제제/중량% W ER/Å
min-1
TiN ER/Å min-1 TiN/W 선택성
A1 0.005 중량% 미리스틸 트라이메틸암모늄 브로마이드 0.70 46.63 66.9
A1 0.005 중량% 미리스틸 트라이메틸암모늄 브로마이드 0.90 45.27 50.4
A1 0.005 중량% 미리스틸 트라이메틸암모늄 브로마이드 0.60 33.67 55.9
A1 0.005 중량% 미리스틸 트라이메틸암모늄 브로마이드 0.79 22.76 28.9
A2 0.005 중량% 벤즈알코늄 클로라이드 0.84 39.67 47.0
A3 0.0025 중량% 헥사데실트라이메틸암모늄 p-톨루엔설포네이트 8.35 17.93 2.1
A4 0.01 중량% 헥사데실트라이메틸암모늄 p-톨루엔설포네이트 1.88 19.80 10.5
A5 0.025 중량% 헥사데실트라이메틸암모늄 하이드록사이드 5.53 19.76 3.6
A6 0.01 중량% 헥사데실트라이메틸암모늄 하이드록사이드 0.93 33.40 35.9
A7 0.0025 중량% 나트륨 도데실벤젠설포네이트 8.59 69.68 8.1
A8 0.01 중량% 나트륨 도데실벤젠설포네이트 22.17 27.15 1.2
A10 0.005 중량% 벤즈알코늄 클로라이드 0.59 33.88 57.6
A11 0.0025 중량% 벤질도데실다이메틸 암모늄 클로라이드 0.71 44.41 62.5
A12 0.01 중량% 벤질도데실다이메틸 암모늄 클로라이드 0.75 31.37 41.6
A13 0.0025 중량% 벤즈에토늄 클로라이드 0.55 24.87 44.8
A14 0.01 중량% 벤즈에토늄 클로라이드 0.62 13.86 22.4
A15 0.0025 중량% 도데실트라이메틸암모늄 브로마이드 0.45 51.79 114.2
A16 0.01 중량% 도데실트라이메틸암모늄 브로마이드 0.80 45.72 56.9
A17 0.05 중량% 사카린 0.84 44.21 52.8
A18 0.125 중량% 사카린 0.76 50.68 66.7
A19 0.00025 중량% 1-메틸-3-n-옥틸이미다졸륨 테트라플루오로보레이트 0.49 30.52 62.7
A20 0.001 중량% 1-메틸-3-n-옥틸이미다졸륨 테트라플루오로보레이트 0.37 27.98 76.5
A21 0.00025 중량% 1-데실-3-메틸이미다졸륨 테트라플루오로보레이트 0.40 28.27 70.5
A22 0.001 중량% 1-데실-3-메틸이미다졸륨 테트라플루오로보레이트 0.40 30.79 77.4
A23 0.00025 중량% 1-데실-3-메틸이미다졸륨 클로라이드 0.40 26.32 66.5
A24 0.001 중량% 1-데실-3-메틸이미다졸륨 클로라이드 0.49 28.74 58.8
A25 0.00025 중량% 트라이도데실메틸 암모늄 브로마이드 0.31 28.76 91.5
A26 0.001 중량% 트라이도데실메틸 암모늄 브로마이드 0.26 30.15 115.4
A27 0.00025 중량% 헥사데실트라이메틸 암모늄 브로마이드 0.35 22.64 63.9
A28 0.001 중량% 헥사데실트라이메틸 암모늄 브로마이드 0.51 13.89 27.2
A29 0.00025 중량% 다이메틸다이스테아릴 암모늄 클로라이드 0.30 19.86 66.7
A30 0.001 중량% 다이메틸다이스테아릴 암모늄 클로라이드 0.51 23.77 46.4
A31 0.00025 중량% 헥사메토늄 클로라이드 0.20 26.59 136.4
A32 0.001 중량% 헥사메토늄 클로라이드 0.36 28.17 79.2
A33 0.00025 중량% 테트라헵틸암모늄 브로마이드 0.65 21.32 32.9
A34 0.001 중량% 테트라헵틸암모늄 브로마이드 0.53 23.34 44.2
A35 0.00025 중량% 테트라키스데실암모늄 브로마이드 0.24 27.29 113.7
A36 0.001 중량% 테트라키스데실암모늄 브로마이드 0.52 19.29 37.1
몇몇 양이온성 4급 염의 포함은 텅스텐에 비해 TiN의 제거의 선택성에 상당한 영향을 미쳤음을 알 수 있다.
본 발명을 본 발명에서 본 발명의 특정한 태양, 특징 및 예시적인 실시태양들을 참조로 개시하였지만, 본 발명의 유용성을 이런 식으로 제한하는 것이 아니라, 오히려 본 발명의 명세를 기본으로, 본 발명의 분야의 통상적인 숙련가들에게 제시되는 바와 같이, 다수의 다른 변화, 변형 및 또 다른 실시태양들로 확장시키고 이들을 포함함을 알 것이다. 상응하게, 본 발명에서 이후에 청구되는 바와 같은 발명을 그의 진의 및 범위 이내에 모든 상기와 같은 변화, 변형 및 또 다른 실시태양들을 포함하는 것으로서 광범위하게 추론하고 해석하고자 한다.

Claims (40)

  1. 표면에 티타늄 나이트라이드를 갖는 초소형 전자장치의 표면으로부터 티타늄 나이트라이드를 선택적으로 제거하기 위한 조성물로서, 하나 이상의 산화제, 하나 이상의 부식액(etchant), 하나 이상의 부식 억제제 및 하나 이상의 용매를 포함하고,
    상기 산화제가 FeCl3(수화된 것 및 수화되지 않은 것 모두), Sr(NO3)2, CoF3, FeF3, MnF3, 옥손(2KHSO5·KHSO4·K2SO4), 퍼요오드산, 요오드산, 바나듐(V) 옥사이드, 바나듐(IV,V) 옥사이드, 암모늄 바나데이트, 암모늄 퍼옥소모노설페이트, 암모늄 클로라이트(NH4ClO2), 암모늄 클로레이트(NH4ClO3), 암모늄 요오데이트(NH4IO3), 암모늄 나이트레이트(NH4NO3), 암모늄 퍼보레이트(NH4BO3), 암모늄 퍼클로레이트(NH4ClO4), 암모늄 퍼요오데이트(NH4IO3), 암모늄 퍼설페이트((NH4)2S2O8), 암모늄 하이포클로라이트(NH4ClO), 암모늄 텅스테이트((NH4)10H2(W2O7)), 나트륨 퍼설페이트(Na2S2O8), 나트륨 하이포클로라이트(NaClO), 나트륨 퍼보레이트, 칼륨 요오데이트(KIO3), 칼륨 퍼망가네이트(KMnO4), 질산(HNO3), 칼륨 퍼설페이트(K2S2O8), 칼륨 하이포클로라이트(KClO)), 테트라메틸암모늄 클로라이트((N(CH3)4)ClO2), 테트라메틸암모늄 클로레이트((N(CH3)4)ClO3), 테트라메틸암모늄 요오데이트((N(CH3)4)IO3), 테트라메틸암모늄 퍼보레이트((N(CH3)4)BO3), 테트라메틸암모늄 퍼클로레이트((N(CH3)4)ClO4), 테트라메틸암모늄 퍼요오데이트((N(CH3)4)IO4), 테트라메틸암모늄 퍼설페이트((N(CH3)4)S2O8), 테트라부틸암모늄 퍼옥소모노설페이트, 퍼옥소모노황산, 질산 제2철(Fe(NO3)3), 퍼아세트산(CH3(CO)OOH), 1,4-벤조퀴논, 톨루퀴논, 다이메틸-1,4-벤조퀴논, 클로라닐, 알록산, N-메틸모폴린 N-옥사이드, 트라이메틸아민 N-옥사이드, 및 이들의 조합으로 이루어진 그룹 중에서 선택된 종을 포함하고,
    상기 산화제가 요오데이트 또는 퍼요오데이트를 포함하는 경우, 하나 이상의 요오드 제거제를 추가로 포함하고, 과산화 수소가 실질적으로 없으며,
    상기 하나 이상의 부식 억제제는 미리스틸트라이메틸암모늄 브로마이드, 헥사데실트라이메틸암모늄 하이드록사이드, 5-메틸-벤조트라이아졸, 벤조트라이아졸 카복실산, 펜틸렌테트라졸, 5-페닐-1H-테트라졸, 5-벤질-1H-테트라졸, 2-벤질피리딘, 숙신이미드, 아데노신, 카바졸, 사카린, 벤조인 옥심, 폴리(에틸렌 글리콜), 폴리(프로필렌 글리콜), PEG-PPG 공중합체, 및 이들의 조합으로 이루어진 그룹 중에서 선택된 종을 포함하고,
    상기 부식액이 테트라플루오로붕산, 헥사플루오로규산, 및 이들의 조합으로 이루어진 그룹 중에서 선택된 종을 포함하는, 조성물.
  2. 삭제
  3. 삭제
  4. 삭제
  5. 제 1 항에 있어서,
    산화제가 바나듐(V) 옥사이드, 바나듐(IV,V) 옥사이드, 암모늄 요오데이트, 암모늄 퍼요오데이트, 암모늄 바나데이트, 퍼요오드산, 요오드산 및 1,4-벤조퀴논으로 이루어진 그룹 중에서 선택된 종을 포함하는, 조성물.
  6. 제 1 항에 있어서,
    산화제가 암모늄 요오데이트, 암모늄 퍼요오데이트, 요오드산 및 퍼요오드산으로 이루어진 그룹 중에서 선택된 종을 포함하는, 조성물.
  7. 제 1 항에 있어서,
    하나 이상의 요오드 제거제는 케톤을 포함하는, 조성물.
  8. 제 1 항에 있어서,
    하나 이상의 요오드 제거제는 4-메틸-2-펜탄온, 2,4-다이메틸-3-펜탄온, 사이클로헥산온, 5-메틸-3-헵탄온, 5-하이드록시-2-펜탄온, 2,5-헥산다이온, 4-하이드록시-4-메틸-2-펜탄온, 아세톤, 부탄온, 2-메틸-2-부탄온, 3,3-다이메틸-2-부탄온, 4-하이드록시-2-부탄온, 사이클로펜탄온, 2-펜탄온, 3-펜탄온, 1-페닐에탄온, 아세토페논, 벤조페논, 2-헥산온, 3-헥산온, 2-헵탄온, 3-헵탄온, 4-헵탄온, 2,6-다이메틸-4-헵탄온, 2-옥탄온, 3-옥탄온, 4-옥탄온, 다이사이클로헥실 케톤, 2,6-다이메틸사이클로헥산온, 2-아세틸사이클로헥산온, 2,4-펜탄다이온, 멘톤, 및 이들의 조합으로 이루어진 그룹 중에서 선택되는, 조성물.
  9. 제 1 항에 있어서,
    하나 이상의 요오드 제거제는 4-메틸-2-펜탄온, 2,4-다이메틸-3-펜탄온, 및 사이클로헥산온으로 이루어진 그룹 중에서 선택되는, 조성물.
  10. 제 1 항에 있어서,
    하나 이상의 용매가 물을 포함하는, 조성물.
  11. 제 1 항에 있어서,
    조성물이 조성물의 전체 중량을 기준으로 98 중량% 이상의 물을 포함하는, 조성물.
  12. 삭제
  13. 제 1 항에 있어서,
    하나 이상의 부식 억제제가 5-메틸-벤조트라이아졸, 벤조트라이아졸 카복실산, 펜틸렌테트라졸, 5-페닐-1H-테트라졸, 5-벤질-1H-테트라졸, 2-벤질피리딘, 숙신이미드, 아데노신, 카바졸, 사카린, 벤조인 옥심, 폴리(에틸렌 글리콜), 폴리(프로필렌 글리콜), PEG-PPG 공중합체, 및 이들의 조합으로 이루어진 그룹 중에서 선택된 종을 포함하는, 조성물.
  14. 제 1 항에 있어서,
    하나 이상의 부식 억제제가 미리스틸트라이메틸암모늄 브로마이드, 헥사데실트라이메틸암모늄 하이드록사이드로 이루어진 그룹 중에서 선택된 종을 포함하는, 조성물.
  15. 제 1 항에 있어서,
    조성물이 하나 이상의 계면활성제, 하나 이상의 저-k 부동태화제(passivating agent), 하나 이상의 규소-함유 화합물 및 이들의 조합으로 이루어진 그룹 중에서 선택된 하나 이상의 추가적인 성분을 또한 포함하는, 조성물.
  16. 제 15 항에 있어서,
    붕산, 암모늄 펜타보레이트, 나트륨 테트라보레이트, 3-하이드록시-2-나프토산, 말론산, 이미노다이아세트산, 및 이들의 조합으로 이루어진 그룹 중에서 선택된 하나 이상의 부동태화제를 포함하는 조성물.
  17. 제 15 항에 있어서,
    메틸트라이메톡시실란, 다이메틸다이메톡시실란, 페닐트라이메톡시실란, 테트라에톡시실란(TEOS), N-프로필트라이메톡시실란, N-프로필트라이에톡시실란, 헥실트라이메톡시실란, 헥실트라이에톡시실란, 암모늄 헥사플루오로실리케이트, 나트륨 실리케이트, 테트라메틸 암모늄 실리케이트(TMAS), 및 이들의 조합으로 이루어진 그룹 중에서 선택된 하나 이상의 규소-함유 화합물을 포함하는 조성물.
  18. 제 1 항에 있어서,
    조성물이 아민, 마모성 물질, 클로라이드 공급원, 금속 할라이드, 및 이들의 조합이 실질적으로 없는, 조성물.
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 제 1 항에 있어서,
    하나 이상의 카복실레이트 염을 또한 포함하는, 조성물.
  27. 제 26 항에 있어서,
    카복실레이트 염이, 아세테이트, 벤조에이트, 시트레이트, 포메이트, 옥살레이트, 타타레이트, 숙시네이트, 락테이트, 말리에이트, 말로네이트, 퓨마레이트, 말레이트, 아스코르베이트, 만델레이트, 및 프탈레이트로 이루어진 그룹 중에서 선택된 음이온, 및 암모늄 양이온을 포함하는, 조성물.
  28. 제 26 항에 있어서,
    카복실레이트 염이 암모늄 아세테이트, 암모늄 벤조에이트, 또는 이들의 조합을 포함하는, 조성물.
  29. 삭제
  30. 삭제
  31. 삭제
  32. 제 1 항에 있어서,
    하나 이상의 산화제 안정제를 또한 포함하는, 조성물.
  33. 제 32 항에 있어서,
    산화제 안정제가 글리신, 세린, 프롤린, 류신, 알라닌, 아스파라진, 아스파트산, 글루타민, 발린 및 리신, 나이트릴로트라이아세트산, 이미노다이아세트산, 에티드론산, 에틸렌다이아민테트라아세트산(EDTA), (1,2-사이클로헥실렌다이나이트릴로)테트라아세트산(CDTA), 요산, 테트라글라임, 다이에틸렌트라이아민 펜타아세트산, 프로필렌다이아민 테트라아세트산, 에틸렌다이아민 다이숙신산, 설파닐아미드, 및 이들의 조합으로 이루어진 그룹 중에서 선택된 종을 포함하는, 조성물.
  34. 제 32 항에 있어서,
    산화제 안정제가 CDTA, 설파닐아미드, 또는 이들의 조합을 포함하는, 조성물.
  35. 삭제
  36. 제 1 항에 있어서,
    조성물이 실리케이트, 마모성 물질, 클로라이드 공급원, 금속 할라이드 및 이들의 조합이 실질적으로 없는, 조성물.
  37. 삭제
  38. 티타늄 나이트라이드 물질을 표면에 갖는 초소형 전자장치의 표면으로부터 상기 물질을 에칭하는 방법으로서, 상기 표면을 제 1 항에 따른 조성물과 접촉시킴을 포함하고, 이때 상기 조성물이 상기 티타늄 나이트라이드 물질을 상기 표면으로부터 금속 및 절연 물질에 비해 선택적으로 제거하는 방법.
  39. 제 38 항에 있어서,
    상기 접촉이 20 ℃ 내지 100 ℃ 범위의 온도에서 0.3 분 내지 30 분 범위의 시간을 포함하는, 방법.
  40. 제 38 항 또는 제 39 항에 있어서,
    표면을 조성물과 접촉시킨 후 표면으로부터 조성물이 세정되는, 방법.
KR1020147021045A 2011-12-28 2012-12-27 티타늄 나이트라이드의 선택적인 에칭을 위한 조성물 및 방법 KR102102792B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201161580942P 2011-12-28 2011-12-28
US61/580,942 2011-12-28
US201261675640P 2012-07-25 2012-07-25
US61/675,640 2012-07-25
US201261726782P 2012-11-15 2012-11-15
US61/726,782 2012-11-15
PCT/US2012/071777 WO2013101907A1 (en) 2011-12-28 2012-12-27 Compositions and methods for selectively etching titanium nitride

Publications (2)

Publication Number Publication Date
KR20140132708A KR20140132708A (ko) 2014-11-18
KR102102792B1 true KR102102792B1 (ko) 2020-05-29

Family

ID=48698606

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147021045A KR102102792B1 (ko) 2011-12-28 2012-12-27 티타늄 나이트라이드의 선택적인 에칭을 위한 조성물 및 방법

Country Status (8)

Country Link
US (2) US9546321B2 (ko)
EP (1) EP2798669B1 (ko)
JP (1) JP6329909B2 (ko)
KR (1) KR102102792B1 (ko)
CN (1) CN104145324B (ko)
SG (2) SG11201403556WA (ko)
TW (1) TWI588239B (ko)
WO (1) WO2013101907A1 (ko)

Families Citing this family (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013101907A1 (en) 2011-12-28 2013-07-04 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
CN104508072A (zh) 2012-02-15 2015-04-08 安格斯公司 用于cmp后去除的组合物及使用方法
SG10201610541UA (en) 2012-05-18 2017-01-27 Entegris Inc Composition and process for stripping photoresist from a surface including titanium nitride
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
TWI655273B (zh) * 2013-03-04 2019-04-01 美商恩特葛瑞斯股份有限公司 選擇性蝕刻氮化鈦之組成物及方法
US10920141B2 (en) * 2013-06-06 2021-02-16 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
CN112442374A (zh) * 2013-07-31 2021-03-05 恩特格里斯公司 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂
US10428271B2 (en) * 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US9291910B2 (en) * 2013-09-27 2016-03-22 Dynaloy, Llc Aqueous solution and process for removing substances from substrates
US20150104952A1 (en) * 2013-10-11 2015-04-16 Ekc Technology, Inc. Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper
WO2015054464A1 (en) * 2013-10-11 2015-04-16 E. I. Du Pont De Nemours And Company Removal composition for selectively removing hard mask and methods thereof
EP3060642B1 (en) * 2013-10-21 2019-11-06 FujiFilm Electronic Materials USA, Inc. Cleaning formulations for removing residues on surfaces
JP6494627B2 (ja) * 2013-12-06 2019-04-03 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 表面上の残渣を除去するための洗浄用製剤
EP3080240A4 (en) * 2013-12-11 2017-07-19 FujiFilm Electronic Materials USA, Inc. Cleaning formulation for removing residues on surfaces
TWI654340B (zh) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
US9472420B2 (en) 2013-12-20 2016-10-18 Air Products And Chemicals, Inc. Composition for titanium nitride hard mask and etch residue removal
KR102352475B1 (ko) 2013-12-20 2022-01-18 엔테그리스, 아이엔씨. 이온-주입된 레지스트의 제거를 위한 비-산화성 강산의 용도
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
KR101842033B1 (ko) * 2014-01-06 2018-03-26 한화테크윈 주식회사 그래핀 제조용 조성물 및 이를 이용한 그래핀의 제조 방법
TWI642763B (zh) * 2014-01-27 2018-12-01 三菱瓦斯化學股份有限公司 氮化鈦除去用液體組成物、利用該液體組成物之半導體元件之洗滌方法、及半導體元件之製造方法
US20160340620A1 (en) * 2014-01-29 2016-11-24 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
TWI659088B (zh) 2014-03-18 2019-05-11 Fujifilm Electronic Materials U. S. A., Inc. 蝕刻組成物
EP3139402B1 (en) * 2014-05-02 2018-08-15 Mitsubishi Gas Chemical Company, Inc. Semiconductor element cleaning liquid and cleaning method
KR102375342B1 (ko) * 2014-05-13 2022-03-16 바스프 에스이 Tin 풀-백 및 클리닝 조성물
KR102420338B1 (ko) * 2014-06-04 2022-07-13 엔테그리스, 아이엔씨. 금속, 유전체 및 니트라이드 상용성을 가진 반사-방지 코팅 세정 및 에칭-후 잔류물 제거 조성물
US9222018B1 (en) 2014-07-24 2015-12-29 Air Products And Chemicals, Inc. Titanium nitride hard mask and etch residue removal
KR102487441B1 (ko) 2014-09-14 2023-01-12 엔테그리스, 아이엔씨. 구리 및 유전체 상의 코발트 침착 선택성
WO2016042408A2 (en) * 2014-09-17 2016-03-24 Atmi Taiwan Co., Ltd. Compositions for etching titanium nitride having compatability with silicon germanide and tungsten
US9593297B2 (en) 2014-10-15 2017-03-14 Micron Technology, Inc. Compositions for removing residues and related methods
JP6501492B2 (ja) * 2014-10-31 2019-04-17 関東化學株式会社 フォトレジスト残渣および/またはポリマー残渣を除去するための組成物
TWI546371B (zh) * 2014-11-10 2016-08-21 盟智科技股份有限公司 研磨組成物
US10629426B2 (en) * 2014-11-13 2020-04-21 Mitsubishi Gas Chemical Company, Inc. Semiconductor element cleaning solution that suppresses damage to cobalt, and method for cleaning semiconductor element using same
JP6425342B2 (ja) * 2014-12-26 2018-11-21 富士フイルム株式会社 エッチング液、これを用いたエッチング方法および半導体基板製品の製造方法
US10301580B2 (en) * 2014-12-30 2019-05-28 Versum Materials Us, Llc Stripping compositions having high WN/W etching selectivity
CN105755472B (zh) * 2015-01-05 2019-12-17 东友精细化工有限公司 银蚀刻液组合物和利用它的显示基板
JP6348994B2 (ja) 2015-02-12 2018-06-27 富士フイルム株式会社 Iii−v族元素の酸化物の除去液および除去方法、iii−v族元素の化合物の処理液、iii−v族元素の酸化防止液、ならびに、半導体基板の処理液および半導体基板製品の製造方法
WO2016138218A1 (en) * 2015-02-25 2016-09-01 Applied Materials, Inc. Methods and apparatus for using alkyl amines for the selective removal of metal nitride
KR101587758B1 (ko) * 2015-03-05 2016-01-21 동우 화인켐 주식회사 질화 티탄(TiN) 막의 식각액 조성물 및 그를 이용한 금속배선의 형성 방법
CN106148961A (zh) * 2015-03-27 2016-11-23 东友精细化工有限公司 蚀刻剂组合物、形成金属线图案方法和制造阵列基板方法
US10332784B2 (en) * 2015-03-31 2019-06-25 Versum Materials Us, Llc Selectively removing titanium nitride hard mask and etch residue removal
CN107431014B (zh) * 2015-04-13 2021-01-08 三菱瓦斯化学株式会社 用于使晶圆再生的含有含碳硅氧化物的材料的清洗液及清洗方法
US9976111B2 (en) * 2015-05-01 2018-05-22 Versum Materials Us, Llc TiN hard mask and etch residual removal
TWI782893B (zh) * 2015-07-09 2022-11-11 美商恩特葛瑞斯股份有限公司 選擇性地移除鍺化矽材料之方法、套組及組成物
TWI818893B (zh) 2015-07-14 2023-10-21 美商富士軟片電子材料美國股份有限公司 清潔組成物及其使用方法
US10294422B2 (en) * 2015-07-16 2019-05-21 Hailiang Wang Etching compositions for transparent conductive layers comprising silver nanowires
TWI816635B (zh) * 2015-10-15 2023-10-01 日商三菱瓦斯化學股份有限公司 半導體元件之洗淨用液體組成物、半導體元件之洗淨方法及半導體元件之製造方法
CN105369251A (zh) * 2015-12-16 2016-03-02 无锡吉进环保科技有限公司 一种基于纳米二氧化硫的电路板用蚀刻液
US10865484B2 (en) * 2016-03-29 2020-12-15 Technic France Solution and method for etching titanium based materials
KR20180060489A (ko) * 2016-11-29 2018-06-07 삼성전자주식회사 식각용 조성물 및 이를 이용한 반도체 장치 제조 방법
US10689573B2 (en) 2016-12-26 2020-06-23 Mitsubishi Gas Chemical Company, Inc. Wet etching composition for substrate having SiN layer and Si layer and wet etching method using same
CN110177903A (zh) * 2017-01-17 2019-08-27 恩特格里斯公司 高阶节点工艺后端处理的蚀刻后残留物去除
US11035044B2 (en) * 2017-01-23 2021-06-15 Versum Materials Us, Llc Etching solution for tungsten and GST films
KR102373108B1 (ko) * 2017-03-16 2022-03-10 동우 화인켐 주식회사 도전막 식각액 조성물 및 이를 이용한 반도체 소자의 제조 방법
SG11201908791SA (en) * 2017-03-31 2019-10-30 Kanto Kagaku Etchant composition for etching titanium layer or titanium-containing layer, and etching method
US11193094B2 (en) 2017-07-31 2021-12-07 Mitsubishi Gas Chemical Company, Inc. Liquid composition for reducing damage of cobalt, alumina, interlayer insulating film and silicon nitride, and washing method using same
WO2019044463A1 (ja) * 2017-08-31 2019-03-07 富士フイルム株式会社 処理液、キット、基板の洗浄方法
EP3743773B1 (en) * 2018-01-25 2022-04-06 Merck Patent GmbH Photoresist remover compositions
KR102653096B1 (ko) * 2018-02-13 2024-04-01 동우 화인켐 주식회사 절연막 식각액 조성물 및 이를 이용한 패턴 형성 방법
US10934484B2 (en) 2018-03-09 2021-03-02 Versum Materials Us, Llc Etching solution for selectively removing silicon-germanium alloy from a silicon-germanium/ germanium stack during manufacture of a semiconductor device
US11499236B2 (en) * 2018-03-16 2022-11-15 Versum Materials Us, Llc Etching solution for tungsten word line recess
KR102487940B1 (ko) * 2018-03-19 2023-01-16 삼성디스플레이 주식회사 식각액 조성물 및 이를 이용한 금속 패턴과 어레이 기판의 제조 방법
CN111902379B (zh) 2018-03-28 2023-02-17 富士胶片电子材料美国有限公司 清洗组合物
CN108414662B (zh) * 2018-04-09 2020-08-25 镇江出入境检验检疫局检验检疫综合技术中心 液相色谱串接质谱联用仪检测茶叶中的三甲基锍的检测方法
CN110484919A (zh) * 2018-05-14 2019-11-22 深圳市裕展精密科技有限公司 退镀液及其退除含钛膜层的方法、及表面形成有含钛膜层的基材的退镀方法
KR102579803B1 (ko) * 2018-07-06 2023-09-19 엔테그리스, 아이엔씨. 물질의 선택적 에칭을 위한 개선
CN110713868A (zh) * 2018-07-13 2020-01-21 巴斯夫欧洲公司 可移除氮化钛的蚀刻后残渣清理溶液
GB201813368D0 (en) * 2018-08-16 2018-10-03 Lam Res Ag Etchant composition
KR102665340B1 (ko) * 2018-09-18 2024-05-14 삼성전자주식회사 식각 조성물 및 이를 이용한 반도체 소자의 제조 방법
JP2022519267A (ja) * 2019-01-31 2022-03-22 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド エッチング組成物
US10916431B2 (en) 2019-04-16 2021-02-09 International Business Machines Corporation Robust gate cap for protecting a gate from downstream metallization etch operations
JP2022534057A (ja) 2019-05-23 2022-07-27 ビーエーエスエフ ソシエタス・ヨーロピア 低k値の材料、銅、コバルト、および/またはタングステンの層が存在する状態で、ハードマスクおよび/またはエッチング停止層を選択的にエッチングするための組成物および方法
TWI760768B (zh) * 2019-06-13 2022-04-11 美商慧盛材料美國責任有限公司 於製造一半導體裝置時優先p-摻雜矽及矽-鍺選擇性移除多晶矽的液態組合物
EP4010443A1 (en) * 2019-08-08 2022-06-15 Basf Se Compositions for tungsten etching inhibition
KR102354378B1 (ko) * 2019-08-27 2022-01-21 엘티씨에이엠 주식회사 과산화수소를 이용한 질화티탄막 식각용 고선택비 식각액 조성물
JP6977750B2 (ja) * 2019-09-13 2021-12-08 栗田工業株式会社 過硫酸成分を含む硫酸溶液中の酸化剤濃度の低下抑制方法
KR20220084146A (ko) * 2019-10-17 2022-06-21 버슘머트리얼즈 유에스, 엘엘씨 Euv 마스크 보호 구조물을 위한 에칭 조성물 및 방법
CN113130292A (zh) * 2019-12-31 2021-07-16 安集微电子科技(上海)股份有限公司 一种等离子体刻蚀残留物清洗液
CN113122267A (zh) * 2019-12-31 2021-07-16 安集微电子科技(上海)股份有限公司 一种促进剂组合物在去除铜大马士革工艺中氮化钛的应用
US11309190B2 (en) * 2020-01-17 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US20240010915A1 (en) * 2020-03-04 2024-01-11 Versum Materials Us, Llc Etching Solution For Titanium Nitride And Molybdenum Conductive Metal Lines
AU2021234274B9 (en) * 2020-03-11 2024-01-18 Advansix Resins & Chemicals Llc Surfactants for electronics products
WO2021202229A1 (en) 2020-03-31 2021-10-07 Mattson Technology, Inc. Processing of workpieces using fluorocarbon plasma
KR20220166348A (ko) * 2020-04-14 2022-12-16 엔테그리스, 아이엔씨. 몰리브데넘을 에칭하기 위한 방법 및 조성물
CN111809182A (zh) * 2020-07-08 2020-10-23 江苏和达电子科技有限公司 一种用于铜/钼(铌)/igzo膜层的刻蚀液及其制备方法和应用
US20220049160A1 (en) * 2020-08-13 2022-02-17 Entegris, Inc. Nitride etchant composition and method
KR20220033141A (ko) * 2020-09-09 2022-03-16 동우 화인켐 주식회사 실리콘 식각액 조성물, 이를 이용한 패턴 형성 방법 및 어레이 기판의 제조 방법, 및 이에 따라 제조된 어레이 기판
IL301654A (en) 2020-09-29 2023-05-01 Mitsubishi Gas Chemical Co The composition for cleaning a semiconductor substrate, and a cleaning method
EP4293097A1 (en) 2021-02-12 2023-12-20 Mitsubishi Gas Chemical Company, Inc. Composition for cleaning semiconductor substrate, method for cleaning semiconductor substrate, and method for producing semiconductor substrate
CN113355023B (zh) * 2021-05-31 2022-08-09 中南大学 一种4D打印NiTi合金EBSD样品抛光液的制备方法和产品及应用
JP2023036214A (ja) 2021-09-02 2023-03-14 富士フイルム株式会社 処理液
CN115011347B (zh) * 2022-06-30 2023-12-29 湖北兴福电子材料股份有限公司 一种氮化铝和钨的选择性蚀刻液
CN115044376B (zh) * 2022-06-30 2023-12-29 湖北兴福电子材料股份有限公司 一种掺钪氮化铝蚀刻液及其应用
CN114989825B (zh) * 2022-06-30 2023-07-11 湖北兴福电子材料股份有限公司 一种掺钪氮化铝和钨的选择性蚀刻液

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005097715A (ja) * 2003-08-19 2005-04-14 Mitsubishi Chemicals Corp チタン含有層用エッチング液及びチタン含有層のエッチング方法
JP2009512194A (ja) * 2005-10-05 2009-03-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド ポストエッチング残渣を除去するための酸化性水性洗浄剤
JP2009527131A (ja) * 2006-03-29 2009-07-23 インテル コーポレイション 半導体プロセスにおけるメタルハードマスク材料をエッチングするための組成物
JP2010515246A (ja) * 2006-12-21 2010-05-06 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド エッチング後残留物を除去するための液体洗浄剤

Family Cites Families (108)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3544271A (en) * 1968-05-14 1970-12-01 Westinghouse Electric Corp Phenol detection process
US4263343A (en) * 1979-08-13 1981-04-21 Eastman Kodak Company Reference elements for ion-selective membrane electrodes
US4659440A (en) * 1985-10-24 1987-04-21 Rudolf Hradcovsky Method of coating articles of aluminum and an electrolytic bath therefor
JPH01308690A (ja) * 1988-02-25 1989-12-13 Mitsubishi Paper Mills Ltd 平版印刷の製版方法
US5279771A (en) 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
JP3160344B2 (ja) 1991-01-25 2001-04-25 アシュランド インコーポレーテッド 有機ストリッピング組成物
TW263531B (ko) 1992-03-11 1995-11-21 Mitsubishi Gas Chemical Co
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
US5421906A (en) 1993-04-05 1995-06-06 Enclean Environmental Services Group, Inc. Methods for removal of contaminants from surfaces
US6326130B1 (en) 1993-10-07 2001-12-04 Mallinckrodt Baker, Inc. Photoresist strippers containing reducing agents to reduce metal corrosion
US5571447A (en) 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
US7534752B2 (en) 1996-07-03 2009-05-19 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US5698503A (en) 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6755989B2 (en) 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6224785B1 (en) 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
CA2332390A1 (en) 1998-05-18 1999-11-25 Advanced Technology Materials, Inc. Stripping compositions for semiconductor substrates
US6875733B1 (en) 1998-10-14 2005-04-05 Advanced Technology Materials, Inc. Ammonium borate containing compositions for stripping residues from semiconductor substrates
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
KR100400030B1 (ko) 2000-06-05 2003-09-29 삼성전자주식회사 금속막의 화학 및 기계적 연마용 슬러리 및 그 제조방법과상기 슬러리를 이용한 반도체 소자의 금속 배선 형성 방법
US6489281B1 (en) * 2000-09-12 2002-12-03 Ecolab Inc. Cleaning composition comprising inorganic acids, an oxidant, and a cationic surfactant
US6599370B2 (en) 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
MY143399A (en) 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
US20030139047A1 (en) 2002-01-24 2003-07-24 Thomas Terence M. Metal polishing slurry having a static etch inhibitor and method of formulation
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US7188644B2 (en) 2002-05-03 2007-03-13 Advanced Technology Materials, Inc. Apparatus and method for minimizing the generation of particles in ultrapure liquids
US6698619B2 (en) 2002-05-03 2004-03-02 Advanced Technology Materials, Inc. Returnable and reusable, bag-in-drum fluid storage and dispensing container system
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
ITMI20022090A1 (it) * 2002-10-03 2004-04-04 Nuovo Pignone Spa Composizione acquosa per la rimozione chimica di riporti metallici presenti sulle pale di turbine, e suo uso.
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
TW200505975A (en) 2003-04-18 2005-02-16 Ekc Technology Inc Aqueous fluoride compositions for cleaning semiconductor devices
KR100960687B1 (ko) * 2003-06-24 2010-06-01 엘지디스플레이 주식회사 구리(또는 구리합금층)를 포함하는 이중금속층을 일괄식각하기위한 식각액
KR101056544B1 (ko) 2003-08-19 2011-08-11 아반토르 퍼포먼스 머티리얼스, 인크. 마이크로전자 기판용 박리 및 세정 조성물
JP2007519942A (ja) 2003-12-02 2007-07-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド レジスト、barc、およびギャップフィル材料を剥離する化学物質ならびに方法
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
KR20050110470A (ko) * 2004-05-19 2005-11-23 테크노세미켐 주식회사 반도체 기판용 세정액 조성물, 이를 이용한 반도체 기판세정방법 및 반도체 장치 제조 방법
JP4530146B2 (ja) * 2004-08-18 2010-08-25 三菱瓦斯化学株式会社 洗浄液および洗浄法。
KR101337263B1 (ko) 2004-08-25 2013-12-05 동우 화인켐 주식회사 인듐 산화막의 식각액 조성물 및 이를 이용한 식각 방법
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060148666A1 (en) 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7718590B2 (en) 2005-02-25 2010-05-18 Ekc Technology, Inc. Method to remove resist, etch residue, and copper oxide from substrates having copper and low-k dielectric material
US20060226122A1 (en) 2005-04-08 2006-10-12 Wojtczak William A Selective wet etching of metal nitrides
WO2006110645A2 (en) 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
KR20070121845A (ko) 2005-04-15 2007-12-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 용매계 내 자기 조립 단층을 이용한 고용량 이온 주입포토레지스트의 제거
US20070251551A1 (en) 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
CN101233456B (zh) 2005-06-07 2013-01-02 高级技术材料公司 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
CN101233601A (zh) 2005-06-13 2008-07-30 高级技术材料公司 在金属硅化物形成后用于选择性除去金属或金属合金的组合物及方法
KR100685738B1 (ko) 2005-08-08 2007-02-26 삼성전자주식회사 절연 물질 제거용 조성물, 이를 이용한 절연막의 제거 방법및 기판의 재생 방법
WO2007027522A2 (en) 2005-08-29 2007-03-08 Advanced Technology Materials, Inc. Composition and method for removing thick film photoresist
KR20080059429A (ko) 2005-10-05 2008-06-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 게이트 스페이서 산화물 재료를 선택적으로 에칭하기 위한조성물 및 방법
EP1945748A4 (en) 2005-10-13 2009-01-07 Advanced Tech Materials PHOTORESIN REMOVAL AND / OR SACRIFICIAL ANTIREFLECTION COATING COMPOSITION COMPATIBLE WITH METALS
KR100706822B1 (ko) * 2005-10-17 2007-04-12 삼성전자주식회사 절연 물질 제거용 조성물, 이를 이용한 절연막의 제거 방법및 기판의 재생 방법
US20070099810A1 (en) * 2005-10-27 2007-05-03 Hiroshi Matsunaga Cleaning liquid and cleaning method
US20090301996A1 (en) 2005-11-08 2009-12-10 Advanced Technology Materials, Inc. Formulations for removing cooper-containing post-etch residue from microelectronic devices
US7960328B2 (en) 2005-11-09 2011-06-14 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
US20070117497A1 (en) * 2005-11-22 2007-05-24 Cabot Microelectronics Corporation Friction reducing aid for CMP
TW200734448A (en) 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US20080076688A1 (en) 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
JP4642001B2 (ja) * 2006-10-24 2011-03-02 関東化学株式会社 フォトレジスト残渣及びポリマー残渣除去液組成物
US20080125342A1 (en) 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
SG177201A1 (en) 2006-12-21 2012-01-30 Advanced Tech Materials Compositions and methods for the selective removal of silicon nitride
TWI516573B (zh) 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
US20100112728A1 (en) 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
EP2155373A4 (en) 2007-05-09 2014-10-22 Foresight Proc Llc SYSTEMS AND METHOD FOR THE MIXTURE AND DISTRIBUTION OF MATERIALS
JP5347237B2 (ja) 2007-05-15 2013-11-20 三菱瓦斯化学株式会社 洗浄用組成物
TW200918664A (en) 2007-06-13 2009-05-01 Advanced Tech Materials Wafer reclamation compositions and methods
JP5364250B2 (ja) * 2007-07-13 2013-12-11 東京応化工業株式会社 窒化チタン剥離液、及び窒化チタン被膜の剥離方法
JP5047712B2 (ja) * 2007-07-13 2012-10-10 東京応化工業株式会社 窒化チタン剥離液、及び窒化チタン被膜の剥離方法
US8623236B2 (en) 2007-07-13 2014-01-07 Tokyo Ohka Kogyo Co., Ltd. Titanium nitride-stripping liquid, and method for stripping titanium nitride coating film
WO2009032460A1 (en) 2007-08-02 2009-03-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of residue from a microelectronic device
US20110039747A1 (en) 2007-08-20 2011-02-17 Advanced Technology Materials, Inc. Composition and method for removing ion-implanted photoresist
DE102007039626A1 (de) * 2007-08-22 2009-02-26 Wacker Chemie Ag Verfahren zum Reinigen von polykristallinem Silicium
JP2009075285A (ja) 2007-09-20 2009-04-09 Fujifilm Corp 半導体デバイスの剥離液、及び、剥離方法
US20090120457A1 (en) 2007-11-09 2009-05-14 Surface Chemistry Discoveries, Inc. Compositions and method for removing coatings and preparation of surfaces for use in metal finishing, and manufacturing of electronic and microelectronic devices
US8110508B2 (en) * 2007-11-22 2012-02-07 Samsung Electronics Co., Ltd. Method of forming a bump structure using an etching composition for an under bump metallurgy layer
TW200934865A (en) 2007-11-30 2009-08-16 Advanced Tech Materials Formulations for cleaning memory device structures
TWI467055B (zh) 2007-12-21 2015-01-01 Wako Pure Chem Ind Ltd 蝕刻劑及蝕刻方法
WO2009111719A2 (en) 2008-03-07 2009-09-11 Advanced Technology Materials, Inc. Non-selective oxide etch wet clean composition and method of use
JP2009231354A (ja) * 2008-03-19 2009-10-08 Fujifilm Corp 半導体デバイス用洗浄液、および洗浄方法
US8026200B2 (en) 2008-05-01 2011-09-27 Advanced Technology Materials, Inc. Low pH mixtures for the removal of high density implanted resist
KR20100007461A (ko) 2008-07-14 2010-01-22 삼성전자주식회사 석영 부품용 세정액 및 이를 이용한 석영 부품 세정방법
CN102216854A (zh) 2008-08-04 2011-10-12 高级技术材料公司 环境友好型聚合物剥离组合物
JP5523325B2 (ja) 2008-09-09 2014-06-18 昭和電工株式会社 チタン系金属、タングステン系金属、チタンタングステン系金属またはそれらの窒化物のエッチング液
US8080475B2 (en) * 2009-01-23 2011-12-20 Intel Corporation Removal chemistry for selectively etching metal hard mask
SG173172A1 (en) 2009-01-28 2011-08-29 Advanced Tech Materials Lithographic tool in situ clean formulations
WO2010086745A1 (en) 2009-02-02 2010-08-05 Atmi Taiwan Co., Ltd. Method of etching lanthanum-containing oxide layers
WO2010091045A2 (en) 2009-02-05 2010-08-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of polymers and other organic material from a surface
JPWO2011074601A1 (ja) * 2009-12-17 2013-04-25 昭和電工株式会社 ルテニウム系金属のエッチング用組成物およびその調製方法
KR20110085254A (ko) * 2010-01-19 2011-07-27 삼성전자주식회사 금속 배선용 식각액조성물 및 상기 식각액을 사용한 박막트랜지스터 표시판의 제조 방법
CN104804903B (zh) 2010-01-29 2018-10-30 恩特格里斯公司 附有金属布线的半导体用清洗剂
JP5702075B2 (ja) 2010-03-26 2015-04-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅配線半導体用洗浄剤
JP5858597B2 (ja) 2010-01-29 2016-02-10 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド タングステン配線半導体用洗浄剤
JP2012036750A (ja) 2010-08-04 2012-02-23 Panasonic Corp 圧縮機
KR20130100297A (ko) 2010-08-27 2013-09-10 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 건조 동안의 높은 종횡비 구조물의 붕괴 방지 방법
WO2012048079A2 (en) * 2010-10-06 2012-04-12 Advanced Technology Materials, Inc. Composition and process for selectively etching metal nitrides
WO2012097143A2 (en) 2011-01-13 2012-07-19 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium- containing solutions
JP2012251026A (ja) 2011-05-31 2012-12-20 Sanyo Chem Ind Ltd 半導体用洗浄剤
TW201311869A (zh) 2011-06-16 2013-03-16 Advanced Tech Materials 選擇性蝕刻氮化矽之組成物及方法
US20130045908A1 (en) 2011-08-15 2013-02-21 Hua Cui Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
US8618036B2 (en) 2011-11-14 2013-12-31 International Business Machines Corporation Aqueous cerium-containing solution having an extended bath lifetime for removing mask material
WO2013101907A1 (en) 2011-12-28 2013-07-04 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
SG11201405638UA (en) 2012-03-12 2014-10-30 Entegris Inc Methods for the selective removal of ashed spin-on glass
WO2013138278A1 (en) 2012-03-12 2013-09-19 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
US9472420B2 (en) 2013-12-20 2016-10-18 Air Products And Chemicals, Inc. Composition for titanium nitride hard mask and etch residue removal

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005097715A (ja) * 2003-08-19 2005-04-14 Mitsubishi Chemicals Corp チタン含有層用エッチング液及びチタン含有層のエッチング方法
JP2009512194A (ja) * 2005-10-05 2009-03-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド ポストエッチング残渣を除去するための酸化性水性洗浄剤
JP2009527131A (ja) * 2006-03-29 2009-07-23 インテル コーポレイション 半導体プロセスにおけるメタルハードマスク材料をエッチングするための組成物
JP2010515246A (ja) * 2006-12-21 2010-05-06 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド エッチング後残留物を除去するための液体洗浄剤

Also Published As

Publication number Publication date
CN104145324A (zh) 2014-11-12
WO2013101907A1 (en) 2013-07-04
EP2798669B1 (en) 2021-03-31
SG11201403556WA (en) 2014-07-30
SG10201605172RA (en) 2016-08-30
US10392560B2 (en) 2019-08-27
US20170260449A1 (en) 2017-09-14
US9546321B2 (en) 2017-01-17
EP2798669A4 (en) 2015-08-19
EP2798669A1 (en) 2014-11-05
TW201333171A (zh) 2013-08-16
JP6329909B2 (ja) 2018-05-23
KR20140132708A (ko) 2014-11-18
CN104145324B (zh) 2017-12-22
US20150027978A1 (en) 2015-01-29
TWI588239B (zh) 2017-06-21
JP2015506583A (ja) 2015-03-02

Similar Documents

Publication Publication Date Title
KR102102792B1 (ko) 티타늄 나이트라이드의 선택적인 에칭을 위한 조성물 및 방법
US10472567B2 (en) Compositions and methods for selectively etching titanium nitride
JP6723152B2 (ja) 窒化チタンを選択的にエッチングするための組成物及び方法
KR102338526B1 (ko) Cu/W 호환성을 갖는, 금속 하드 마스크 및 에칭-후 잔여물을 제거하기 위한 수성 제형
EP3039098B1 (en) Compositions and methods for selectively etching titanium nitride
KR102405063B1 (ko) 텅스텐 및 코발트 상용성을 갖는 에치후 잔류물을 제거하기 위한 수성 및 반-수성 세정제
WO2016042408A2 (en) Compositions for etching titanium nitride having compatability with silicon germanide and tungsten

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant