CN104145324A - 用于选择性蚀刻氮化钛的组合物和方法 - Google Patents

用于选择性蚀刻氮化钛的组合物和方法 Download PDF

Info

Publication number
CN104145324A
CN104145324A CN201280064443.6A CN201280064443A CN104145324A CN 104145324 A CN104145324 A CN 104145324A CN 201280064443 A CN201280064443 A CN 201280064443A CN 104145324 A CN104145324 A CN 104145324A
Authority
CN
China
Prior art keywords
ammonium
composition
acid
methyl
chloride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201280064443.6A
Other languages
English (en)
Other versions
CN104145324B (zh
Inventor
杰弗里·A·巴尼斯
埃马纽尔·I·库珀
陈丽敏
斯蒂芬·里皮
里卡·拉贾拉姆
涂胜宏
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Advanced Technology Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials Inc filed Critical Advanced Technology Materials Inc
Publication of CN104145324A publication Critical patent/CN104145324A/zh
Application granted granted Critical
Publication of CN104145324B publication Critical patent/CN104145324B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/10Etching, surface-brightening or pickling compositions containing an inorganic acid containing a boron compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/06Etching, surface-brightening or pickling compositions containing an inorganic acid with organic material
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/02Local etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/30Acidic compositions for etching other metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/32Alkaline compositions
    • C23F1/40Alkaline compositions for etching other metallic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明公开了用于相对于金属导电材料如钨和绝缘材料选择性地将氮化钛和/或光致抗蚀剂蚀刻残余物材料从在其上具有所述氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件除去的组合物。所述去除组合物包含至少一种氧化剂和一种蚀刻剂,可以包含各种腐蚀抑制剂以确保选择性。

Description

用于选择性蚀刻氮化钛的组合物和方法
技术领域
本发明涉及一种用于在金属导体和绝缘体材料(即低k电介质)存在下选择性蚀刻氮化钛和/或光致抗蚀剂蚀刻残余物的组合物和方法,更特别地,涉及在比铜、钨和低k介电材料的暴露层或底层更高的蚀刻速率和选择性下对氮化钛和/或光致抗蚀剂蚀刻残余物进行有效且高效蚀刻的组合物和方法。
相关技术描述
光致抗蚀剂掩模通常用于半导体工业中以将诸如半导体或电介质的材料形成图案。在一种应用中,将光致抗蚀剂掩模用于双镶嵌工艺中以在微电子器件的后端金属化中形成互联。双镶嵌工艺涉及在金属导体层如铜层上面的低k介电层上形成光致抗蚀剂掩模。然后根据光致抗蚀剂掩模对低k介电层进行蚀刻以形成通道和/或开槽以露出金属导体层。通常使用两个平板印刷步骤对通常称作双镶嵌结构的通道和开槽进行限定。然后将光致抗蚀剂掩模从低k介电层除去,然后将导电材料沉积入通道和/或开槽以形成互联。
随着微电子器件尺寸的下降,实现通道和开槽的临界尺寸变得更加困难。由此,使用金属硬掩模以提供通道和开槽更好的轮廓控制。金属硬掩模由钛或氮化钛制成,并在形成双镶嵌结构的通道和/或开槽之后通过湿蚀刻法除去。关键的是,湿蚀刻法使用去除化学品,其有效除去金属硬掩模和/或光致抗蚀剂蚀刻残余物而不影响下面的金属导体层和低k介电材料。换言之,需要去除化学品对金属导体层和低k介电层具有高度选择性。
因此,本发明的目的是提供改进的组合物以相对于存在的金属导体层和低k介电层选择性除去硬掩模材料,而不损害硬掩模的蚀刻速率。
发明概述
本发明涉及相对于存在的金属导体层和低k介电层选择性蚀刻硬掩模层和/或光致抗蚀剂蚀刻残余物的组合物和方法。更特别地,本发明涉及相对于铜、钨和低k介电层选择性蚀刻氮化钛和/或光致抗蚀剂蚀刻残余物的组合物和方法。
在一个方面中,描述了一种组合物,所述组合物用于选择性地将氮化钛和/或光致抗蚀剂蚀刻残余物材料从在其上具有所述氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面除去,所述组合物包含至少一种氧化剂、至少一种蚀刻剂和至少一种溶剂,其中所述组合物基本不含过氧化氢。
在另一个方面中,描述了一种组合物,所述组合物用于选择性地将氮化钛和/或光致抗蚀剂蚀刻残余物材料从在其上具有所述氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面除去,所述组合物包含至少一种氧化剂、至少一种蚀刻剂、至少一种金属腐蚀抑制剂和至少一种溶剂。
在还另一个方面中,描述了一种从在其上具有氮化钛材料的微电子器件的表面蚀刻所述氮化钛材料的方法,所述方法包括将所述表面与组合物接触,所述组合物包含至少一种氧化剂、至少一种蚀刻剂和至少一种溶剂,其中所述组合物基本不含过氧化氢,其中所述组合物相对于金属和绝缘材料将所述氮化钛材料从所述表面选择性除去。
在还另一个方面中,描述了一种从在其上具有氮化钛材料的微电子器件的表面蚀刻所述氮化钛材料的方法,所述方法包括将所述表面与组合物接触,所述组合物包含至少一种氧化剂、至少一种蚀刻剂、至少一种金属腐蚀抑制剂和至少一种溶剂,其中所述组合物相对于金属和绝缘材料将所述氮化钛材料从所述表面选择性除去。
根据如下发明内容和权利要求书将更完全地理解本发明的其他方面、特征和实施方案。
详细说明及其优选实施方案
一般地,本发明涉及相对于存在的金属导体层和低k介电层选择性蚀刻硬掩模层和/或光致抗蚀剂蚀刻残余物的组合物和方法。更特别地,本发明涉及相对于铜、钨和低k介电层选择性蚀刻氮化钛和/或光致抗蚀剂蚀刻残余物的组合物和方法。存在于所述微电子器件的表面上的其他材料应基本不被除去或不被所述组合物蚀刻。
为了易于参考,“微电子器件”对应半导体衬底、平板显示器、相变记忆装置、太阳能面板和其他产品,所述其他产品包括太阳能电池装置、光伏装置和微型机电系统(MEMS),所述其他产品是为了用于微电子、集成电路、能量收集或计算机芯片应用而制造的。应理解,术语“微电子器件”、“微电子衬底”和“微电子器件结构”无论如何不是限制的意思且包括将最终变为微电子器件或微电子组件的任意衬底或结构。所述微电子器件能够为带图案的、覆盖的、控制和/或试验器件。
如本文中所使用的“硬掩模覆盖层”对应沉积在介电材料上以在等离子体蚀刻步骤期间对所述介电材料进行保护的材料。硬掩模覆盖层传统为氮化硅、氮氧化硅、氮化钛、氮氧化钛、钛和其他类似化合物。
如本文中所使用的,“氮化钛”和“TiNx”对应纯的氮化钛和不纯的氮化钛,所述不纯的氮化钛包括变化的化学计量和氧含量(TiOxNy)。
如本文中所使用的,“约”倾向于对应所述值的±5%。
如本文中所定义的,“低k介电材料”对应用作层状微电子器件中的介电材料的任意材料,其中所述材料具有小于约3.5的介电常数。优选地,低k介电材料包括低极性材料如含硅的有机聚合物、含硅的混合有机/无机材料、有机硅酸盐玻璃(OSG)、TEOS、氟化硅酸盐玻璃(FSG)、二氧化硅、和碳掺杂型氧化物(CDO)玻璃。应理解,低k介电材料可以具有不同的密度和不同的孔隙率。
如本文中所定义的,“金属导体层”包含铜、钨、钴、钼、铝、钌、包含其的合金、及其组合。
如本文中所定义的,“胺”物质包括至少一种伯胺、仲胺和叔胺,条件是以下物质不应认为是符合该定义的“胺”:(i)包含羧酸基团和胺基两者的物质;(ii)包含胺基的表面活性剂;和(iii)其中胺基是取代基的物质(例如连接到芳基或杂环部分的取代基)。胺的式子能够由NR1R2R3表示,其中R1、R2和R3能够相互相同或不同并选自:氢、直链或支链的C1~C6的烷基(例如甲基、乙基、丙基、丁基、戊基、己基)、C6~C10的芳基(例如苄基)、直链或支链的C1~C6的烷醇(例如甲醇、乙醇、丙醇、丁醇、戊醇、己醇)、及其组合,条件是R1、R2和R3不能全为氢。
如本文中所定义的,“光致抗蚀剂蚀刻残余物”对应包含光致抗蚀剂材料或作为蚀刻或灰化步骤之后光致抗蚀剂的副产物的材料的任意残余物,如本领域技术人员所易于理解的。
本文中将“基本不含”定义为小于2重量%,优选小于1重量%,更优选小于0.5重量%,还更优选小于0.1重量%,最优选0重量%。
如本文中所使用的,“氟化物”物质对应包含离子氟化物(F-)或共价结合的氟的物质。应理解,氟化物物质可以作为氟化物物质包含或就地产生。
如本文中所使用的,“氯化物”物质对应包含离子氯化物(Cl-)的物质,条件是包含氯化物阴离子的表面活性剂不应认为是符合该定义的“氯化物”。
如本文中所定义的,强碱是具有至少一个大于11的pKa的任何碱,而弱碱是具有至少一个小于11的pKa的任何碱。
本发明的组合物可以以多种具体的制剂的方式来实施,如下文中所更完全描述的。
在这种组合物中,其中讨论的组合物的具体组分是指包括零下限的重量百分比范围,应理解,这种组分可以存在或不存在于组合物的各种具体实施方案中,且在存在这种组分的情况中,其可以以低至0.001重量%的浓度存在,所述浓度是以其中使用这种组分的组合物的总重量为基础的。
本发明的实施方案包括用于除去硬掩模和/或光致抗蚀剂蚀刻残余物的化学品。在一个实施方案中,去除组合物是湿蚀刻溶液,其除去介电层上的金属硬掩模和/或光致抗蚀剂蚀刻残余物并对介电层下面的金属导体层和介电层自身具有高选择性。在更具体的实施方案中,去除组合物是除去氮化钛层和/或光致抗蚀剂蚀刻残余物的湿蚀刻溶液,其对铜、钨和低k介电材料中的至少一种具有高选择性。
因此,在一个方面中,描述了一种组合物,所述组合物用于选择性地将氮化钛和/或光致抗蚀剂蚀刻残余物材料从在其上具有所述氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面除去,所述组合物包含至少一种氧化剂和至少一种蚀刻剂,其中所述组合物基本不含过氧化氢。在一个实施方案中,用于将氮化钛和/或光致抗蚀剂蚀刻残余物材料从在其上具有所述氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面除去的组合物包含如下物质、由或主要由如下物质构成:至少一种氧化剂、至少一种蚀刻剂和至少一种溶剂,其中所述组合物基本不含过氧化氢。在另一个实施方案中,用于将氮化钛和/或光致抗蚀剂蚀刻残余物材料从在其上具有所述氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面除去的组合物包含如下物质、由或主要由如下物质构成:至少一种氧化剂、至少一种蚀刻剂、至少一种腐蚀抑制剂和至少一种溶剂,其中所述组合物基本不含过氧化氢。在还另一个实施方案中,用于将氮化钛和/或光致抗蚀剂蚀刻残余物材料从在其上具有所述氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面除去的组合物包含如下物质、由或主要由如下物质构成:至少一种氧化剂、至少一种蚀刻剂、至少一种腐蚀抑制剂、至少一种表面活性剂和至少一种溶剂,其中所述组合物基本不含过氧化氢。在还另一个实施方案中,用于将氮化钛和/或光致抗蚀剂蚀刻残余物材料从在其上具有所述氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面除去的组合物包含如下物质、由或主要由如下物质构成:至少一种氧化剂、至少一种蚀刻剂、至少一种腐蚀抑制剂、至少一种钝化剂和至少一种溶剂,其中所述组合物基本不含过氧化氢。在另外的实施方案中,用于将氮化钛和/或光致抗蚀剂蚀刻残余物材料从在其上具有所述氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面除去的组合物包含如下物质、由或主要由如下物质构成:至少一种氧化剂、至少一种蚀刻剂、至少一种腐蚀抑制剂、至少一种溶剂和至少一种碘清除剂,其中所述组合物基本不含过氧化氢。在第一方面的各个实施方案中,添加至少一种含硅的化合物。优选地,基于所述组合物的总重量,这些组合物包含至少95重量%的水,更优选至少97重量%的水,最优选至少98重量%的水。有利地,这些组合物的TiN对钨的选择性大于50:1且钨的去除速率小于min-1,还优选所述选择性大于60:1且钨的去除速率小于min-1。这些组合物基本不含胺、研磨材料、氯化物源、金属卤化物、及其组合,所述胺为如本文中所定义的。这些组合物的pH值为0~4,优选1~3。
在第二方面中,描述了一种组合物,所述组合物用于选择性地将氮化钛和/或光致抗蚀剂蚀刻残余物材料从在其上具有所述氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面除去,所述组合物包含至少一种氧化剂和至少一种蚀刻剂。在一个实施方案中,用于将氮化钛和/或光致抗蚀剂蚀刻残余物材料从在其上具有所述氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面除去的组合物包含如下物质、由或主要由如下物质构成:至少一种氧化剂、至少一种蚀刻剂、至少一种金属腐蚀抑制剂和至少一种溶剂。在另一个实施方案中,用于将氮化钛和/或光致抗蚀剂蚀刻残余物材料从在其上具有所述氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面除去的组合物包含如下物质、由或主要由如下物质构成:至少一种氧化剂、至少一种蚀刻剂、至少一种羧酸盐、至少一种金属腐蚀抑制剂和至少一种溶剂。在还另一个实施方案中,用于将氮化钛和/或光致抗蚀剂蚀刻残余物材料从在其上具有所述氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面除去的组合物包含如下物质、由或主要由如下物质构成:至少一种氧化剂、至少一种蚀刻剂、至少一种羧酸盐、至少一种金属腐蚀抑制剂、至少一种氧化剂稳定剂和至少一种溶剂。在还另一个实施方案中,用于将氮化钛和/或光致抗蚀剂蚀刻残余物材料从在其上具有所述氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面除去的组合物包含如下物质、由或主要由如下物质构成:至少一种氧化剂、至少一种蚀刻剂、至少一种羧酸盐、至少一种金属腐蚀抑制剂、至少一种氧化剂稳定剂、至少一种表面活性剂和至少一种溶剂。在另一个实施方案中,用于将氮化钛和/或光致抗蚀剂蚀刻残余物材料从在其上具有所述氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面除去的组合物包含如下物质、由或主要由如下物质构成:至少一种氧化剂、至少一种蚀刻剂、至少一种金属腐蚀抑制剂、至少一种氧化剂稳定剂、至少一种表面活性剂和至少一种溶剂。在还另一个实施方案中,用于将氮化钛和/或光致抗蚀剂蚀刻残余物材料从在其上具有所述氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面除去的组合物包含如下物质、由或主要由如下物质构成:至少一种氧化剂、至少一种蚀刻剂、至少一种金属腐蚀抑制剂、至少一种氧化剂稳定剂和至少一种溶剂。在第二方面的各个实施方案中,添加至少一种含硅的化合物。这些组合物基本不含硅酸盐、研磨材料、氯化物源、金属卤化物、及其组合。这些组合物的pH值为约5~约10,优选约6~约9。
添加蚀刻剂以提高氮化钛的蚀刻速率。预期的蚀刻剂包括但不限于,HF;氟化铵;四氟硼酸;六氟硅酸;含B-F或Si-F键的其他化合物;四丁基四氟硼酸铵(TBA-BF4);四烷基氟化铵(NR1R2R3R4F);强碱如四烷基氢氧化胺(NR1R2R3R4OH),其中R1、R2、R3、R4可以相互相同或不同并选自:氢、直链或支链的C1~C6的烷基(例如甲基、乙基、丙基、丁基、戊基、己基)、C1~C6的烷氧基(例如羟乙基、羟丙基)、取代或未取代的芳基(例如苄基);弱碱、及其组合。优选地,所述氟化物源包含:四氟硼酸、六氟硅酸、H2ZrF6、H2TiF6、HPF6、氟化铵、四甲基氟化铵、四甲基氢氧化铵、六氟硅酸铵、六氟钛酸铵、或氟化铵与四甲基氟化铵的组合。作为选择,或除了氟化物源之外,蚀刻剂还能够包含强碱如四甲基氢氧化铵(TMAH)、四乙基氢氧化铵(TEAH)、四丙基氢氧化铵(TPAH)、四丁基氢氧化铵(TBAH)、苄基三甲基氢氧化铵(BTMAH)、氢氧化钾、氢氧化铵、苄基三乙基氢氧化铵(BTEAH)、四丁基氢氧化膦(TBPH)、(2-羟乙基)三甲基氢氧化铵、(2-羟乙基)三乙基氢氧化铵、(2-羟乙基)三丙基氢氧化铵、(1-羟丙基)三甲基氢氧化铵、乙基三甲基氢氧化铵、二乙基二甲基氢氧化铵(DEDMAH)、1,1,3,3-四甲基胍(TMG)、碳酸胍、精氨酸、及其组合。预期的弱碱包括但不限于,氢氧化铵、单乙醇胺(MEA)、二乙醇胺(DEA)、三乙醇胺(TEA)、乙二胺、半胱氨酸、及其组合。最优选地,蚀刻剂包含六氟硅酸。
氧化剂被包括以氧化TiNx中的Ti3+。本文中预期的氧化剂包括但不限于,过氧化氢(H2O2)、FeCl3、FeF3、Fe(NO3)3、Sr(NO3)2、CoF3、MnF3、过硫酸氢钾制剂(2KHSO5·KHSO4·K2SO4)、高碘酸、碘酸、钒(V)的氧化物、钒(IV,V)的氧化物、钒酸铵、铵的多原子盐(例如过氧单硫酸铵、亚氯酸铵(NH4ClO2)、氯酸铵(NH4ClO3)、碘酸铵(NH4IO3)、硝酸铵(NH4NO3)、过硼酸铵(NH4BO3)、高氯酸铵(NH4ClO4)、高碘酸铵(NH4IO4)、过硫酸铵((NH4)2S2O8)、次氯酸铵(NH4ClO))、钨酸铵((NH4)10H2(W2O7))、钠的多原子盐(例如过硫酸钠(Na2S2O8)、次氯酸钠(NaClO)、过硼酸钠)、钾的多原子盐(例如碘酸钾(KIO3)、高锰酸钾(KMnO4)、过硫酸钾、硝酸(HNO3)、过硫酸钾(K2S2O8)、次氯酸钾(KClO))、四甲基铵的多原子盐(例如四甲基亚氯酸铵((N(CH3)4)ClO2)、四甲基氯酸铵((N(CH3)4)ClO3)、四甲基碘酸铵((N(CH3)4)IO3)、四甲基过硼酸铵((N(CH3)4)BO3)、四甲基高氯酸铵((N(CH3)4)ClO4)、四甲基高碘酸铵((N(CH3)4)IO4)、四甲基过硫酸铵((N(CH3)4)S2O8))、四丁基铵的多原子盐(例如四丁基过氧单硫酸铵)、过氧单硫酸、硝酸铁(Fe(NO3)3)、脲过氧化氢((CO(NH2)2)H2O2)、过乙酸(CH3(CO)OOH)、1,4-苯醌、甲苯醌、二甲基-1,4-苯醌、氯醌、四氧嘧啶、N-甲基吗啉N-氧化物、三甲胺N-氧化物、及其组合。当氧化剂为盐时,其能够为水合的或无水的。在制造商处,在将组合物引入装置的晶片之前、或替代性地在装置晶片处即在原位,将氧化剂引入组合物。优选地,用于第二方面的组合物的氧化剂包含过氧化氢。优选地,用于第一方面的组合物的氧化剂包含钒的氧化物、碘酸铵、高碘酸铵、碘酸或高碘酸。
当氧化剂包含碘酸盐或高碘酸盐时,优选将碘清除剂添加到去除组合物。尽管不期望受到理论的约束,但认为在碘酸盐或高碘酸盐被还原时,碘积聚,这提高铜的蚀刻速率。碘清除剂包括但不限于,酮、更优选相对于羰基具有α氢的酮,例如4-甲基-2-戊酮、2,4-二甲基-3-戊酮、环己酮、5-甲基-3-庚酮、3-戊酮、5-羟基-2-戊酮、2,5-己二酮、4-羟基-4-甲基-2-戊酮、丙酮、丁酮、2-甲基-2-丁酮、3,3-二甲基-2-丁酮、4-羟基-2-丁酮、环戊酮、2-戊酮、3-戊酮、1-苯基乙酮、苯乙酮、二苯甲酮、2-己酮、3-己酮、2-庚酮、3-庚酮、4-庚酮、2,6-二甲基-4-庚酮、2-辛酮、3-辛酮、4-辛酮、二环己酮、2,6-二甲基环己酮、2-乙酰基环己酮、2,4-戊二酮、薄荷酮、及其组合。优选地,碘清除剂包括4-甲基-2-戊酮、2,4-二甲基-3-戊酮或环己酮。
添加羧酸盐以提高TiNx的蚀刻速率,且所述羧酸盐大部分是抗氧化的。尽管不期望受到理论的约束,但认为羧酸盐经历原位反应而产生过羧酸盐,其是非常强的氧化剂。优选地,羧酸盐包含:铵阳离子或四烷基铵阳离子([NR1R2R3R4]+,其中R1、R2、R3和R4可以相互相同或不同并选自氢和C1~C6的烷基(例如甲基、乙基、丙基、丁基、戊基、己基));和阴离子,所述阴离子选自乙酸根、苯甲酸根、丙酸根、柠檬酸根、甲酸根、草酸根、酒石酸根、琥珀酸根、乳酸根、马来酸根、丙二酸根、富马酸根、苹果酸根、抗坏血酸根、扁桃酸根和邻苯二甲酸根。最优选地,所述羧酸盐包含乙酸铵、苯甲酸铵或其组合。
添加金属腐蚀抑制剂以阻断氧化剂和羧酸盐(当存在时)的氧化活性。本文中预期的金属腐蚀抑制剂包括但不限于,5-氨基-1,3,4-噻二唑-2-硫醇(ATDT)、苯并三唑(BTA)、1,2,4-三唑(TAZ)、甲苯基三唑、5-甲基-苯并三唑、5-苯基-苯并三唑、5-硝基-苯并三唑、苯并三唑羧酸、3-氨基-5-巯基-1,2,4-三唑、1-氨基-1,2,4-三唑、羟基苯并三唑、2-(5-氨基-戊基)-苯并三唑、1-氨基-1,2,3-三唑、1-氨基-5-甲基-1,2,3-三唑、3-氨基-1,2,4-三唑、3-巯基-1,2,4-三唑、3-异丙基-1,2,4-三唑、5-苯基硫醇-苯并三唑、卤素-苯并三唑(卤素=F、Cl、Br或I)、萘并三唑、2-巯基苯并咪唑(MBI)、2-巯基苯并噻唑、4-甲基-2-苯基咪唑、2-巯基噻唑啉、5-氨基四唑、亚戊基四唑、5-苯基-1H-四唑、5-苄基-1H-四唑、AblumineO(Taiwan Surfactant)、2-苄基吡啶、琥珀酰亚胺、2,4-二氨基-6-甲基-1,3,5-三嗪、噻唑、三嗪、甲基四唑、1,3-二甲基-2-咪唑烷酮、1,5-五亚甲基四唑、1-苯基-5-巯基四唑、二氨基甲基三嗪、咪唑啉硫酮、4-甲基-4H-1,2,4-三唑-3-硫醇、苯并噻唑、咪唑、苯并异二唑(indiazole)、腺苷、咔唑、糖精和苯偶姻肟。另外的腐蚀抑制剂包括阳离子季铵盐如氯扎氯胺、苄基二甲基十二烷基氯化铵、肉豆蔻基三甲基溴化铵、十二烷基三甲基溴化铵、十六烷基氯化吡啶鎓、Aliquat336(Cognis)、苄基二甲基苯基氯化铵、Crodaquat TES(Croda Inc.)、RewoquatCPEM(Witco)、十六烷基三甲基对甲苯磺酸铵、十六烷基三甲基氢氧化铵、1-甲基-1'-十四烷基-4,4'-二吡啶鎓二氯化物、烷基三甲基溴化铵、安普罗利盐酸盐、氢氧化苄乙氧铵(benzethonium hydroxide)、氯化苄乙氧铵、苄基二甲基十六烷基氯化铵、苄基二甲基十四烷基氯化铵、苄基十二烷基二甲基溴化铵、苄基十二烷基二甲基氯化铵、十六烷基氯化吡啶鎓、胆碱对甲苯磺酸盐、二甲基二(十八烷基)溴化铵、十二烷基乙基二甲基溴化铵、十二烷基三甲基氯化铵、乙基十六烷基二甲基溴化铵、Girard试剂、十六烷基(2-羟乙基)二甲基磷酸二氢铵、十六烷基溴化吡啶鎓、十六烷基三甲基溴化铵、十六烷基三甲基氯化铵、甲基氯化苄乙氧铵、1622、LuviquatTM、N,N',N'-聚氧乙烯(10)-N-牛脂-1,3-二氨基丙烷液体、氧酚溴铵、四庚基溴化铵、四癸基溴化铵、通佐溴铵、三(十二烷基)氯化铵、三甲基十八烷基溴化铵、1-甲基-3-正辛基咪唑鎓四氟硼酸盐、1-癸基-3-甲基咪唑鎓四氟硼酸盐、1-癸基-3-甲基咪唑鎓氯化物、三(十二烷基)甲基溴化铵、二甲基二硬脂酰基氯化铵和六甲基氯化铵。其他腐蚀抑制剂包括:非离子表面活性剂如PolyFox PF-159(OMNOVA溶液)、聚乙二醇(“PEG”)、聚丙二醇(“PPG”)、PEG-PPG共聚物如Pluronic F-127(BASF);阴离子表面活性剂如十二烷基苯磺酸、十二烷基苯磺酸钠、及其组合。季铵盐能够充当腐蚀抑制剂(尤其是对于铜和钨)和润湿剂两者。对于本领域技术人员明显的是,尽管季铵盐最经常作为氯化物或溴化物商购获得,但卤化物的阴离子与非卤化物的阴离子如硫酸根、甲烷磺酸根、硝酸根、氢氧根等易于发生离子交换。这种转化的季铵盐也是本文中所预期的。在尤其优选的实施方案中,已知5-甲基-1H-苯并三唑阻断氧化剂对铜的氧化活性。其他优选的腐蚀抑制剂包括阳离子季铵盐,更优选肉豆蔻基三甲基溴化铵、氯扎氯胺、十六烷基三甲基对甲苯磺酸铵和十六烷基三甲基氢氧化铵以及四唑如5-苄基-1H-四唑。
第一或第二方面的组合物能够还包括至少一种低k的钝化剂以降低低k介电层的化学攻击并防止晶片进一步氧化。优选的低k钝化剂包括但不限于:硼酸;硼酸盐如五硼酸铵、四硼酸钠;3-羟基-2-萘甲酸;丙二酸;亚氨基二乙酸。当存在时,基于组合物的总重量,所述组合物包含约0.01重量%~约2重量%的低k钝化剂。优选地,基于下面的低k材料的总重量,使用本文中所述的组合物蚀刻/除去下面的低k材料的小于2重量%,更优选小于1重量%,最优选小于0.5重量%。
第一或第二方面的组合物能够还包含至少一种含硅的化合物以降低蚀刻剂源的活性。在一个实施方案中,所述至少一种含硅的化合物包含烷氧基硅烷。预期的烷氧基硅烷具有通式SiR1R2R3R4,其中R1、R2、R3和R4相互相同或不同并选自:直链的C1~C6的烷基(例如甲基、乙基、丙基、丁基、戊基、己基);支链的C1~C6的烷基;C1~C6的烷氧基(例如甲氧基、乙氧基、丙氧基、丁氧基、戊氧基、己氧基);苯基、及其组合。本领域技术人员应理解,作为烷氧基硅烷的特征,R1、R2、R3或R4中的至少一者必须为C1~C6的烷氧基。预期的烷氧基硅烷包括甲基三甲氧基硅烷、二甲基二甲氧基硅烷、苯基三甲氧基硅烷、四乙氧基硅烷(TEOS)、N-丙基三甲氧基硅烷、N-丙基三乙氧基硅烷、己基三甲氧基硅烷、己基三乙氧基硅烷、及其组合。替代或除了烷氧基硅烷之外,还能够使用的其他含硅化合物包括六氟硅酸铵、硅酸钠、四甲基硅酸铵(TMAS)、及其组合。优选地,所述含硅化合物包含TEOS、TMAS以及硅酸钠。当存在时,基于组合物的总重量,含硅化合物的量为约0.001重量%~约2重量%。
能够将氧化剂稳定剂添加到含水组合物,尤其是当在使用点之前的任意时间处将氧化剂与其他组分合并时。氧化剂稳定剂包括但不限于,甘氨酸、丝氨酸、脯氨酸、亮氨酸、丙氨酸、天冬酰胺、天冬氨酸、谷氨酸、缬氨酸和赖氨酸、次氮基三乙酸、亚氨基二乙酸、羟基乙叉二膦酸、乙二胺四乙酸(EDTA)、(1,2-亚环己基二次氮基)四乙酸(CDTA)、尿酸、四乙二醇二甲醚、二亚乙基三胺五乙酸、丙二胺四乙酸、乙二胺二琥珀酸、磺胺、及其组合。优选地,氧化剂稳定剂包含CDTA、磺胺或其组合。
为了确保润湿,尤其是当pH低时,将表面活性剂添加到含水组合物,优选抗氧化的、氟化的阴离子表面活性剂。本发明的组合物中预期的阴离子表面活性剂包括但不限于,氟表面活性剂如UR和FS-62(DuPont Canada Inc.,Mississauga,Ontario,Canada)和氟烷基磺酸铵如NovecTM4300(3M)。当使用的蚀刻剂包含氟化物时,可以预期使用长链四烷基氟化铵,其能够用作表面活性剂和蚀刻剂。
所述至少一种溶剂包含水、至少一种水混溶的有机溶剂或其组合,其中所述至少一种水混溶的有机溶剂选自式R1R2R3C(OH)的化合物,其中R1、R2和R3相互独立并选自:氢、C2~C30的烷基、C2~C30亚烷基、环烷基、C2~C30的烷氧基、及其组合。例如,所述至少一种溶剂能够包含选自以下的至少一种物质:水、甲醇、乙醇、异丙醇、丁醇、戊醇、己醇、2-乙基-1-己醇、庚醇、辛醇、乙二醇、丙二醇、丁二醇、碳酸亚丁酯、碳酸亚乙酯、碳酸亚丙酯、二丙二醇、二乙二醇单甲醚、三乙二醇单甲醚、二乙二醇单乙醚、三乙二醇单乙醚、乙二醇单丙醚、乙二醇单丁醚、二乙二醇单丁醚、三乙二醇单丁醚、乙二醇单己醚、二乙二醇单己醚、乙二醇苯醚、丙二醇甲醚、二丙二醇甲醚(DPGME)、三丙二醇甲醚(TPGME)、二丙二醇二甲醚、二丙二醇乙醚、丙二醇N-丙醚、二丙二醇N-丙醚(DPGPE)、三丙二醇N-丙醚、丙二醇正丁醚、二丙二醇正丁醚、三丙二醇正丁醚、丙二醇苯醚、2,3-二氢十氟戊烷、乙基全氟丁醚、甲基全氟丁醚、碳酸烷基酯、碳酸亚烃基酯、4-甲基-2-戊醇、及其组合。优选地,所述至少一种溶剂包含水,最优选去离子水。
在另一个实施方案中,本发明的任意一种组合物可以还包含氮化钛和/或光致抗蚀剂蚀刻材料残余物,其中所述残余物悬浮和/或溶解在含水组合物中。
在一个实施方案中,第一方面的组合物以如下范围存在的方式包含如下物质、由或主要由如下物质构成:至少一种氧化剂、至少一种蚀刻剂和至少一种溶剂,其中所述组合物基本不含过氧化氢,所述范围是以组合物的总重量为基础的:
优选地,氧化剂包含氧化钒、碘酸铵、高碘酸或1,4-苯醌。优选地,蚀刻剂包含四氟硼酸或六氟硅酸。当氧化剂包含碘酸盐或高碘酸盐化合物时,第一方面的去除组合物优选还包含至少一种碘清除剂。
在另一个实施方案中,第一方面的组合物以如下范围存在的方式包含如下物质、由或主要由如下物质构成:至少一种氧化剂、至少一种蚀刻剂、至少一种溶剂和至少一种腐蚀抑制剂,其中所述组合物基本不含过氧化氢,所述范围是以组合物的总重量为基础的:
优选地,氧化剂包含氧化钒、碘酸铵、高碘酸或1,4-苯醌。优选地,蚀刻剂包含四氟硼酸或六氟硅酸。当氧化剂包含碘酸盐或高碘酸盐化合物时,第一方面的去除组合物优选还包含至少一种碘清除剂。
在另一个实施方案中,第一方面的组合物以如下范围存在的方式包含如下物质、由或主要由如下物质构成:至少一种氧化剂、至少一种蚀刻剂、至少一种溶剂、至少一种腐蚀抑制剂和至少一种表面活性剂,其中所述组合物基本不含过氧化氢,所述范围是以组合物的总重量为基础的:
优选地,氧化剂包含氧化钒、碘酸铵、高碘酸或1,4-苯醌。优选地,蚀刻剂包含四氟硼酸或六氟硅酸。当氧化剂包含碘酸盐或高碘酸盐化合物时,第一方面的去除组合物优选还包含至少一种碘清除剂。
在还另一个实施方案中,第一方面的组合物以如下范围存在的方式包含如下物质、由或主要由如下物质构成:至少一种氧化剂、至少一种蚀刻剂、至少一种溶剂、至少一种腐蚀抑制剂和至少一种钝化剂,其中所述组合物基本不含过氧化氢,所述范围是以组合物的总重量为基础的:
优选地,氧化剂包含氧化钒、碘酸铵、高碘酸或1,4-苯醌。优选地,蚀刻剂包含四氟硼酸或六氟硅酸。当氧化剂包含碘酸盐或高碘酸盐化合物时,第一方面的去除组合物优选还包含至少一种碘清除剂。
在另一个实施方案中,第一方面的组合物以如下范围存在的方式包含如下物质、由或主要由如下物质构成:至少一种氧化剂、至少一种蚀刻剂、至少一种溶剂、至少一种腐蚀抑制剂、至少一种含硅的化合物和至少一种钝化剂,其中所述组合物基本不含过氧化氢,所述范围是以组合物的总重量为基础的:
优选地,氧化剂包含氧化钒、碘酸铵、高碘酸或1,4-苯醌。优选地,蚀刻剂包含四氟硼酸或六氟硅酸。当氧化剂包含碘酸盐或高碘酸盐化合物时,第一方面的去除组合物优选还包含至少一种碘清除剂。
最优选地,第一方面的去除组合物包含如下物质、由或主要由如下物质构成:至少一种碘酸盐或高碘酸盐氧化剂、至少一种蚀刻剂、至少一种溶剂、至少一种腐蚀抑制剂、至少一种钝化剂、至少一种含硅的化合物和至少一种碘清除剂,其中所述组合物基本不含过氧化氢。还更优选地,第一方面的去除组合物包含如下物质、由或主要由如下物质构成:至少一种碘酸盐或高碘酸盐氧化剂、六氟硅酸、水、至少一种腐蚀抑制剂、至少一种钝化剂、至少一种含硅的化合物和至少一种碘清除剂,其中所述组合物基本不含过氧化氢。最优选地,第一方面的去除组合物包含如下物质、由或主要由如下物质构成:碘酸铵、六氟硅酸、水、TEOS、至少一种腐蚀抑制剂、至少一种钝化剂和至少一种碘清除剂,所述碘清除剂选自4-甲基-2-戊酮、2,4-二甲基-3-戊酮、环己酮和5-甲基-3-庚酮,其中所述组合物基本不含过氧化氢。在另一个替代方案中,第一方面的去除组合物包含如下物质、由或主要由如下物质构成:至少一种碘酸盐或高碘酸盐氧化剂、至少一种蚀刻剂、至少一种溶剂、至少一种腐蚀抑制剂和至少一种含硅的化合物,其中所述组合物基本不含过氧化氢。还更优选地,第一方面的去除组合物包含如下物质、由或主要由如下物质构成:至少一种碘酸盐或高碘酸盐氧化剂、六氟硅酸、水、至少一种腐蚀抑制剂和至少一种含硅的化合物,其中所述组合物基本不含过氧化氢。最优选地,第一方面的去除组合物包含如下物质、由或主要由如下物质构成:碘酸铵、六氟硅酸、水、TEOS和至少一种腐蚀抑制剂,其中所述组合物基本不含过氧化氢。
在第二方面的组合物的实施方案中,所述组合物以如下范围存在的方式包含如下物质、由或主要由如下物质构成:至少一种氧化剂、至少一种蚀刻剂、至少一种金属腐蚀抑制剂、至少一种氧化剂稳定剂和至少一种溶剂,所述范围是以所述组合物的总重量为基础的:
优选地,氧化剂包含过氧化氢且蚀刻剂包含TMAH。
在第二方面的组合物的还另一个实施方案中,所述组合物以如下范围存在的方式包含如下物质、由或主要由如下物质构成:至少一种氧化剂、至少一种蚀刻剂、至少一种金属腐蚀抑制剂、至少一种氧化剂稳定剂、至少一种羧酸盐、至少一种表面活性剂和至少一种溶剂,所述范围是以所述组合物的总重量为基础的:
优选地,氧化剂包含过氧化氢,蚀刻剂包含TMAH,且羧酸盐包含乙酸铵。
应理解,普通的实践是制备组合物的浓缩形式以在使用之前进行稀释。例如,以更浓缩的形式制造组合物,其后在厂商处、在使用之前和/或在fab处使用期间利用至少一种溶剂进行稀释。稀释比例为约0.1份稀释剂:1份组合物浓缩物~约100份稀释剂:1份组合物浓缩物。还应理解,本文中所述的组合物包含氧化剂,其能够随时间而不稳定。因此,浓缩形式基本不含氧化剂且通过制造商在使用之前和/或在fab处使用期间将氧化剂引入浓缩物或稀释的组合物。
通过简单添加各种成分并混合至均相状况,可容易地配制本文中所述的组合物。而且,组合物易于配制为单包制剂或多部分制剂,将其在使用点处或之前进行混合,优选多部分制剂。在工具或在混合区域/面积如在线混合器中或在工具上游的存储罐中将多部分制剂的各个部分进行混合。可以预期,多部分制剂的多个部分可以包含成分/组成的任意组合,其混合在一起时形成期望的组合物。各种成分的浓度可以以组合物的特定倍数广泛变化,即更稀或更浓,且应理解,所述组合物能够不同且替代性地包含、由或主要由与本文中的发明内容一致的成分的任意组合构成。
因此,第三方面涉及套包,所述套包在一个或多个容器中包含适用于形成本文中所述组合物的一种或多种组分。套包的容器必须适用于存储并船运所述去除组合物组分,例如容器(AdvancedTechnology Materials,Inc.,Danbury,Conn.,USA)。包含组合物的组分的一个或多个容器优选包括使得所述一个或多个容器中的组分流体连通以进行共混并分配的手段。例如,参考容器,可以向所述一个或多个容器中衬垫的外部施加气压以造成衬垫内容物的至少一部分被排出并因此能够流体连通以进行共混和分配。或者,可以将气压施加到常规可加压容器的顶部空间或使用泵以使得流体连通。另外,所述系统优选包含分配口以将共混的组合物分配到加工工具。
优选将基本化学惰性、无杂质、柔性且弹性聚合物膜材料如高密度聚乙烯用于制造所述一个或多个容器所使用的衬垫。在不需要共挤出或阻断层的条件下,且在不使用任何颜料、UV抑制剂、或加工剂的条件下,对期望的衬垫材料进行加工,所述加工剂会对设置在衬垫中的组分的纯度要求造成不利影响。期望的衬垫材料的列表包括:膜,包含生(不含添加剂)聚乙烯、生聚四氟乙烯(PTFE)、聚丙烯、聚氨酯、聚偏二氯乙烯、聚氯乙烯、聚缩醛、聚苯乙烯、聚丙烯腈、聚丁烯等。这种衬垫材料的优选厚度为约5mil(0.005英寸)~约30mil(0.030英寸),例如20mil(0.020英寸)的厚度。
关于套包用容器,将如下专利和专利申请的发明内容通过参考以其各自的完整形式并入本文中:题目为“APPARATUS AND METHODFOR MINIMIZING THE GENERATION OF PARTICLES INULTRAPURE LIQUIDS”的美国专利7,188,644号;题目为“RETURNABLE AND REUSABLE,BAG-IN-DRUM FLUIDSTORAGE AND DISPENSING CONTAINER SYSTEM”的美国专利6,698,619号;以及2008年5月9日提交的题目为“SYSTEMS ANDMETHODS FOR MATERIAL BLENDING AND DISTRIBUTION”的PCT/US08/63276。
在第四方面中,本发明涉及使用如本文中所述的第一方面的组合物或第二方面的组合物从在其上具有氮化钛材料的微电子器件的表面蚀刻所述氮化钛材料的方法。例如,可以将氮化钛材料除去而基本不损害/除去存在于微电子器件上的金属导体和绝缘体材料。因此,在优选实施方案中,使用如本文中所述的第一方面的组合物或第二方面的组合物对从在其上具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面相对于金属导体和绝缘体材料选择性并基本除去所述氮化钛和/或光致抗蚀剂蚀刻残余物材料的方法进行了描述。在另一个优选实施方案中,使用本文中所述的第一方面的组合物对从在其上具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面相对于金属导体(例如铜)、钨和绝缘体材料选择性并基本除去所述氮化钛和/或光致抗蚀剂蚀刻残余物材料的方法进行了描述。
在蚀刻应用中,以任意合适方式将组合物施加到在其上具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面,例如:通过将组合物施加到器件的表面;通过对包含氮化钛和/或光致抗蚀剂蚀刻残余物材料的器件进行浸渍(浸入组合物的静态或动态体积中);通过将器件与另一种材料如垫或纤维吸附剂涂布器元件,所述元件在其上具有吸收的组合物;通过将包含氮化钛和/或光致抗蚀剂蚀刻残余物材料的器件与循环的组合物接触;或通过任意其他合适手段、方式或技术,由此使得组合物与氮化钛和/或光致抗蚀剂蚀刻残余物材料以除去的方式接触。所述涂布可以以间歇方式或在单个晶片设备中实施,以用于动态或静态清洁。有利地,本文中所述的组合物,由于相对于存在于微电子器件结构上的并暴露在组合物下的其他材料如金属和绝缘材料(即低k电介质)其对氮化钛和/或光致抗蚀剂蚀刻残余物材料具有选择性,所以以高效且高选择性的方式实现了氮化钛和/或光致抗蚀剂蚀刻残余物材料的至少部分去除。
在用于从在其上具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件结构除去所述氮化钛和/或光致抗蚀剂蚀刻残余物材料的第一或第二方面的组合物的使用中,在约20℃~约100℃、优选约45℃~约60℃的温度下在单个晶片工具中组合物典型地与器件结构接触并持续约0.3分钟~约30分钟,优选约0.5分钟~约3分钟。这种接触时间和温度是示例性的,可以使用对从器件结构至少部分除去氮化钛和/或光致抗蚀剂蚀刻残余物材料有效的任意其他合适时间和温度条件。
在一个实施方案中,在递送到器件结构期间对组合物在线加热。通过在线加热,而不是在浴自身内,组合物的寿命提高。
在实现期望的蚀刻作用之后,例如通过漂洗、洗涤或其他去除步骤,组合物易于从先前施加了所述组合物的微电子器件除去,如同本文中所述的组合物在给定的末端用途应用中期望的且高效的,例如,利用包含去离子水和/或干燥的(例如离心干燥、N2、蒸汽干燥等)漂洗溶液可以对器件进行漂洗。
第一或第二方面的组合物优选相对于金属导体和绝缘(即低k电介质)材料选择性蚀刻氮化钛材料。在一个实施方案中,氮化钛的蚀刻速率高(分钟-1以上,在50℃优选约分钟-1以上且在60℃约分钟-1以上),而金属的蚀刻速率低(约0.01~约分钟-1,优选约0.1~约分钟-1),且低k电介质的蚀刻速率低(约0.01~约分钟-1,优选约0.01~约分钟-1)。
本发明的第五方面涉及根据本文中所述的方法制备的改进的微电子器件和包含这种微电子器件的产物。
第六方面涉及制造包含微电子器件的制品的方法,所述方法包括:将微电子器件与组合物接触足够的时间以从在其上具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面蚀刻性除去所述氮化钛和/或光致抗蚀剂蚀刻残余物材料;以及将所述微电子器件并入所述制品,其中所述组合物包含如下物质、由或主要由如下物质构成:至少一种氧化剂、至少一种蚀刻剂和至少一种溶剂,其中所述组合物基本不含过氧化氢。或者,所述组合物包含如下物质、由或主要由如下物质构成:至少一种氧化剂、至少一种蚀刻剂、至少一种金属腐蚀抑制剂、至少一种氧化剂稳定剂和至少一种溶剂。在还另一个替代方案中,所述组合物包含如下物质、由或主要由如下物质构成:至少一种氧化剂、至少一种蚀刻剂、至少一种金属腐蚀抑制剂、至少一种氧化剂稳定剂、至少一种羧酸盐、至少一种表面活性剂和至少一种溶剂。所述组合物还包含氮化钛材料、由或主要由所述氮化钛材料构成。
本发明的第七方面涉及制造制品,包含如下物质、由或主要由如下物质构成:微电子器件衬底、在所述衬底上的氮化钛层和本文中所述的组合物。
通过下述示例性实例更完整地显示本发明的特征和优势。
实施例1
按下表1中所述制备了根据第二方面的三种组合物。将TiN(类型1)、TiN(类型2)、铜、氮氧化硅和超低k的电介质的试样浸入50℃下的各种制剂中并根据厚度损失对时间的斜率确定了各自的蚀刻速率。在表1中能够看出,TiN相对于金属(例如铜)和介电材料的选择性为至少约200:1~约500:1。另外,包含羧酸盐的组合物C的蚀刻速率令人惊讶地大于不含羧酸盐的那些,即使氧化剂的浓度小于组合物A和B的40%。这因为对诸如铜的金属导体的攻击最小化而是有利的。
表1:制剂和蚀刻速率
实施例2
按下表2中所述制备了根据第一方面的七种组合物(即基本不含过氧化氢)。将TiN(类型1)、铜、PETEOS电介质和钨的试样浸入50℃或60℃的各种制剂中并根据在给定时间间隔下的损失估算了蚀刻速率。在表2中能够看出,TiN相对于Cu和W并相对于介电材料的选择性在许多情况中>50:1,在某些情况中>100:1。应注意,在制剂J的条件下,实际的组合物具有0.1%的HF和0.1%的硼酸,预期其在数分钟内转化成0.11%的HBF4和0.023%的硼酸(如所列出的),但最终存在于平衡下的物质主要为HBF3OH+HBF4
表2:制剂和蚀刻速率
实施例3
按表3中所示配制了三种组合物。
表3:具有碘清除剂的组合物
组分 K L M
去离子水 98.845 98.645 98.845
H2SiF6 0.8 0.8 0.8
碘酸铵 0.01 0.01 0.01
5-苄基-四唑 0.04 0.04 0.04
肉豆蔻基三甲基溴化铵 0.005 0.005 0.005
TEOS 0.2 0.2 0.2
4-甲基-2-戊酮 0.1 - -
2,4-三甲基-3-戊酮 - 0.3 -
环己酮 - - 0.1
通过假设特定体积的化学品并暴露在500或750个晶片下对将溶于溶液中的Cu(作为硫酸铜)、TiN(作为TiN粉末)和W(作为钨酸铵)的质量进行计算,制备了组合物K、L和M,所述组合物是模拟具有500和750个晶片浴装载的组合物。将Cu、TiN、W和PETEOS的试样浸入60℃下的如下溶液中并持续特定量的时间:清洁的K、L和M;装载500个晶片的K、L和M溶液;以及装载750个晶片的K、L和M。例如,将TiN试样浸渍30秒钟,对Cu、W和PETEOS试验5、15和30分钟。将结果示于下表4中。
表4:TiN、W、Cu和PETEOS在K、L和M中的蚀刻速率
能够看出,试验的所有三种碘清除剂基于蚀刻速率试验可以将浴装载寿命延长至约500个晶片。在750个晶片浴装载下,限制因子为TiN的蚀刻速率,其中试验的最有效的碘清除剂为4-甲基-2-戊酮。
实施例4
根据本文中所述的第二方面制备了十种浓缩的制剂,所述制剂具有如下取代物。各自包含0.01重量%的CDTA和1.000重量%的5-甲基BTA,且蚀刻剂为下表5中所述。
表5:在制剂AA~JJ中的蚀刻剂
制剂 蚀刻剂 纯蚀刻剂的重量% 水的重量%
AA TMAH 8.000 90.990
BB 40%TEAH 12.93 86.06
CC 40%TPAH 17.86 81.13
DD 40%TPAH 35.71 63.28
EE 55%TBAH 22.77 76.22
FF KOH 4.93 94.06
GG 40%TBPH 24.27 74.72
HH 20%BTEAH 18.38 80.61
II TMG 10.11 88.88
JJ 20%DEDMAH 10.47 88.52
利用30%的过氧化氢以9:1的比例对浓缩制剂进行稀释(9份H2O2对1份浓缩物)。将TiN、Cu、Co、TEOS和LTO(低温氧化物)的试样浸入50℃下的各种稀释的制剂中并确定了其蚀刻速率。大部分TiN()在1分钟处理时间内被清除。将结果示于下表6中。
表6:Cu、TiN、LTO、TEOS和Co在制剂AA~JJ中的蚀刻速率
能够看出,有利地,包含不同蚀刻剂的制剂有效地除去了TiN而基本不除去铜、钴或低k介电材料。
实施例5
如下表中所示的根据第一方面制备了制剂,由此基于制剂的总重量以重量百分比的方式提供量。制剂的剩余物为去离子水。将TiN、Cu、W和LTO(低温氧化物)的试样浸入50℃下各种稀释的制剂中并确定其蚀刻速率。显著地,TiN的蚀刻速率由于不同的TiN批次以及因此的不同的膜性质而无法比较。将结果示于下表7中。
表7:制剂KK~PP和蚀刻结果
根据这些实验得出的结论是,非溴化物的表面活性剂/腐蚀抑制剂在碘酸铵系统中高度有效,由此相对于Cu、W和LTO,TiN被选择性蚀刻。
实施例6
如下表中所示的根据第一方面制备了制剂,由此基于制剂的总重量以重量百分比的方式提供量。制剂的剩余物为去离子水。将TiN、Cu、W和PETEOS的试样浸入50℃下各种稀释的制剂中并确定其蚀刻速率。将结果示于下表8中。
表8:制剂RR~VV和蚀刻速率
根据这些实验得出的结论是,不同的氟化物源在碘酸铵系统中是有效的,由此相对于Cu、W和LTO,TiN被选择性蚀刻。蚀刻速率似乎受到pH的强烈影响。
实施例7
如下表中所示的根据第一方面制备了制剂,由此基于制剂的总重量以重量百分比的方式提供量。制剂的剩余物为去离子水。将TiN、Cu、W、TEOS和LTO(低温氧化物)的试样浸入50℃下的各种制剂中并确定其蚀刻速率,如表9中所提供的。
表9:制剂WW~ZZ-2和蚀刻速率
根据利用不同氧化剂和更高氟化物浓度的这些实验得出的结论是,实现了更高的TiN蚀刻速率,由此对其他金属导体层和介电层具有更高的选择性。
实施例8
制备了第一方面的制剂,所述制剂包括0.01重量%的碘酸铵、0.8重量%的六氟硅酸、0.2重量%的TEOS、0.04重量%的5-苄基四唑、表10中公开的腐蚀抑制剂以及剩余物水。各自的pH为1~3。通过将商购获得的TiN和W试样浸入各种制剂中并在50℃下持续特定时间且对损失的TiN和W进行分析,由此确定了蚀刻速率。将结果也提供在表10中。
表10:
能够看出,包含特定的阳离子季铵盐对TiN相对于钨的去除选择性具有显著影响。
尽管本文中已经参考本发明的具体方面、特征和示例性实施方案对本发明进行了说明,但应理解,本发明的效用不是由此限制的,相反而是根据本文中的发明内容扩展到并包括大量其他变化、变体和替代性实施方案,如同本发明的领域中的技术人员自身所建议的。因此,权利要求所主张的本发明倾向于在其主旨和范围内进行宽泛地解释和说明,以包括所有这种变化、变体和替代性实施方案。

Claims (40)

1.一种组合物,所述组合物用于选择性地将氮化钛和/或光致抗蚀剂蚀刻残余物材料从在其上具有所述氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面除去,所述组合物包含至少一种氧化剂、至少一种蚀刻剂和至少一种溶剂,其中所述组合物基本不含过氧化氢。
2.权利要求1的组合物,其中所述蚀刻剂包括选自以下的物质:H2ZrF6、H2TiF6、HPF6、HF、氟化铵、四氟硼酸、六氟硅酸、四丁基四氟硼酸铵(TBA-BF4)、六氟硅酸铵、六氟钛酸铵、四烷基氟化铵(NR1R2R3R4F)、四烷基氢氧化胺(NR1R2R3R4OH)、弱碱及其组合,其中R1、R2、R3、R4可以相互相同或不同并选自直链或支链的C1~C6的烷基。
3.权利要求1的组合物,其中所述蚀刻剂包含四氟硼酸或六氟硅酸。
4.上述权利要求中任一项的组合物,其中所述氧化剂包含选自以下的物质:FeCl3(水合的和未水合的两种)、Fe(NO3)3、Sr(NO3)2、CoF3、FeF3、MnF3、过硫酸氢钾制剂(2KHSO5·KHSO4·K2SO4)、高碘酸、碘酸、钒(V)的氧化物、钒(IV,V)的氧化物、钒酸铵、过氧单硫酸铵、亚氯酸铵(NH4ClO2)、氯酸铵(NH4ClO3)、碘酸铵(NH4IO3)、硝酸铵(NH4NO3)、过硼酸铵(NH4BO3)、高氯酸铵(NH4ClO4)、高碘酸铵(NH4IO3)、过硫酸铵((NH4)2S2O8)、次氯酸铵(NH4ClO)、钨酸铵((NH4)10H2(W2O7))、过硫酸钠(Na2S2O8)、次氯酸钠(NaClO)、过硼酸钠、碘酸钾(KIO3)、高锰酸钾(KMnO4)、过硫酸钾、硝酸(HNO3)、过硫酸钾(K2S2O8)、次氯酸钾(KClO)、四甲基亚氯酸铵((N(CH3)4)ClO2)、四甲基氯酸铵((N(CH3)4)ClO3)、四甲基碘酸铵((N(CH3)4)IO3)、四甲基过硼酸铵((N(CH3)4)BO3)、四甲基高氯酸铵((N(CH3)4)ClO4)、四甲基高碘酸铵((N(CH3)4)IO4)、四甲基过硫酸铵((N(CH3)4)S2O8))、四丁基过氧单硫酸铵、过氧单硫酸、硝酸铁(Fe(NO3)3)、过乙酸(CH3(CO)OOH)、1,4-苯醌、甲苯醌、二甲基-1,4-苯醌、氯醌、四氧嘧啶、N-甲基吗啉N-氧化物、三甲胺N-氧化物、及其组合。
5.上述权利要求中任一项的组合物,其中所述氧化剂包含选自以下的物质:钒的氧化物、碘酸铵、高碘酸铵、钒酸铵、高碘酸、碘酸和1,4-苯醌。
6.上述权利要求中任一项的组合物,其中所述氧化剂包含选自以下的物质:碘酸铵、高碘酸铵、碘酸和高碘酸。
7.权利要求6的组合物,还包括包含酮的至少一种碘清除剂。
8.权利要求6的组合物,还包括选自以下的至少一种碘清除剂:4-甲基-2-戊酮、2,4-二甲基-3-戊酮、环己酮、5-甲基-3-庚酮、3-戊酮、5-羟基-2-戊酮、2,5-己二酮、4-羟基-4-甲基-2-戊酮、丙酮、丁酮、2-甲基-2-丁酮、3,3-二甲基-2-丁酮、4-羟基-2-丁酮、环戊酮、2-戊酮、3-戊酮、1-苯基乙酮、苯乙酮、二苯甲酮、2-己酮、3-己酮、2-庚酮、3-庚酮、4-庚酮、2,6-二甲基-4-庚酮、2-辛酮、3-辛酮、4-辛酮、二环己酮、2,6-二甲基环己酮、2-乙酰基环己酮、2,4-戊二酮、薄荷酮、及其组合。
9.权利要求6的组合物,还包括选自以下的至少一种碘清除剂:4-甲基-2-戊酮、2,4-二甲基-3-戊酮和环己酮。
10.上述权利要求中任一项的组合物,其中所述至少一种溶剂包含水。
11.上述权利要求中任一项的组合物,其中基于所述组合物的总重量,所述组合物包含至少约98重量%的水。
12.上述权利要求中任一项的组合物,其中所述组合物还包含至少一种腐蚀抑制剂。
13.权利要求12的组合物,其中所述至少一种腐蚀抑制剂包含选自以下的物质:5-氨基-1,3,4-噻二唑-2-硫醇(ATDT)、苯并三唑(BTA)、1,2,4-三唑(TAZ)、甲苯基三唑、5-甲基-苯并三唑、5-苯基-苯并三唑、5-硝基-苯并三唑、苯并三唑羧酸、3-氨基-5-巯基-1,2,4-三唑、1-氨基-1,2,4-三唑、羟基苯并三唑、2-(5-氨基-戊基)-苯并三唑、1-氨基-1,2,3-三唑、1-氨基-5-甲基-1,2,3-三唑、3-氨基-1,2,4-三唑、3-巯基-1,2,4-三唑、3-异丙基-1,2,4-三唑、5-苯基硫醇-苯并三唑、卤素-苯并三唑(卤素=F、Cl、Br或I)、萘并三唑、2-巯基苯并咪唑(MBI)、2-巯基苯并噻唑、4-甲基-2-苯基咪唑、2-巯基噻唑啉、5-氨基四唑、亚戊基四唑、5-苯基-1H-四唑、5-苄基-1H-四唑、Ablumine O、2-苄基吡啶、琥珀酰亚胺、2,4-二氨基-6-甲基-1,3,5-三嗪、噻唑、三嗪、甲基四唑、1,3-二甲基-2-咪唑烷酮、1,5-五亚甲基四唑、1-苯基-5-巯基四唑、二氨基甲基三嗪、咪唑啉硫酮、4-甲基-4H-1,2,4-三唑-3-硫醇、苯并噻唑、咪唑、苯并异二唑、腺苷、咔唑、糖精、苯偶姻肟、PolyFox PF-159、聚乙二醇、聚丙二醇、PEG-PPG共聚物、十二烷基苯磺酸、十二烷基苯磺酸钠、及其组合。
14.权利要求12的组合物,其中所述至少一种腐蚀抑制剂包括选自以下的阳离子四级物质:阳离子季铵盐如氯扎氯胺、苄基二甲基十二烷基氯化铵、肉豆蔻基三甲基溴化铵、十二烷基三甲基溴化铵、十六烷基氯化吡啶鎓、Aliquat336、苄基二甲基苯基氯化铵、CrodaquatTES、Rewoquat CPEM、十六烷基三甲基对甲苯磺酸铵、十六烷基三甲基氢氧化铵、1-甲基-1'-十四烷基-4,4'-二吡啶鎓二氯化物、烷基三甲基溴化铵、安普罗利盐酸盐、氢氧化苄乙氧铵、氯化苄乙氧铵、苄基二甲基十六烷基氯化铵、苄基二甲基十四烷基氯化铵、苄基十二烷基二甲基溴化铵、苄基十二烷基二甲基氯化铵、十六烷基氯化吡啶鎓、胆碱对甲苯磺酸盐、二甲基二(十八烷基)溴化铵、十二烷基乙基二甲基溴化铵、十二烷基三甲基氯化铵、乙基十六烷基二甲基溴化铵、Girard试剂、十六烷基(2-羟乙基)二甲基磷酸二氢铵、十六烷基溴化吡啶鎓、十六烷基三甲基溴化铵、十六烷基三甲基氯化铵、甲基氯化苄乙氧铵、1622、LuviquatTM、N,N',N'-聚氧乙烯(10)-N-牛脂-1,3-二氨基丙烷液体、氧酚溴铵、四庚基溴化铵、四癸基溴化铵、通佐溴铵、三(十二烷基)氯化铵、三甲基十八烷基溴化铵、1-甲基-3-正辛基咪唑鎓四氟硼酸盐、1-癸基-3-甲基咪唑鎓四氟硼酸盐、1-癸基-3-甲基咪唑鎓氯化物、三(十二烷基)甲基溴化铵、二甲基二硬脂酰基氯化铵和六甲基氯化铵。
15.上述权利要求中任一项的组合物,其中所述组合物还包含选自以下的至少一种另外的组分:至少一种表面活性剂、至少一种低k钝化剂、至少一种含硅化合物、及其组合。
16.权利要求15的组合物,包含选自以下的至少一种钝化剂:硼酸、五硼酸铵、四硼酸钠、3-羟基-2-萘甲酸、丙二酸、亚氨基二乙酸、及其组合。
17.权利要求15或16的组合物,包含选自以下的至少一种含硅化合物:甲基三甲氧基硅烷、二甲基二甲氧基硅烷、苯基三甲氧基硅烷、四乙氧基硅烷(TEOS)、N-丙基三甲氧基硅烷、N-丙基三乙氧基硅烷、己基三甲氧基硅烷、己基三乙氧基硅烷、六氟硅酸铵、硅酸钠、四甲基硅酸铵(TMAS)、及其组合。
18.上述权利要求中任一项的组合物,其中所述组合物基本不含胺、研磨材料、氯化物源、金属卤化物、及其组合。
19.上述权利要求中任一项的组合物,其中所述组合物的pH为0~4。
20.一种组合物,所述组合物用于选择性地将氮化钛和/或光致抗蚀剂蚀刻残余物材料从在其上具有所述氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面除去,所述组合物包含至少一种氧化剂、至少一种蚀刻剂、至少一种金属腐蚀抑制剂和至少一种溶剂。
21.权利要求20的组合物,其中所述蚀刻剂包含选自以下的物质:H2ZrF6、H2TiF6、HPF6、HF、氟化铵、四氟硼酸、六氟硅酸、四丁基四氟硼酸铵(TBA-BF4)、六氟硅酸铵、六氟钛酸铵、四甲基氢氧化铵(TMAH)、四乙基氢氧化铵(TEAH)、四丙基氢氧化铵(TPAH)、四丁基氢氧化铵(TBAH)、苄基三甲基氢氧化铵(BTMAH)、氢氧化钾、氢氧化铵、苄基三乙基氢氧化铵(BTEAH)、四丁基氢氧化膦(TBPH)、(2-羟乙基)三甲基氢氧化铵、(2-羟乙基)三乙基氢氧化铵、(2-羟乙基)三丙基氢氧化铵、(1-羟丙基)三甲基氢氧化铵、乙基三甲基氢氧化铵、二乙基二甲基氢氧化铵(DEDMAH)、1,1,3,3-四甲基胍(TMG)、碳酸胍、精氨酸、氢氧化铵、单乙醇胺(MEA)、二乙醇胺(DEA)、三乙醇胺(TEA)、乙二胺、半胱氨酸、四烷基氟化铵(NR1R2R3R4F)、及其组合,其中R1、R2、R3、R4可以相互相同或不同并选自直链或支链的C1~C6的烷基。
22.权利要求20或21的组合物,其中所述蚀刻剂包含TMAH。
23.权利要求20~22中任一项的组合物,其中所述氧化剂包含选自以下的物质:过氧化氢、FeCl3、FeF3、Fe(NO3)3、Sr(NO3)2、CoF3、MnF3、过硫酸氢钾制剂(2KHSO5·KHSO4·K2SO4)、高碘酸、碘酸、钒(V)的氧化物、钒(IV,V)的氧化物、钒酸铵、过氧单硫酸铵、亚氯酸铵(NH4ClO2)、氯酸铵(NH4ClO3)、碘酸铵(NH4IO3)、硝酸铵(NH4NO3)、过硼酸铵(NH4BO3)、高氯酸铵(NH4ClO4)、高碘酸铵(NH4IO3)、过硫酸铵((NH4)2S2O8)、次氯酸铵(NH4ClO)、钨酸铵((NH4)10H2(W2O7))、过硫酸钠(Na2S2O8)、次氯酸钠(NaClO)、过硼酸钠、碘酸钾(KIO3)、高锰酸钾(KMnO4)、过硫酸钾、硝酸(HNO3)、过硫酸钾(K2S2O8)、次氯酸钾(KClO)、四甲基亚氯酸铵((N(CH3)4)ClO2)、四甲基氯酸铵((N(CH3)4)ClO3)、四甲基碘酸铵((N(CH3)4)IO3)、四甲基过硼酸铵((N(CH3)4)BO3)、四甲基高氯酸铵((N(CH3)4)ClO4)、四甲基高碘酸铵((N(CH3)4)IO4)、四甲基过硫酸铵((N(CH3)4)S2O8)、四丁基过氧单硫酸铵、过氧单硫酸、硝酸铁(Fe(NO3)3)、尿素过氧化氢((CO(NH2)2)H2O2)、过乙酸(CH3(CO)OOH)、1,4-苯醌、甲苯醌、二甲基-1,4-苯醌、氯醌、四氧嘧啶、N-甲基吗啉N-氧化物、三甲胺N-氧化物、及其组合。
24.权利要求20~23中任一项的组合物,其中所述氧化剂包含过氧化氢。
25.权利要求20~24中任一项的组合物,其中所述至少一种溶剂包含水。
26.权利要求20~25中任一项的组合物,还包含至少一种羧酸盐。
27.权利要求26的组合物,其中所述羧酸盐包含铵阳离子和阴离子,所述阴离子选自乙酸根、苯甲酸根、柠檬酸根、甲酸根、草酸根、酒石酸根、琥珀酸根、乳酸根、马来酸根、丙二酸根、富马酸根、苹果酸根、抗坏血酸根、扁桃酸根和邻苯二甲酸根。
28.权利要求26的组合物,其中所述羧酸盐包含乙酸铵、苯甲酸铵或其组合。
29.权利要求20~28中任一项的组合物,还包含至少一种金属腐蚀抑制剂,其中所述金属腐蚀抑制剂选自以下物质:5-氨基-1,3,4-噻二唑-2-硫醇(ATDT)、苯并三唑(BTA)、1,2,4-三唑(TAZ)、甲苯基三唑、5-甲基-苯并三唑、5-苯基-苯并三唑、5-硝基-苯并三唑、苯并三唑羧酸、3-氨基-5-巯基-1,2,4-三唑、1-氨基-1,2,4-三唑、羟基苯并三唑、2-(5-氨基-戊基)-苯并三唑、1-氨基-1,2,3-三唑、1-氨基-5-甲基-1,2,3-三唑、3-氨基-1,2,4-三唑、3-巯基-1,2,4-三唑、3-异丙基-1,2,4-三唑、5-苯基硫醇-苯并三唑、卤素-苯并三唑(卤素=F、Cl、Br或I)、萘并三唑、2-巯基苯并咪唑(MBI)、2-巯基苯并噻唑、4-甲基-2-苯基咪唑、2-巯基噻唑啉、5-氨基四唑、亚戊基四唑、5-苯基-1H-四唑、5-苄基-1H-四唑、AblumineO、2-苄基吡啶、琥珀酰亚胺、2,4-二氨基-6-甲基-1,3,5-三嗪、噻唑、三嗪、甲基四唑、1,3-二甲基-2-咪唑烷酮、1,5-五亚甲基四唑、1-苯基-5-巯基四唑、二氨基甲基三嗪、咪唑啉硫酮、4-甲基-4H-1,2,4-三唑-3-硫醇、苯并噻唑、咪唑、苯并异二唑、腺苷、咔唑、糖精、苯偶姻肟、PolyFox PF-159、聚乙二醇、聚丙二醇、PEG-PPG共聚物、十二烷基苯磺酸、及其组合。
30.权利要求29的组合物,其中所述金属腐蚀抑制剂包含5-甲基-1H-苯并三唑。
31.权利要求20~28中任一项的组合物,还包含至少一种金属腐蚀抑制剂,其中所述金属腐蚀抑制剂选自:氯扎氯胺、苄基二甲基十二烷基氯化铵、肉豆蔻基三甲基溴化铵、十二烷基三甲基溴化铵、十六烷基氯化吡啶鎓、Aliquat336、苄基二甲基苯基氯化铵、CrodaquatTES、Rewoquat CPEM、十六烷基三甲基对甲苯磺酸铵、十六烷基三甲基氢氧化铵、1-甲基-1'-十四烷基-4,4'-二吡啶鎓二氯化物、烷基三甲基溴化铵、安普罗利盐酸盐、氢氧化苄乙氧铵、氯化苄乙氧铵、苄基二甲基十六烷基氯化铵、苄基二甲基十四烷基氯化铵、苄基十二烷基二甲基溴化铵、苄基十二烷基二甲基氯化铵、十六烷基氯化吡啶鎓、胆碱对甲苯磺酸盐、二甲基二-十八烷基溴化铵、十二烷基乙基二甲基溴化铵、十二烷基三甲基氯化铵、乙基十六烷基二甲基溴化铵、Girard试剂、十六烷基(2-羟乙基)二甲基磷酸二氢铵、十六烷基溴化吡啶鎓、十六烷基三甲基溴化铵、十六烷基三甲基氯化铵、甲基氯化苄乙氧铵、1622、LuviquatTM、N,N',N'-聚氧乙烯(10)-N-牛脂-1,3-二氨基丙烷液体、氧酚溴铵、四庚基溴化铵、四癸基溴化铵、通佐溴铵、三-十二烷基氯化铵、三甲基十八烷基溴化铵、1-甲基-3-正辛基咪唑鎓四氟硼酸盐、1-癸基-3-甲基咪唑鎓四氟硼酸盐、1-癸基-3-甲基咪唑鎓氯化物、三-十二烷基甲基溴化铵、二甲基二硬脂酰基氯化铵和六甲基氯化铵。
32.权利要求20~31中任一项的组合物,还包含至少一种氧化剂稳定剂。
33.权利要求32的组合物,其中所述氧化剂稳定剂包含选自以下的物质:甘氨酸、丝氨酸、脯氨酸、亮氨酸、丙氨酸、天冬酰胺、天冬氨酸、谷氨酸、缬氨酸和赖氨酸、次氮基三乙酸、亚氨基二乙酸、羟基乙叉二膦酸、乙二胺四乙酸(EDTA)、(1,2-亚环己基二次氮基)四乙酸(CDTA)、尿酸、四乙二醇二甲醚、二亚乙基三胺五乙酸、丙二胺四乙酸、乙二胺二琥珀酸、磺胺、及其组合。
34.权利要求32的组合物,其中所述氧化剂稳定剂包含CDTA、磺胺或其组合。
35.权利要求20~34中任一项的组合物,还包含选自以下的至少一种另外的组分:至少一种表面活性剂、至少一种低k钝化剂、及其组合。
36.权利要求20~35中任一项的组合物,其中所述组合物基本不含硅酸盐、研磨材料、氯化物源、金属卤化物、及其组合。
37.权利要求20~36中任一项的组合物,其中所述组合物的pH为约5~约10。
38.一种从在其上具有氮化钛材料的微电子器件的表面蚀刻所述氮化钛材料的方法,所述方法包括将所述表面与权利要求1~37中任一项的组合物接触,其中所述组合物相对于金属和绝缘材料选择性地从所述表面将所述氮化钛材料除去。
39.权利要求38的方法,其中所述接触包括在约20℃~约100℃温度下约0.3分钟~约30分钟的时间。
40.权利要求38~39中任一项的方法,其中在期望的蚀刻作用之后将所述组合物从所述表面漂洗掉。
CN201280064443.6A 2011-12-28 2012-12-27 用于选择性蚀刻氮化钛的组合物和方法 Active CN104145324B (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201161580942P 2011-12-28 2011-12-28
US61/580,942 2011-12-28
US201261675640P 2012-07-25 2012-07-25
US61/675,640 2012-07-25
US201261726782P 2012-11-15 2012-11-15
US61/726,782 2012-11-15
PCT/US2012/071777 WO2013101907A1 (en) 2011-12-28 2012-12-27 Compositions and methods for selectively etching titanium nitride

Publications (2)

Publication Number Publication Date
CN104145324A true CN104145324A (zh) 2014-11-12
CN104145324B CN104145324B (zh) 2017-12-22

Family

ID=48698606

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280064443.6A Active CN104145324B (zh) 2011-12-28 2012-12-27 用于选择性蚀刻氮化钛的组合物和方法

Country Status (8)

Country Link
US (2) US9546321B2 (zh)
EP (1) EP2798669B1 (zh)
JP (1) JP6329909B2 (zh)
KR (1) KR102102792B1 (zh)
CN (1) CN104145324B (zh)
SG (2) SG11201403556WA (zh)
TW (1) TWI588239B (zh)
WO (1) WO2013101907A1 (zh)

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105369251A (zh) * 2015-12-16 2016-03-02 无锡吉进环保科技有限公司 一种基于纳米二氧化硫的电路板用蚀刻液
CN105739251A (zh) * 2014-12-30 2016-07-06 气体产品与化学公司 具有高wn/w蚀刻选择性的剥离组合物
CN106010826A (zh) * 2015-03-31 2016-10-12 气体产品与化学公司 选择性去除氮化钛硬掩膜和蚀刻残留物的去除
CN106226991A (zh) * 2015-05-01 2016-12-14 气体产品与化学公司 TiN硬掩模和蚀刻残留物去除
CN107258010A (zh) * 2015-02-25 2017-10-17 应用材料公司 使用烷基胺的选择性移除金属氮化物的方法及设备
CN107431014A (zh) * 2015-04-13 2017-12-01 三菱瓦斯化学株式会社 用于使晶圆再生的含有含碳硅氧化物的材料的清洗液及清洗方法
CN107557786A (zh) * 2015-07-16 2018-01-09 宁波科廷光电科技有限公司 用于包含银纳米线的透明导电层的蚀刻组合物
CN108122752A (zh) * 2016-11-29 2018-06-05 三星电子株式会社 蚀刻组合物和通过使用其制造半导体器件的方法
CN108414662A (zh) * 2018-04-09 2018-08-17 镇江出入境检验检疫局检验检疫综合技术中心 液相色谱串接质谱联用仪检测茶叶中的三甲基锍的检测方法
CN108513679A (zh) * 2016-12-26 2018-09-07 三菱瓦斯化学株式会社 用于具有SiN层和Si层的基板的湿蚀刻组合物和使用其的湿蚀刻方法
CN110157434A (zh) * 2018-02-13 2019-08-23 东友精细化工有限公司 绝缘层蚀刻剂组合物和使用该绝缘层蚀刻剂组合物形成图案的方法
CN110240907A (zh) * 2018-03-09 2019-09-17 弗萨姆材料美国有限责任公司 用于在半导体器件制造期间从硅-锗/锗叠层选择性除去硅-锗合金的蚀刻溶液
CN110272742A (zh) * 2018-03-16 2019-09-24 弗萨姆材料美国有限责任公司 用于钨字线凹进的蚀刻溶液
CN110284139A (zh) * 2018-03-19 2019-09-27 三星显示有限公司 蚀刻剂组合物和使用其制造金属图案和阵列基板的方法
CN110484919A (zh) * 2018-05-14 2019-11-22 深圳市裕展精密科技有限公司 退镀液及其退除含钛膜层的方法、及表面形成有含钛膜层的基材的退镀方法
CN110713868A (zh) * 2018-07-13 2020-01-21 巴斯夫欧洲公司 可移除氮化钛的蚀刻后残渣清理溶液
CN110911278A (zh) * 2018-09-18 2020-03-24 三星电子株式会社 蚀刻金属阻挡层和金属层的方法和制造半导体器件的方法
CN112384597A (zh) * 2018-07-06 2021-02-19 恩特格里斯公司 选择性蚀刻材料的改进
WO2021135804A1 (zh) * 2019-12-31 2021-07-08 安集微电子科技(上海)股份有限公司 一种等离子体刻蚀残留物清洗液
CN113122267A (zh) * 2019-12-31 2021-07-16 安集微电子科技(上海)股份有限公司 一种促进剂组合物在去除铜大马士革工艺中氮化钛的应用
CN113355023A (zh) * 2021-05-31 2021-09-07 中南大学 一种4D打印NiTi合金EBSD样品抛光液的制备方法和产品及应用
CN113950520A (zh) * 2019-06-13 2022-01-18 弗萨姆材料美国有限责任公司 在半导体器件制造期间相对于p-掺杂硅和硅-锗选择性去除多晶硅的液体组合物
CN114231288A (zh) * 2020-09-09 2022-03-25 东友精细化工有限公司 硅蚀刻液组合物、图案形成方法、阵列基板的制造方法、以及阵列基板
CN114375283A (zh) * 2019-09-13 2022-04-19 栗田工业株式会社 含过硫酸成分的硫酸溶液中的氧化剂浓度降低的抑制方法
CN114989825A (zh) * 2022-06-30 2022-09-02 湖北兴福电子材料有限公司 一种掺钪氮化铝和钨的选择性蚀刻液
CN115011347A (zh) * 2022-06-30 2022-09-06 湖北兴福电子材料有限公司 一种氮化铝和钨的选择性蚀刻液
CN115044376A (zh) * 2022-06-30 2022-09-13 湖北兴福电子材料有限公司 一种掺钪氮化铝蚀刻液及其应用
CN115461429A (zh) * 2020-03-11 2022-12-09 艾德凡斯化学公司 用于电子产品的表面活性剂
CN116096837A (zh) * 2020-08-13 2023-05-09 恩特格里斯公司 氮化物蚀刻剂组合物和方法

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013101907A1 (en) 2011-12-28 2013-07-04 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
CN104508072A (zh) 2012-02-15 2015-04-08 安格斯公司 用于cmp后去除的组合物及使用方法
SG10201610541UA (en) 2012-05-18 2017-01-27 Entegris Inc Composition and process for stripping photoresist from a surface including titanium nitride
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
TWI655273B (zh) * 2013-03-04 2019-04-01 美商恩特葛瑞斯股份有限公司 選擇性蝕刻氮化鈦之組成物及方法
US10920141B2 (en) * 2013-06-06 2021-02-16 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
CN112442374A (zh) * 2013-07-31 2021-03-05 恩特格里斯公司 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂
US10428271B2 (en) * 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US9291910B2 (en) * 2013-09-27 2016-03-22 Dynaloy, Llc Aqueous solution and process for removing substances from substrates
US20150104952A1 (en) * 2013-10-11 2015-04-16 Ekc Technology, Inc. Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper
WO2015054464A1 (en) * 2013-10-11 2015-04-16 E. I. Du Pont De Nemours And Company Removal composition for selectively removing hard mask and methods thereof
EP3060642B1 (en) * 2013-10-21 2019-11-06 FujiFilm Electronic Materials USA, Inc. Cleaning formulations for removing residues on surfaces
JP6494627B2 (ja) * 2013-12-06 2019-04-03 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 表面上の残渣を除去するための洗浄用製剤
EP3080240A4 (en) * 2013-12-11 2017-07-19 FujiFilm Electronic Materials USA, Inc. Cleaning formulation for removing residues on surfaces
TWI654340B (zh) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
US9472420B2 (en) 2013-12-20 2016-10-18 Air Products And Chemicals, Inc. Composition for titanium nitride hard mask and etch residue removal
KR102352475B1 (ko) 2013-12-20 2022-01-18 엔테그리스, 아이엔씨. 이온-주입된 레지스트의 제거를 위한 비-산화성 강산의 용도
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
KR101842033B1 (ko) * 2014-01-06 2018-03-26 한화테크윈 주식회사 그래핀 제조용 조성물 및 이를 이용한 그래핀의 제조 방법
TWI642763B (zh) * 2014-01-27 2018-12-01 三菱瓦斯化學股份有限公司 氮化鈦除去用液體組成物、利用該液體組成物之半導體元件之洗滌方法、及半導體元件之製造方法
US20160340620A1 (en) * 2014-01-29 2016-11-24 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
TWI659088B (zh) 2014-03-18 2019-05-11 Fujifilm Electronic Materials U. S. A., Inc. 蝕刻組成物
EP3139402B1 (en) * 2014-05-02 2018-08-15 Mitsubishi Gas Chemical Company, Inc. Semiconductor element cleaning liquid and cleaning method
KR102375342B1 (ko) * 2014-05-13 2022-03-16 바스프 에스이 Tin 풀-백 및 클리닝 조성물
KR102420338B1 (ko) * 2014-06-04 2022-07-13 엔테그리스, 아이엔씨. 금속, 유전체 및 니트라이드 상용성을 가진 반사-방지 코팅 세정 및 에칭-후 잔류물 제거 조성물
US9222018B1 (en) 2014-07-24 2015-12-29 Air Products And Chemicals, Inc. Titanium nitride hard mask and etch residue removal
KR102487441B1 (ko) 2014-09-14 2023-01-12 엔테그리스, 아이엔씨. 구리 및 유전체 상의 코발트 침착 선택성
WO2016042408A2 (en) * 2014-09-17 2016-03-24 Atmi Taiwan Co., Ltd. Compositions for etching titanium nitride having compatability with silicon germanide and tungsten
US9593297B2 (en) 2014-10-15 2017-03-14 Micron Technology, Inc. Compositions for removing residues and related methods
JP6501492B2 (ja) * 2014-10-31 2019-04-17 関東化學株式会社 フォトレジスト残渣および/またはポリマー残渣を除去するための組成物
TWI546371B (zh) * 2014-11-10 2016-08-21 盟智科技股份有限公司 研磨組成物
US10629426B2 (en) * 2014-11-13 2020-04-21 Mitsubishi Gas Chemical Company, Inc. Semiconductor element cleaning solution that suppresses damage to cobalt, and method for cleaning semiconductor element using same
JP6425342B2 (ja) * 2014-12-26 2018-11-21 富士フイルム株式会社 エッチング液、これを用いたエッチング方法および半導体基板製品の製造方法
CN105755472B (zh) * 2015-01-05 2019-12-17 东友精细化工有限公司 银蚀刻液组合物和利用它的显示基板
JP6348994B2 (ja) 2015-02-12 2018-06-27 富士フイルム株式会社 Iii−v族元素の酸化物の除去液および除去方法、iii−v族元素の化合物の処理液、iii−v族元素の酸化防止液、ならびに、半導体基板の処理液および半導体基板製品の製造方法
KR101587758B1 (ko) * 2015-03-05 2016-01-21 동우 화인켐 주식회사 질화 티탄(TiN) 막의 식각액 조성물 및 그를 이용한 금속배선의 형성 방법
CN106148961A (zh) * 2015-03-27 2016-11-23 东友精细化工有限公司 蚀刻剂组合物、形成金属线图案方法和制造阵列基板方法
TWI782893B (zh) * 2015-07-09 2022-11-11 美商恩特葛瑞斯股份有限公司 選擇性地移除鍺化矽材料之方法、套組及組成物
TWI818893B (zh) 2015-07-14 2023-10-21 美商富士軟片電子材料美國股份有限公司 清潔組成物及其使用方法
TWI816635B (zh) * 2015-10-15 2023-10-01 日商三菱瓦斯化學股份有限公司 半導體元件之洗淨用液體組成物、半導體元件之洗淨方法及半導體元件之製造方法
US10865484B2 (en) * 2016-03-29 2020-12-15 Technic France Solution and method for etching titanium based materials
CN110177903A (zh) * 2017-01-17 2019-08-27 恩特格里斯公司 高阶节点工艺后端处理的蚀刻后残留物去除
US11035044B2 (en) * 2017-01-23 2021-06-15 Versum Materials Us, Llc Etching solution for tungsten and GST films
KR102373108B1 (ko) * 2017-03-16 2022-03-10 동우 화인켐 주식회사 도전막 식각액 조성물 및 이를 이용한 반도체 소자의 제조 방법
SG11201908791SA (en) * 2017-03-31 2019-10-30 Kanto Kagaku Etchant composition for etching titanium layer or titanium-containing layer, and etching method
US11193094B2 (en) 2017-07-31 2021-12-07 Mitsubishi Gas Chemical Company, Inc. Liquid composition for reducing damage of cobalt, alumina, interlayer insulating film and silicon nitride, and washing method using same
WO2019044463A1 (ja) * 2017-08-31 2019-03-07 富士フイルム株式会社 処理液、キット、基板の洗浄方法
EP3743773B1 (en) * 2018-01-25 2022-04-06 Merck Patent GmbH Photoresist remover compositions
CN111902379B (zh) 2018-03-28 2023-02-17 富士胶片电子材料美国有限公司 清洗组合物
GB201813368D0 (en) * 2018-08-16 2018-10-03 Lam Res Ag Etchant composition
JP2022519267A (ja) * 2019-01-31 2022-03-22 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド エッチング組成物
US10916431B2 (en) 2019-04-16 2021-02-09 International Business Machines Corporation Robust gate cap for protecting a gate from downstream metallization etch operations
JP2022534057A (ja) 2019-05-23 2022-07-27 ビーエーエスエフ ソシエタス・ヨーロピア 低k値の材料、銅、コバルト、および/またはタングステンの層が存在する状態で、ハードマスクおよび/またはエッチング停止層を選択的にエッチングするための組成物および方法
EP4010443A1 (en) * 2019-08-08 2022-06-15 Basf Se Compositions for tungsten etching inhibition
KR102354378B1 (ko) * 2019-08-27 2022-01-21 엘티씨에이엠 주식회사 과산화수소를 이용한 질화티탄막 식각용 고선택비 식각액 조성물
KR20220084146A (ko) * 2019-10-17 2022-06-21 버슘머트리얼즈 유에스, 엘엘씨 Euv 마스크 보호 구조물을 위한 에칭 조성물 및 방법
US11309190B2 (en) * 2020-01-17 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US20240010915A1 (en) * 2020-03-04 2024-01-11 Versum Materials Us, Llc Etching Solution For Titanium Nitride And Molybdenum Conductive Metal Lines
WO2021202229A1 (en) 2020-03-31 2021-10-07 Mattson Technology, Inc. Processing of workpieces using fluorocarbon plasma
KR20220166348A (ko) * 2020-04-14 2022-12-16 엔테그리스, 아이엔씨. 몰리브데넘을 에칭하기 위한 방법 및 조성물
CN111809182A (zh) * 2020-07-08 2020-10-23 江苏和达电子科技有限公司 一种用于铜/钼(铌)/igzo膜层的刻蚀液及其制备方法和应用
IL301654A (en) 2020-09-29 2023-05-01 Mitsubishi Gas Chemical Co The composition for cleaning a semiconductor substrate, and a cleaning method
EP4293097A1 (en) 2021-02-12 2023-12-20 Mitsubishi Gas Chemical Company, Inc. Composition for cleaning semiconductor substrate, method for cleaning semiconductor substrate, and method for producing semiconductor substrate
JP2023036214A (ja) 2021-09-02 2023-03-14 富士フイルム株式会社 処理液

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040262569A1 (en) * 2003-06-24 2004-12-30 Lg.Philips Lcd Co., Ltd. Etchant for etching double-layered copper structure and method of forming array substrate having double-layered copper structures
US20070099810A1 (en) * 2005-10-27 2007-05-03 Hiroshi Matsunaga Cleaning liquid and cleaning method
US20090082240A1 (en) * 2007-09-20 2009-03-26 Fujifilm Corporation Stripping liquid for semiconductor device, and stripping method
US20090215658A1 (en) * 2005-10-05 2009-08-27 Advanced Technology Materials, Inc. Oxidizing aqueous cleaner for the removal of post-etch residues
US20100190347A1 (en) * 2009-01-23 2010-07-29 Ramachandrarao Vijayakumar Subramanyarao Removal chemistry for selectively etching metal hard mask
TW201144484A (en) * 2009-12-17 2011-12-16 Showa Denko Kk Composition for etching ruthenium-based metal and method for preparing same
CN104730870A (zh) * 2013-12-20 2015-06-24 气体产品与化学公司 用于除去氮化钛硬掩模和蚀刻残留物的组合物

Family Cites Families (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3544271A (en) * 1968-05-14 1970-12-01 Westinghouse Electric Corp Phenol detection process
US4263343A (en) * 1979-08-13 1981-04-21 Eastman Kodak Company Reference elements for ion-selective membrane electrodes
US4659440A (en) * 1985-10-24 1987-04-21 Rudolf Hradcovsky Method of coating articles of aluminum and an electrolytic bath therefor
JPH01308690A (ja) * 1988-02-25 1989-12-13 Mitsubishi Paper Mills Ltd 平版印刷の製版方法
US5279771A (en) 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
JP3160344B2 (ja) 1991-01-25 2001-04-25 アシュランド インコーポレーテッド 有機ストリッピング組成物
TW263531B (zh) 1992-03-11 1995-11-21 Mitsubishi Gas Chemical Co
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
US5421906A (en) 1993-04-05 1995-06-06 Enclean Environmental Services Group, Inc. Methods for removal of contaminants from surfaces
US6326130B1 (en) 1993-10-07 2001-12-04 Mallinckrodt Baker, Inc. Photoresist strippers containing reducing agents to reduce metal corrosion
US5571447A (en) 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
US7534752B2 (en) 1996-07-03 2009-05-19 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US5698503A (en) 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6755989B2 (en) 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6224785B1 (en) 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
CA2332390A1 (en) 1998-05-18 1999-11-25 Advanced Technology Materials, Inc. Stripping compositions for semiconductor substrates
US6875733B1 (en) 1998-10-14 2005-04-05 Advanced Technology Materials, Inc. Ammonium borate containing compositions for stripping residues from semiconductor substrates
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
KR100400030B1 (ko) 2000-06-05 2003-09-29 삼성전자주식회사 금속막의 화학 및 기계적 연마용 슬러리 및 그 제조방법과상기 슬러리를 이용한 반도체 소자의 금속 배선 형성 방법
US6489281B1 (en) * 2000-09-12 2002-12-03 Ecolab Inc. Cleaning composition comprising inorganic acids, an oxidant, and a cationic surfactant
US6599370B2 (en) 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
MY143399A (en) 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
US20030139047A1 (en) 2002-01-24 2003-07-24 Thomas Terence M. Metal polishing slurry having a static etch inhibitor and method of formulation
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US7188644B2 (en) 2002-05-03 2007-03-13 Advanced Technology Materials, Inc. Apparatus and method for minimizing the generation of particles in ultrapure liquids
US6698619B2 (en) 2002-05-03 2004-03-02 Advanced Technology Materials, Inc. Returnable and reusable, bag-in-drum fluid storage and dispensing container system
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
ITMI20022090A1 (it) * 2002-10-03 2004-04-04 Nuovo Pignone Spa Composizione acquosa per la rimozione chimica di riporti metallici presenti sulle pale di turbine, e suo uso.
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
TW200505975A (en) 2003-04-18 2005-02-16 Ekc Technology Inc Aqueous fluoride compositions for cleaning semiconductor devices
JP2005097715A (ja) 2003-08-19 2005-04-14 Mitsubishi Chemicals Corp チタン含有層用エッチング液及びチタン含有層のエッチング方法
KR101056544B1 (ko) 2003-08-19 2011-08-11 아반토르 퍼포먼스 머티리얼스, 인크. 마이크로전자 기판용 박리 및 세정 조성물
JP2007519942A (ja) 2003-12-02 2007-07-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド レジスト、barc、およびギャップフィル材料を剥離する化学物質ならびに方法
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
KR20050110470A (ko) * 2004-05-19 2005-11-23 테크노세미켐 주식회사 반도체 기판용 세정액 조성물, 이를 이용한 반도체 기판세정방법 및 반도체 장치 제조 방법
JP4530146B2 (ja) * 2004-08-18 2010-08-25 三菱瓦斯化学株式会社 洗浄液および洗浄法。
KR101337263B1 (ko) 2004-08-25 2013-12-05 동우 화인켐 주식회사 인듐 산화막의 식각액 조성물 및 이를 이용한 식각 방법
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060148666A1 (en) 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7718590B2 (en) 2005-02-25 2010-05-18 Ekc Technology, Inc. Method to remove resist, etch residue, and copper oxide from substrates having copper and low-k dielectric material
US20060226122A1 (en) 2005-04-08 2006-10-12 Wojtczak William A Selective wet etching of metal nitrides
WO2006110645A2 (en) 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
KR20070121845A (ko) 2005-04-15 2007-12-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 용매계 내 자기 조립 단층을 이용한 고용량 이온 주입포토레지스트의 제거
US20070251551A1 (en) 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
CN101233456B (zh) 2005-06-07 2013-01-02 高级技术材料公司 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
CN101233601A (zh) 2005-06-13 2008-07-30 高级技术材料公司 在金属硅化物形成后用于选择性除去金属或金属合金的组合物及方法
KR100685738B1 (ko) 2005-08-08 2007-02-26 삼성전자주식회사 절연 물질 제거용 조성물, 이를 이용한 절연막의 제거 방법및 기판의 재생 방법
WO2007027522A2 (en) 2005-08-29 2007-03-08 Advanced Technology Materials, Inc. Composition and method for removing thick film photoresist
KR20080059429A (ko) 2005-10-05 2008-06-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 게이트 스페이서 산화물 재료를 선택적으로 에칭하기 위한조성물 및 방법
EP1945748A4 (en) 2005-10-13 2009-01-07 Advanced Tech Materials PHOTORESIN REMOVAL AND / OR SACRIFICIAL ANTIREFLECTION COATING COMPOSITION COMPATIBLE WITH METALS
KR100706822B1 (ko) * 2005-10-17 2007-04-12 삼성전자주식회사 절연 물질 제거용 조성물, 이를 이용한 절연막의 제거 방법및 기판의 재생 방법
US20090301996A1 (en) 2005-11-08 2009-12-10 Advanced Technology Materials, Inc. Formulations for removing cooper-containing post-etch residue from microelectronic devices
US7960328B2 (en) 2005-11-09 2011-06-14 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
US20070117497A1 (en) * 2005-11-22 2007-05-24 Cabot Microelectronics Corporation Friction reducing aid for CMP
TW200734448A (en) 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US8025811B2 (en) 2006-03-29 2011-09-27 Intel Corporation Composition for etching a metal hard mask material in semiconductor processing
US20080076688A1 (en) 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
JP4642001B2 (ja) * 2006-10-24 2011-03-02 関東化学株式会社 フォトレジスト残渣及びポリマー残渣除去液組成物
US20080125342A1 (en) 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
SG177201A1 (en) 2006-12-21 2012-01-30 Advanced Tech Materials Compositions and methods for the selective removal of silicon nitride
JP5237300B2 (ja) * 2006-12-21 2013-07-17 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド エッチング後残留物を除去するための液体洗浄剤
TWI516573B (zh) 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
US20100112728A1 (en) 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
EP2155373A4 (en) 2007-05-09 2014-10-22 Foresight Proc Llc SYSTEMS AND METHOD FOR THE MIXTURE AND DISTRIBUTION OF MATERIALS
JP5347237B2 (ja) 2007-05-15 2013-11-20 三菱瓦斯化学株式会社 洗浄用組成物
TW200918664A (en) 2007-06-13 2009-05-01 Advanced Tech Materials Wafer reclamation compositions and methods
JP5364250B2 (ja) * 2007-07-13 2013-12-11 東京応化工業株式会社 窒化チタン剥離液、及び窒化チタン被膜の剥離方法
JP5047712B2 (ja) * 2007-07-13 2012-10-10 東京応化工業株式会社 窒化チタン剥離液、及び窒化チタン被膜の剥離方法
US8623236B2 (en) 2007-07-13 2014-01-07 Tokyo Ohka Kogyo Co., Ltd. Titanium nitride-stripping liquid, and method for stripping titanium nitride coating film
WO2009032460A1 (en) 2007-08-02 2009-03-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of residue from a microelectronic device
US20110039747A1 (en) 2007-08-20 2011-02-17 Advanced Technology Materials, Inc. Composition and method for removing ion-implanted photoresist
DE102007039626A1 (de) * 2007-08-22 2009-02-26 Wacker Chemie Ag Verfahren zum Reinigen von polykristallinem Silicium
US20090120457A1 (en) 2007-11-09 2009-05-14 Surface Chemistry Discoveries, Inc. Compositions and method for removing coatings and preparation of surfaces for use in metal finishing, and manufacturing of electronic and microelectronic devices
US8110508B2 (en) * 2007-11-22 2012-02-07 Samsung Electronics Co., Ltd. Method of forming a bump structure using an etching composition for an under bump metallurgy layer
TW200934865A (en) 2007-11-30 2009-08-16 Advanced Tech Materials Formulations for cleaning memory device structures
TWI467055B (zh) 2007-12-21 2015-01-01 Wako Pure Chem Ind Ltd 蝕刻劑及蝕刻方法
WO2009111719A2 (en) 2008-03-07 2009-09-11 Advanced Technology Materials, Inc. Non-selective oxide etch wet clean composition and method of use
JP2009231354A (ja) * 2008-03-19 2009-10-08 Fujifilm Corp 半導体デバイス用洗浄液、および洗浄方法
US8026200B2 (en) 2008-05-01 2011-09-27 Advanced Technology Materials, Inc. Low pH mixtures for the removal of high density implanted resist
KR20100007461A (ko) 2008-07-14 2010-01-22 삼성전자주식회사 석영 부품용 세정액 및 이를 이용한 석영 부품 세정방법
CN102216854A (zh) 2008-08-04 2011-10-12 高级技术材料公司 环境友好型聚合物剥离组合物
JP5523325B2 (ja) 2008-09-09 2014-06-18 昭和電工株式会社 チタン系金属、タングステン系金属、チタンタングステン系金属またはそれらの窒化物のエッチング液
SG173172A1 (en) 2009-01-28 2011-08-29 Advanced Tech Materials Lithographic tool in situ clean formulations
WO2010086745A1 (en) 2009-02-02 2010-08-05 Atmi Taiwan Co., Ltd. Method of etching lanthanum-containing oxide layers
WO2010091045A2 (en) 2009-02-05 2010-08-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of polymers and other organic material from a surface
KR20110085254A (ko) * 2010-01-19 2011-07-27 삼성전자주식회사 금속 배선용 식각액조성물 및 상기 식각액을 사용한 박막트랜지스터 표시판의 제조 방법
CN104804903B (zh) 2010-01-29 2018-10-30 恩特格里斯公司 附有金属布线的半导体用清洗剂
JP5702075B2 (ja) 2010-03-26 2015-04-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅配線半導体用洗浄剤
JP5858597B2 (ja) 2010-01-29 2016-02-10 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド タングステン配線半導体用洗浄剤
JP2012036750A (ja) 2010-08-04 2012-02-23 Panasonic Corp 圧縮機
KR20130100297A (ko) 2010-08-27 2013-09-10 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 건조 동안의 높은 종횡비 구조물의 붕괴 방지 방법
WO2012048079A2 (en) * 2010-10-06 2012-04-12 Advanced Technology Materials, Inc. Composition and process for selectively etching metal nitrides
WO2012097143A2 (en) 2011-01-13 2012-07-19 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium- containing solutions
JP2012251026A (ja) 2011-05-31 2012-12-20 Sanyo Chem Ind Ltd 半導体用洗浄剤
TW201311869A (zh) 2011-06-16 2013-03-16 Advanced Tech Materials 選擇性蝕刻氮化矽之組成物及方法
US20130045908A1 (en) 2011-08-15 2013-02-21 Hua Cui Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
US8618036B2 (en) 2011-11-14 2013-12-31 International Business Machines Corporation Aqueous cerium-containing solution having an extended bath lifetime for removing mask material
WO2013101907A1 (en) 2011-12-28 2013-07-04 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
SG11201405638UA (en) 2012-03-12 2014-10-30 Entegris Inc Methods for the selective removal of ashed spin-on glass
WO2013138278A1 (en) 2012-03-12 2013-09-19 Advanced Technology Materials, Inc. Copper cleaning and protection formulations

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040262569A1 (en) * 2003-06-24 2004-12-30 Lg.Philips Lcd Co., Ltd. Etchant for etching double-layered copper structure and method of forming array substrate having double-layered copper structures
US20090215658A1 (en) * 2005-10-05 2009-08-27 Advanced Technology Materials, Inc. Oxidizing aqueous cleaner for the removal of post-etch residues
US20070099810A1 (en) * 2005-10-27 2007-05-03 Hiroshi Matsunaga Cleaning liquid and cleaning method
US20090082240A1 (en) * 2007-09-20 2009-03-26 Fujifilm Corporation Stripping liquid for semiconductor device, and stripping method
US20100190347A1 (en) * 2009-01-23 2010-07-29 Ramachandrarao Vijayakumar Subramanyarao Removal chemistry for selectively etching metal hard mask
TW201144484A (en) * 2009-12-17 2011-12-16 Showa Denko Kk Composition for etching ruthenium-based metal and method for preparing same
CN104730870A (zh) * 2013-12-20 2015-06-24 气体产品与化学公司 用于除去氮化钛硬掩模和蚀刻残留物的组合物

Cited By (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105739251A (zh) * 2014-12-30 2016-07-06 气体产品与化学公司 具有高wn/w蚀刻选择性的剥离组合物
CN107258010A (zh) * 2015-02-25 2017-10-17 应用材料公司 使用烷基胺的选择性移除金属氮化物的方法及设备
CN106010826A (zh) * 2015-03-31 2016-10-12 气体产品与化学公司 选择性去除氮化钛硬掩膜和蚀刻残留物的去除
CN107431014A (zh) * 2015-04-13 2017-12-01 三菱瓦斯化学株式会社 用于使晶圆再生的含有含碳硅氧化物的材料的清洗液及清洗方法
CN107431014B (zh) * 2015-04-13 2021-01-08 三菱瓦斯化学株式会社 用于使晶圆再生的含有含碳硅氧化物的材料的清洗液及清洗方法
CN108121149A (zh) * 2015-05-01 2018-06-05 弗萨姆材料美国有限责任公司 TiN硬掩模和蚀刻残留物去除
CN106226991A (zh) * 2015-05-01 2016-12-14 气体产品与化学公司 TiN硬掩模和蚀刻残留物去除
CN108121149B (zh) * 2015-05-01 2021-11-30 弗萨姆材料美国有限责任公司 TiN硬掩模和蚀刻残留物去除
CN107557786A (zh) * 2015-07-16 2018-01-09 宁波科廷光电科技有限公司 用于包含银纳米线的透明导电层的蚀刻组合物
CN105369251A (zh) * 2015-12-16 2016-03-02 无锡吉进环保科技有限公司 一种基于纳米二氧化硫的电路板用蚀刻液
CN108122752B (zh) * 2016-11-29 2022-12-27 三星电子株式会社 蚀刻组合物和通过使用其制造半导体器件的方法
CN108122752A (zh) * 2016-11-29 2018-06-05 三星电子株式会社 蚀刻组合物和通过使用其制造半导体器件的方法
CN108513679A (zh) * 2016-12-26 2018-09-07 三菱瓦斯化学株式会社 用于具有SiN层和Si层的基板的湿蚀刻组合物和使用其的湿蚀刻方法
CN110157434A (zh) * 2018-02-13 2019-08-23 东友精细化工有限公司 绝缘层蚀刻剂组合物和使用该绝缘层蚀刻剂组合物形成图案的方法
US10934484B2 (en) 2018-03-09 2021-03-02 Versum Materials Us, Llc Etching solution for selectively removing silicon-germanium alloy from a silicon-germanium/ germanium stack during manufacture of a semiconductor device
CN110240907A (zh) * 2018-03-09 2019-09-17 弗萨姆材料美国有限责任公司 用于在半导体器件制造期间从硅-锗/锗叠层选择性除去硅-锗合金的蚀刻溶液
CN110240907B (zh) * 2018-03-09 2021-09-17 弗萨姆材料美国有限责任公司 用于在半导体器件制造期间从硅-锗/锗叠层选择性除去硅-锗合金的蚀刻溶液
CN110272742A (zh) * 2018-03-16 2019-09-24 弗萨姆材料美国有限责任公司 用于钨字线凹进的蚀刻溶液
CN110284139A (zh) * 2018-03-19 2019-09-27 三星显示有限公司 蚀刻剂组合物和使用其制造金属图案和阵列基板的方法
CN110284139B (zh) * 2018-03-19 2022-05-27 三星显示有限公司 蚀刻剂组合物和使用其制造金属图案和阵列基板的方法
CN108414662A (zh) * 2018-04-09 2018-08-17 镇江出入境检验检疫局检验检疫综合技术中心 液相色谱串接质谱联用仪检测茶叶中的三甲基锍的检测方法
CN110484919A (zh) * 2018-05-14 2019-11-22 深圳市裕展精密科技有限公司 退镀液及其退除含钛膜层的方法、及表面形成有含钛膜层的基材的退镀方法
CN112384597A (zh) * 2018-07-06 2021-02-19 恩特格里斯公司 选择性蚀刻材料的改进
US11152219B2 (en) 2018-07-06 2021-10-19 Entegris, Inc. Selectively etching materials
CN110713868A (zh) * 2018-07-13 2020-01-21 巴斯夫欧洲公司 可移除氮化钛的蚀刻后残渣清理溶液
US11795550B2 (en) 2018-09-18 2023-10-24 Samsung Electronics Co., Ltd. Etching composition, a method of etching a metal barrier layer and a metal layer using the same, and method of manufacturing semiconductor device using the same
CN110911278A (zh) * 2018-09-18 2020-03-24 三星电子株式会社 蚀刻金属阻挡层和金属层的方法和制造半导体器件的方法
CN113950520B (zh) * 2019-06-13 2024-03-01 弗萨姆材料美国有限责任公司 在半导体器件制造期间相对于p-掺杂硅和硅-锗选择性去除多晶硅的液体组合物
CN113950520A (zh) * 2019-06-13 2022-01-18 弗萨姆材料美国有限责任公司 在半导体器件制造期间相对于p-掺杂硅和硅-锗选择性去除多晶硅的液体组合物
CN114375283A (zh) * 2019-09-13 2022-04-19 栗田工业株式会社 含过硫酸成分的硫酸溶液中的氧化剂浓度降低的抑制方法
WO2021135804A1 (zh) * 2019-12-31 2021-07-08 安集微电子科技(上海)股份有限公司 一种等离子体刻蚀残留物清洗液
CN113122267A (zh) * 2019-12-31 2021-07-16 安集微电子科技(上海)股份有限公司 一种促进剂组合物在去除铜大马士革工艺中氮化钛的应用
CN115461429A (zh) * 2020-03-11 2022-12-09 艾德凡斯化学公司 用于电子产品的表面活性剂
CN116096837A (zh) * 2020-08-13 2023-05-09 恩特格里斯公司 氮化物蚀刻剂组合物和方法
CN114231288A (zh) * 2020-09-09 2022-03-25 东友精细化工有限公司 硅蚀刻液组合物、图案形成方法、阵列基板的制造方法、以及阵列基板
CN114231288B (zh) * 2020-09-09 2023-12-26 东友精细化工有限公司 硅蚀刻液组合物、图案形成方法、阵列基板的制造方法、以及阵列基板
CN113355023A (zh) * 2021-05-31 2021-09-07 中南大学 一种4D打印NiTi合金EBSD样品抛光液的制备方法和产品及应用
CN113355023B (zh) * 2021-05-31 2022-08-09 中南大学 一种4D打印NiTi合金EBSD样品抛光液的制备方法和产品及应用
CN115044376A (zh) * 2022-06-30 2022-09-13 湖北兴福电子材料有限公司 一种掺钪氮化铝蚀刻液及其应用
CN115011347A (zh) * 2022-06-30 2022-09-06 湖北兴福电子材料有限公司 一种氮化铝和钨的选择性蚀刻液
CN114989825A (zh) * 2022-06-30 2022-09-02 湖北兴福电子材料有限公司 一种掺钪氮化铝和钨的选择性蚀刻液
CN115011347B (zh) * 2022-06-30 2023-12-29 湖北兴福电子材料股份有限公司 一种氮化铝和钨的选择性蚀刻液
CN115044376B (zh) * 2022-06-30 2023-12-29 湖北兴福电子材料股份有限公司 一种掺钪氮化铝蚀刻液及其应用

Also Published As

Publication number Publication date
WO2013101907A1 (en) 2013-07-04
EP2798669B1 (en) 2021-03-31
SG11201403556WA (en) 2014-07-30
SG10201605172RA (en) 2016-08-30
KR102102792B1 (ko) 2020-05-29
US10392560B2 (en) 2019-08-27
US20170260449A1 (en) 2017-09-14
US9546321B2 (en) 2017-01-17
EP2798669A4 (en) 2015-08-19
EP2798669A1 (en) 2014-11-05
TW201333171A (zh) 2013-08-16
JP6329909B2 (ja) 2018-05-23
KR20140132708A (ko) 2014-11-18
CN104145324B (zh) 2017-12-22
US20150027978A1 (en) 2015-01-29
TWI588239B (zh) 2017-06-21
JP2015506583A (ja) 2015-03-02

Similar Documents

Publication Publication Date Title
CN104145324B (zh) 用于选择性蚀刻氮化钛的组合物和方法
CN105102584B (zh) 用于选择性蚀刻氮化钛的组合物和方法
CN105492576B (zh) 选择性蚀刻氮化钛的组合物和方法
JP6723152B2 (ja) 窒化チタンを選択的にエッチングするための組成物及び方法
KR102338526B1 (ko) Cu/W 호환성을 갖는, 금속 하드 마스크 및 에칭-후 잔여물을 제거하기 위한 수성 제형
KR20230048396A (ko) 니트라이드 에천트 조성물 및 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
ASS Succession or assignment of patent right

Owner name: ANGES INC.

Free format text: FORMER OWNER: ADVANCED TECHNOLOGY MATERIALS, INC.

Effective date: 20150114

C10 Entry into substantive examination
C41 Transfer of patent application or patent right or utility model
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right

Effective date of registration: 20150114

Address after: Massachusetts, USA

Applicant after: MYKROLIS Corp.

Address before: American Connecticut

Applicant before: Advanced Technology Materials, Inc.

CB02 Change of applicant information

Address after: Massachusetts, USA

Applicant after: Entergris Co.

Address before: Massachusetts, USA

Applicant before: MYKROLIS Corp.

COR Change of bibliographic data
GR01 Patent grant
GR01 Patent grant