CN102007196B - 非选择性氧化物蚀刻湿清洁组合物及使用方法 - Google Patents

非选择性氧化物蚀刻湿清洁组合物及使用方法 Download PDF

Info

Publication number
CN102007196B
CN102007196B CN200980113539.5A CN200980113539A CN102007196B CN 102007196 B CN102007196 B CN 102007196B CN 200980113539 A CN200980113539 A CN 200980113539A CN 102007196 B CN102007196 B CN 102007196B
Authority
CN
China
Prior art keywords
acid
ether
composition
glycol
ammonium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN200980113539.5A
Other languages
English (en)
Other versions
CN102007196A (zh
Inventor
普雷尔那·森塔利亚
埃马努埃尔·库珀
大卫·明塞克
张鹏
梅利莎·A·彼特鲁斯卡
布利塔尼·瑟尔
特雷斯·昆廷·赫德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Advanced Technology Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials Inc filed Critical Advanced Technology Materials Inc
Publication of CN102007196A publication Critical patent/CN102007196A/zh
Application granted granted Critical
Publication of CN102007196B publication Critical patent/CN102007196B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/38Cationic compounds
    • C11D1/62Quaternary ammonium compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2003Alcohols; Phenols
    • C11D3/2041Dihydric alcohols
    • C11D3/2044Dihydric alcohols linear
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2068Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/33Amino carboxylic acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3703Macromolecular compounds obtained otherwise than by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3719Polyamides or polyimides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/263Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3245Aminoacids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • C11D2111/22

Abstract

本发明涉及以大于或等于去除经掺杂含硅材料的速率的速率从微电子器件中去除无掺杂含硅材料的组合物及方法。

Description

非选择性氧化物蚀刻湿清洁组合物及使用方法
技术领域
总体上,本发明涉及相对于经掺杂含硅材料来选择性去除无掺杂含硅材料的组合物。
背景技术
在半导体制造中使用各种含硅薄膜,例如,热氧化物(ThOx)、CVD-TEOS、硼磷硅酸盐玻璃(BPSG)、硼硅酸盐玻璃(BSG)、旋涂式介电质(SOD)及磷硅酸盐玻璃(PSG)。两种最常见类型为ThOx及BPSG。热氧化物通常由纯二氧化硅组成且在需要绝缘层时使用。例如,通常使用热氧化硅的薄“闸极”层来使导电层相互分离。BPSG层包括掺杂有硼及磷的氧化硅。这些层用于“吸除”原本会移动进入底层并对层材料的电性质产生不利影响从而导致器件可靠性降格的碱金属离子污染物。
这些含硅材料形成于基板表面上的若干图案化层中,且将其设计为具有渐增的高纵横比率及小尺寸。在制造期间,须在不损坏图案化材料的情况下将蚀刻后或灰化后的残余物从图案化表面上去除。例如,在去除接触孔底部的残余物(主要为ThOx)时要求最小程度地蚀刻密度较低的经掺杂含硅氧化物。不利的是,先前技术中设计用于选择性蚀刻和/或去除蚀刻后或灰化后残余物的去除组合物及方法倾向于去除经掺杂含硅材料(例如,BPSG)超过除去无掺杂含硅材料(例如,ThOx)。此产生关键尺寸已被不利改变的图案。
本公开着重于研发用于经掺杂氧化物及无掺杂氧化物的具有独特蚀刻选择性、低蚀刻速率及侵蚀性清洁能力的“液体接触清洁剂”。
发明内容
一般来说,本发明涉及以大于或实质上等于经掺杂含硅材料的去除速率的速率来去除无掺杂含硅材料的组合物。在较优选的实施方案中公开了从微电子器件表面去除蚀刻后和/或灰化后残余物的组合物及方法,且相对于也存在于该器件上的无掺杂含硅材料,这些组合物及方法并不过度蚀刻经掺杂含硅材料。
在一方面中阐述湿清洁组合物,该组合物包含至少一种氟化物源、至少一种二醇溶剂、至少一种螯合剂及至少一种聚合物物质,其中该组合物实质上不含所添加的水。
在另一方面中阐述湿清洁组合物,该组合物包含至少一种氟化物源、至少一种二醇溶剂、至少一种螯合剂、至少一种聚合物物质及至少一种长链烷基季铵化合物,其中该组合物实质上不含所添加的水。
在又一方面中阐述湿清洁组合物,该组合物包含氟化铵、乙二醇、亚氨基二乙酸及聚乙烯亚胺聚合物。
在再一方面中阐述湿清洁组合物,该组合物包含氟化铵、乙二醇、亚氨基二乙酸、聚乙烯亚胺聚合物及长链烷基季铵化合物。
另一方面涉及相对于经掺杂含硅材料选择性去除无掺杂含硅材料的方法,该方法包括在接触条件下使上面具有无掺杂含硅材料及经掺杂含硅材料的微电子器件与湿清洁组合物接触,其中该湿清洁组合物包含至少一种氟化物源、至少一种二醇溶剂、至少一种螯合剂及至少一种聚合物物质,其中该组合物实质上不含水。组合物可进一步包含至少一种长链烷基季铵化合物。
又一方面涉及从微电子器件去除蚀刻后和/或灰化后的残余物的方法,该方法包括在接触条件下使上面具有蚀刻后和/或灰化后的残余物的微电子器件与湿清洁组合物接触,其中该湿清洁组合物包含至少一种氟化物源、至少一种二醇溶剂、至少一种螯合剂及至少一种聚合物物质,且其中存在于该器件上的无掺杂含硅材料的蚀刻速率大于或实质上等于存在于该器件上的经掺杂含硅材料的蚀刻速率,且其中该组合物实质上不含水。该组合物可进一步包含至少一种长链烷基季铵化合物。
在另一方面中阐述套包(kit),其中该套包在一个或多个容器中包含一种或多种形成组合物的下列试剂,其中该组合物包含至少一种氟化物源、至少一种二醇溶剂、至少一种螯合剂及至少一种聚合物物质,其中该套包适于形成适用于去除蚀刻后残余物、灰化后残余物、经掺杂含硅材料、无掺杂含硅材料及其组合的组合物。
根据公开内容及权利要求书可更全面地了解其它方面、特征及优点。
附图说明
图1展示在45℃下使用制剂B处理4分钟的堆栈的C/S SEM图像,该堆栈由约的PE-CVD SiN基层及由TEOS及PSG制得的“壁”组成。
图2展示与图1相似且使用制剂B实施清洁(45℃/4分钟)的堆栈的C/S SEM图像。
图3展示与图1相似且使用稀HF实施清洁(45℃/4分钟)堆栈的C/SSEM图像。
详细描述和及其优选实施方案
一般来说,本发明涉及从微电子器件中去除蚀刻后和/或灰化后的残余物的湿清洁组合物及方法,其中存在于该器件上的无掺杂含硅材料的蚀刻速率大于或实质上等于也存在于该器件上的经掺杂含硅材料的蚀刻速率。较优选地,该湿清洁组合物对接触轮廓或图案的关键尺寸影响最小并与硅及金属相容,且处理后的接触表面是疏水或亲水的稳定表面。
为便于提及,“微电子器件”对应于经制造用于微电子、集成电路或计算机芯片应用中的半导体基板、太阳能电池(光电伏打电池)、平板显示器及微机电系统(MEMS)。应理解,术语“微电子器件”、“微电子基板”及“微电子器件结构”并非意欲以任何方式予以限制且包括任何最终将成为微电子器件或微电子组件的基板或结构。微电子器件可为图案化的、经覆盖的、对照和/或测试器件。
本文所用的“约”意欲对应于所述值±5%。
本文所用的“无掺杂含硅材料”或“较高密度介电材料”对应于实质上不含诸如以下的“掺杂剂”的硅酸盐材料:硼、二氟化硼、磷、砷、镓、锑、碳、氮及铟。无掺杂含硅材料的实施例包括但不限于热氧化物、高密度等离子体沉积氧化物及TEOS,不论沉积方式如何。“经掺杂含硅材料”或“较低密度介电材料”对应于包含“掺杂剂”的氧化硅材料,这些掺杂剂包括但不限于BSG、PSG、BPSG、FSG(氟硅酸盐玻璃)、SiCOH、SiON、SiCON、掺杂碳的氧化物(CDO)及SOD。应了解,介电材料可进一步包含锗。
本文所用的“SOD”及旋涂玻璃(SOG)是同义词。
本文所定义的“实质上不含”对应于,以该组合物总重量计,小于组合物的约2重量%、更优选小于1重量%、且最优选小于0.1重量%。
本文所定义的“所添加的水”对应于由本发明组合物的使用者或制造者所添加的水。所添加的水并不对应于通常见于市售化学物质(混合到一起以形成本发明组合物)中的水、或吸湿水。
本文所定义的“实质上等于”对应于经掺杂含硅材料的蚀刻速率(以计)与无掺杂含硅材料的蚀刻速率相同或为无掺杂含硅材料的蚀刻速率±40%。。
组合物可体现为众多种具体制剂,如下文中更全面阐述的。
在所有这些组合物中,组合物的具体组分以包括零下限在内的重量百分比范围来论述,因而应理解,在组合物的各具体实施方案中可存在或不存在这些组分,且在存在这些组分的情况下,以使用这些组分的组合物的总重量计,这些组分可以低至0.001重量%的浓度存在。
在一方面中阐述湿清洁组合物,其包括至少一种氟化物源、至少一种有机溶剂、至少一种螯合剂及至少一种聚合物物质、由其组成或实质上由其组成,其中该组合物实质上不含所添加的水。在较优选的实施方案中阐述湿清洁组合物,其包括至少一种氟化物源、至少一种二醇溶剂、至少一种螯合剂及至少一种聚合物物质、由其组成或实质上由其组成,其中该组合物实质上不含所添加的水。
至少一种氟化物源可包括选自以下的物质:二氟化氙;五甲基二亚乙基三铵三氟化物;二氟化铵;三乙胺三氢氟酸盐;烷基氟化氢铵(NRH3F),其中每个R独立地选自氢及C1-C4烷基(例如,甲基、乙基、丙基、丁基);二烷基氟化氢铵(NR2H2F),其中每个R独立地选自氢及C1-C4烷基;三烷基氟化氢铵(NR3HF),其中每个R独立地选自氢及C1-C4烷基;三烷基铵三氢氟酸盐(NR3:3HF),其中每个R独立地选自氢及C1-C4烷基;式R4NF的氟化铵,其中每个R独立地选自氢、C1-C4烷基及C1-C4烷醇(例如,甲醇、乙醇、丙醇、丁醇),例如,氟化铵、四甲基氟化铵、氟化三乙醇铵、氟化四乙基铵;及其组合。
至少一种二醇溶剂可包括选自以下的二醇溶剂:乙二醇、丙二醇、二乙二醇、二丙二醇、丙三醇、甘油单酯、甘油二酯、二醇醚及其组合,其中二醇醚包括选自以下的物质:二乙二醇单甲醚、三乙二醇单甲醚、二乙二醇单乙醚、三乙二醇单乙醚、乙二醇单丙醚、乙二醇单丁醚、二乙二醇单丁醚(即,丁基卡必醇)、三乙二醇单丁醚、乙二醇单己醚、二乙二醇单己醚、乙二醇戊醚、丙二醇甲醚、二丙二醇甲醚、三丙二醇甲醚、二丙二醇二甲醚、二丙二醇乙醚、丙二醇正丙醚、二丙二醇正丙醚(DPGPE)、三丙二醇正丙醚、丙二醇正丁醚、二丙二醇正丁醚、三丙二醇正丁醚、丙二醇戊醚及其组合。
至少一种螯合剂可包括β-二酮酸酯化合物,例如,乙酰丙酮、1,1,1-三氟-2,4-戊二酮及1,1,1,5,5,5-六氟-2,4-戊二酮;羧酸盐,例如,甲酸盐及乙酸盐及其它长链羧酸盐;胺及氨基酸,例如,甘氨酸、丝氨酸、脯氨酸、亮氨酸、丙氨酸、天冬酰胺、天冬氨酸、谷氨酰胺、缬氨酸及赖氨酸;选自以下的多元酸:亚氨基二乙酸(IDA)、丙二酸、草酸、琥珀酸、硼酸、次氮基三乙酸、苹果酸、柠檬酸、乙酸、马来酸、2,4-戊二酮、苯扎氯铵、1-咪唑;及其组合。其它螯合剂包含膦酸、膦酸衍生物(例如,羟基亚乙基二膦酸(HEDP)、1-羟基乙烷-1,1-二膦酸、次氮基-三(亚甲基膦酸)、依替膦酸(etidronic acid))、乙二胺、乙二胺四乙酸(EDTA)及(1,2-亚环己基二次氮基)四乙酸(CDTA)、尿酸、四乙二醇二甲醚、五甲基二亚乙基三胺(PMDETA)、1,3,5-三嗪-2,4,6-三硫醇三钠盐溶液、1,3,5-三嗪-2,4,6-三硫醇三铵盐溶液、二乙基二硫代氨基甲酸钠、被一个烷基(R2=己基、辛基、癸基或十二烷基)及一个低聚醚(R1(CH2CH2O)2,其中R1=乙基或丁基)二取代的二硫代氨基甲酸盐(R1(CH2CH2O)2NR2CS2Na)、硫酸铵、单乙醇胺(MEA)、Dequest 2000、Dequest 2010、Dequest 2060s、二亚乙基三胺五乙酸、丙二胺四乙酸、2-羟基吡啶-1-氧化物、乙二胺二琥珀酸、三磷酸五钠及其彼此的组合或其与上文所定义的β-二酮酸酯化合物、羧酸酯、胺及氨基酸或多元酸的组合。
尽管不希望受限于理论,但人们认为可添加至少一种聚合物物质以获得较优选的表面覆盖,从而改善薄膜的表面保护且增强对薄膜蚀刻速率的控制。较优选地,聚合物物质为阳离子型表面活性剂且可包括至少一种聚丙烯亚胺树枝状聚合物(例如,聚丙烯亚胺四胺树枝状聚合物、聚丙烯亚胺八胺树枝状聚合物、聚丙烯亚胺十六胺树枝状聚合物、聚丙烯亚胺三十二胺树枝状聚合物、聚丙烯亚胺六十四烷胺树枝状聚合物)、聚乙烯胺、聚胺、聚酰亚胺-胺(polyimidamine)、聚乙基亚胺、聚酰胺-胺(polyamidamine)、聚四级胺、聚乙烯基酰胺、聚丙烯酰胺、线性或支化的聚乙烯亚胺及可包括上述均聚物或由其组成的共聚物,其中这些共聚物可为阳离子型或非阳离子型。当聚合物物质包括聚乙烯亚胺时,其可选自:聚乙烯亚胺、乙二胺-乙烯亚胺共聚物、羟基化聚乙烯亚胺、改性聚乙烯亚胺及其组合。聚合物物质的实施例包含(BASF)及(Nippon Shokubai)。
较优选地,湿清洁组合物的pH介于约4至约9、较优选约5至约9之间。
在一实施方案中,所述组合物可进一步包含至少一种胺、由其组成或实质上由其组成,该至少一种胺包括但不限于二环己胺、五甲基二亚乙基三胺、二甘醇胺、吡啶、2-乙基吡啶、2-甲氧基吡啶及其衍生物(例如3-甲氧基吡啶)、2-甲基吡啶、吡啶衍生物、二甲基吡啶、哌啶、哌嗪、三乙胺、三乙醇胺、乙胺、甲胺、异丁胺、叔丁胺、三丁胺、二丙胺、二甲胺、单乙醇胺、吡咯、异恶唑、1,2,4-三唑、联吡啶、嘧啶、吡嗪、哒嗪、喹啉、异喹啉、吲哚、咪唑、1-甲基咪唑、二异丙胺、二异丁胺、苯胺、苯胺衍生物或其组合。
在一实施方案中,湿清洁组合物以所提供重量百分比的比率包含下列组分:
在另一实施方案中,湿清洁组合物以所提供重量百分比的比率包含下列组分:
在一实施方案中,湿清洁组合物包含氟化铵、乙二醇、亚氨基二乙酸及聚乙烯亚胺聚合物、由其组成或实质上由其组成。较优选地,聚乙烯亚胺聚合物包括G20。
在另一实施方案中,所述制剂进一步包括长链烷基季铵化合物,将该化合物添加至组合物中以获得疏水表面且增加下一整合步骤的等候时间。较优选地,长链烷基季铵化合物包括与氯化物阴离子组合的三辛酰基甲基铵阳离子[C25H54N+],但亦可涵盖其它具有一或两个长烷基链且含有三辛酰基及三辛基甲基铵阳离子[C25H54N+]的化合物及盐,其包含鲸蜡基三甲基溴化铵(CTAB)、十二烷基三甲基氯化铵、十六烷基三甲基氯化铵、二辛基二甲基氯化铵及聚烯丙基二甲基氯化铵。长链烷基可是饱和的或不饱和的。在一实施方案中,长链烷基季铵化合物包括Aliquat 336(Cognis公司的商标)。因此,在具体较优选的实施方案中,组合物包含至少一种氟化物源、至少一种二醇溶剂、至少一种螯合剂、至少一种聚合物物质及至少一种长链季铵化合物、由其组成或实质上由其组成。例如,组合物可包括氟化铵、乙二醇、亚氨基二乙酸、聚乙烯亚胺聚合物及长链烷基季铵化合物、由其组成或实质上由其组成。较优选地,聚乙烯亚胺聚合物包括G20。在另一较优选的实施方案中,长链烷基季铵化合物包括Aliquat 336。湿清洁组合物可以所提供重量百分比的比率包含下列组分:
较优选地,本发明的湿清洁组合物不含或实质上不含所添加的水及所添加的HF。另外,湿清洁组合物较优选的不含氧化剂、磨损材料、强酸及强碱。
通过简单添加各成份且将其混合至均相状态来容易地配制湿清洁组合物。另外,可容易地将组合物配制为单组分制剂或在使用时混合的多组分制剂。多组分制剂的各部分可在工具中或在工具上游的储存箱中混合。在组合物中各成份的浓度可以特定倍数大幅度变化,例如更稀或更浓,且应理解组合物可不同地或选择性地包括符合本文公开内容的各成份的任何组合、由其组成或实质上由其组成。例如,所制得的浓缩物可具有上述螯合剂与氟化物源的重量百分比比率及聚合物物质与氟化物源的重量百分比比率,且使用者可使用二醇溶剂稀释组合物直至达成二醇溶剂与氟化物源的重量百分比比率。
另一方面涉及在一个或多个容器中包含一种或多种适于形成本文所述湿清洁组合物的组分的套包(kit)。套包可在一个或多个容器中包含至少一种氟化物源、至少一种二醇溶剂、至少一种螯合剂、至少一种聚合物物质、视需要至少一种胺及视需要至少一种长链烷基季铵化合物,以用于在制造时原样组合或与稀释剂(例如,额外的二醇溶剂)组合。
套包的容器应在化学上适于储存及分配其中所含的组分。例如,套包的容器可为容器(Advanced Technology Materials,Inc.,Danbury,Conn,USA)。一个或多个含有去除组合物组分的容器较优选的包含使该一个或多个容器中的组分处于流体连通状态以进行掺和及分配的构件。例如,参照容器,可将气压施加至该一个或多个容器的衬里外侧以排出至少一部分衬里内容物且因此使得流体连通以进行掺和及分配。或者,可将气压施加至常规可加压的容器的顶部空间中或可使用泵来达成流体连通。此外,系统优选包含将经掺和的湿清洁组合物分配至处理工具中的分配端口。
优选使用基本是化学惰性、无杂质、具有挠性及弹性的聚合物薄膜材料(例如,高密度聚乙烯)来制造该一个或多个容器的衬里。期望衬里材料的处理无需共挤出或屏障层,且不使用可对要布置于该衬里中的组分的纯度要求产生负面影响的任何颜料、UV抑制剂或处理剂。可列举的所需衬里材料包含包括以下物质的薄膜:纯净(不含添加剂)聚乙烯、纯净聚四氟乙烯(PTFE)、聚丙烯、聚氨酯、聚偏二氯乙烯、聚氯乙烯、聚缩醛、聚苯乙烯、聚丙烯腈、聚丁烯,等等。这些衬里材料的较优选的厚度在约5密耳(0.005英时)至约30密耳(0.030英时),例如,厚度为20密耳(0.020英时)。
关于套包的容器,下列专利及专利申请案的公开内容的各自的全部内容由此以引用方式并入本文中:标题为“APPARATUS ANDMETHOD FOR MINIMIZING THE GENERATION OF PARTICLES INULTRAPURE LIQUIDS”的美国专利第7,188,644号;标题为“RETURNABLE AND REUSABLE,BAG-IN-DRUM FLUIDSTORAGE AND DISPENSING CONTAINER SYSTEM”的美国专利第6,698,619号;及2007年5月9日以John E.Q.Hughes的名义提出申请且标题为“SYSTEMS AND METHODS FOR MATERIAL BLENDING ANDDISTRIBUTION”的美国专利申请案第60/916,966号,及2008年5月9日以Advanced Technology Materials公司的名义提出申请且标题为“SYSTEMS AND METHODS FOR MATERIAL BLENDING ANDDISTRIBUTION”的PCT/US08/63276。
当应用于微电子器件制造作业中时,通常使用本发明的湿清洁组合物来(i)从微电子器件表面相对于较低密度的介电材料(例如,经掺杂含硅材料)选择性去除较高密度介电材料(例如,无掺杂含硅材料)和/或(ii)从微电子器件表面去除蚀刻后和/或灰化后的残余物,其中存在于该器件上的无掺杂含硅材料的蚀刻速率大于或实质上等于也存在的经掺杂含硅材料的蚀刻速率。重要地是,本发明的湿清洁组合物与也存在于微电子器件中的底层(例如,金属及硅)相容。
本领域技术人员应了解,本文所述的组合物可用于一步或多步去除方法中。较优选地,在单步方法中去除欲去除的材料。
在清洁应用中,以任一适宜方式将湿清洁组合物施加至上面具有欲去除材料的微电子器件中,该适宜方式例如将组合物喷雾至器件表面上,浸渍(于一定体积的组合物中)包含欲去除材料的器件,使器件与上面吸附有组合物的另一材料(例如,垫片、或纤维性吸着剂涂施器元件)接触,使包含欲去除材料的器件与循环组合物接触,或任何可使湿清洁组合物与微电子器件上欲去除材料接触的其它适宜方法、方式或技术。如本领域技术人员所容易确定的,清洁应用可为静态和/或动态的。另外,该方法可用于分批或单一晶圆系统中。使湿清洁组合物与欲去除的材料接触后,湿清洁组合物可进一步包含选自以下的残余物材料:经掺杂含硅材料、无掺杂含硅材料、蚀刻后残余物、灰化后残余物及其组合,这些残余物材料可悬浮和/或溶解于该湿清洁组合物中。
在使用组合物自上面具有欲去除材料的微电子器件中去除这些材料时,通常在适合条件(例如,在约20℃至约60℃范围内、较优选约30-50℃的温度)下使湿清洁组合物与表面接触约30秒至约10分钟、较优选约90秒至7分钟的足够时间。这些接触时间及温度是示例性的,且可使用任何其它适宜的时间及温度条件。
蚀刻目标包括但不限于ThOx、BPSG、PSG、BSG及SOD。优选地,当前工作的蚀刻目标为ThOx:约至约优选约 至约其中,在约35℃至约50℃的温度范围下,BPSG与ThOx的选择性比率介于约0.4∶1至约1∶1、优选约0.4∶1至约0.6∶1之间,PSG与ThOx的选择性比率介于约0.5∶1至约2.5∶1、优选约1∶1至约1.4∶1之间,且SOD与ThOx的选择性比率介于约0.5∶1至约1.4∶1、优选约1∶1至约1.4∶1之间,其中BPSG包括3.6-4.0%的B及3.3-3.7%的P,SOG为有机SOG,且PSG包括3.3-3.7%的P。经掺杂及无掺杂氧化物的较优选的选择性比率为约1∶1或更低。
有利地,本文所述的组合物以可控制方式去除经掺杂及无掺杂含硅材料。此外,除有机溶剂外,组合物具有极低量的其它组分,这使得更易于处理组合物。例如,组合物较优选的包含大于99重量%的有机溶剂。
通过下列非限制性实施例来更全面地阐释本发明的特征及优点,其中除非另有明确说明,否则所有份数及百分比都以重量计。
实施例1
组合物A:0.15重量%的IDA,0.04重量%的G20,0.25重量%的氟化铵,99.56重量%的乙二醇
在30℃下将经覆盖BPSG(3.6-4.0%的B,3.3-3.7%的P)、HDPTEOS多晶硅、ThOxSOG(有机SOG,)、SiN(LPCVD及PECVD)、WTiN及PSG(3.3-3.7%的P)浸渍于组合物A中并保持所示时间,不实施搅拌,且获得表1中的结果。根据观察,大部分材料的选择性与ThOx相似,且金属及金属合金的电阻不受组合物影响。
表1:制剂A中的结果
实施例2
组合物B:0.15重量%的IDA,0.04重量%的G20,0.25重量%的氟化铵,0.06重量%的Aliquat 336及99.50重量%的乙二醇
在30℃下将经覆盖BPSG(3.6-4.0%的B,3.3-3.7%的P)、HDPTEOS多晶硅、ThOxSOG(有机SOG,)、SiN(LPCVD及PECVD)、WTiN及PSG(3.3-3.7%的P)浸渍于组合物B中并保持所示时间,不实施搅拌,且获得表2中的结果。根据观察,大部分材料的选择性与ThOx相似,且金属及金属合金的电阻不受组合物影响。
表2:制剂B中的结果
为进行比较,在30℃下将经覆盖BPSG(3.6-4.0%的B,3.3-3.7%的P)、HDPTEOS多晶硅、ThOxSOG(有机SOG,)、SiN(LPCVD及PECVD)、WTiN及PSG(3.3-3.7%的P)浸渍于稀氢氟酸(DHF)中并保持30分钟,不实施搅拌,且获得表3中的结果。
表3:DHF中的结果
应理解,虽然无掺杂含硅材料的蚀刻速率较优选的大于或实质上等于经掺杂含硅材料的蚀刻速率,但仍存在经掺杂∶无掺杂蚀刻速率比率大于1的情况。例如,使用DHF时PSG的蚀刻速率∶ThOx的蚀刻速率为5.89∶1,但使用制剂B时该比率可大幅度降低至2.16∶1。即使经掺杂∶无掺杂的蚀刻速率比率仍大于1∶1,但该降低同样有利。
实施例3
使用制剂B测定经掺杂多晶硅相对于热氧化物的蚀刻速率,其随低或高能量掺杂而变化。在30℃下将经As 75(低)-、As 75(高)-、P 31(低)-、P 31(高)-、BF2(低)-及BF2(高)-掺杂的多晶硅试样浸渍于制剂B中并保持30分钟,且测定每一试样的蚀刻速率。每一经掺杂材料相对于热氧化物的选择性提供于表4中,其中可以发现,每一薄膜都以等于或低于热氧化物的速率进行蚀刻。
表4:制剂B中的结果
实施例4
此外,还研究制剂对灰化后及接触孔残余物的去除能力。图1展示在45℃下经制剂B处理4分钟而去除约ThOx的样品的C/S SEM图像。图1中亦展示图案的堆栈结构,其由约的PE-CVD SiN基层及由TEOS及PSG制得的“壁”组成。
图2及图3展示已分别使用制剂B(45℃/4分钟)及稀氢氟酸(DHF)(25℃/4分钟)清洁的具有相似结构的样品的C/S SEM图像。可以发现,使用DHF清洁样品去除了约ThOx,并未完全去除灰化后的残余物,且使CD劣化至一定程度。
实施例5
如下所述配制其它组合物:
组合物C:0.18重量%的琥珀酸,0.05重量%的G20,0.30重量%的氟化铵,及99.47重量%的丙二醇
组合物D:0.18重量%的琥珀酸,0.05重量%的G20,0.15重量%的氟化铵,0.15重量%的二氟化铵,及99.47重量%的丙二醇
组合物E:0.4重量%的IDA,0.14重量%的聚烯丙胺,0.70重量%的氟化铵,及98.76重量%的乙二醇
组合物F:0.4重量%的IDA,0.14重量%的聚烯丙胺,0.70重量%的氟化铵,0.2重量%的CTAB,及98.56重量%的乙二醇
组合物G:0.4重量%的IDA,0.14重量%的聚烯丙胺,0.70重量%的氟化铵,0.15重量%的Aliquat 336,及98.61重量%的丙二醇
组合物H:0.2重量%的琥珀酸,0.07重量%的G20,0.35重量%的氟化铵,0.10重量%的CTAB,及99.28重量%的丙二醇
因此,尽管本文已参照本发明的具体方面、特征及示例性实施方案阐述了本发明,但应理解,本发明的用途并非由此受限,而是可扩展至并涵盖诸多其它方面、特征及实施方案。因此,意欲相应地权利要求书的保护范围理解为广泛包含属于其精神及范围内的所有这些方面、特征及实施方案。

Claims (26)

1.一种湿清洁组合物,其包含至少一种氟化物源、至少一种二醇溶剂、至少一种螯合剂及至少一种聚合物物质,其中该至少一种聚合物物质包括至少一种选自以下的物质:聚丙烯亚胺树枝状聚合物、聚乙烯胺、聚胺、聚酰亚胺-胺、聚乙基亚胺、聚酰胺-胺、聚四级胺、聚乙烯基酰胺、线性聚乙烯亚胺、支化聚乙烯亚胺及包括上述这些均聚物的共聚物,其中该组合物含小于2重量%的所添加的水。
2.如权利要求1的组合物,其中该至少一种氟化物源包括选自以下的物质:二氟化氙;五甲基二亚乙基三铵三氟化物;二氟化铵;三乙胺三氢氟酸盐;烷基氟化氢铵(NRH3F),其中每个R独立地选自氢及C1-C4烷基;二烷基氟化氢铵(NR2H2F),其中每个R独立地选自氢及C1-C4烷基;三烷基氟化氢铵(NR3HF),其中每个R独立地选自氢及C1-C4烷基;三烷基铵三氢氟酸盐(NR3:3HF),其中每个R独立地选自氢及C1-C4烷基;式R4NF的氟化铵,其中每个R独立地选自氢、C1-C4烷基及C1-C4烷醇;及其组合。
3.如权利要求1的组合物,其中该至少一种氟化物源包括氟化铵。
4.如权利要求1的组合物,其中该至少一种二醇溶剂包括选自以下的二醇溶剂:乙二醇、丙二醇、二乙二醇、二丙二醇、丙三醇、甘油单酯、甘油二酯、二醇醚及其组合。
5.如前述权利要求任一项的组合物,其中该二醇醚包括选自以下的物质:二乙二醇单甲醚、三乙二醇单甲醚、二乙二醇单乙醚、三乙二醇单乙醚、乙二醇单丙醚、乙二醇单丁醚、二乙二醇单丁醚、三乙二醇单丁醚、乙二醇单己醚、二乙二醇单己醚、乙二醇戊醚、丙二醇甲醚、二丙二醇甲醚、三丙二醇甲醚、二丙二醇二甲醚、二丙二醇乙醚、丙二醇正丙醚、二丙二醇正丙醚、三丙二醇正丙醚、丙二醇正丁醚、二丙二醇正丁醚、三丙二醇正丁醚、丙二醇戊醚及其组合。
6.如权利要求1-4任一项的组合物,其中该至少一种二醇溶剂包括乙二醇。
7.如权利要求1-4任一项的组合物,其中该至少一种螯合剂包括多元酸。
8.如权利要求1-4任一项的组合物,其中该至少一种螯合剂包括选自以下的物质:乙酰丙酮、1,1,1-三氟-2,4-戊二酮、1,1,1,5,5,5-六氟-2,4-戊二酮、甲酸盐、乙酸盐、甘氨酸、丝氨酸、脯氨酸、亮氨酸、丙氨酸、天冬酰胺、天冬氨酸、谷氨酰胺、缬氨酸、赖氨酸、亚氨基二乙酸、丙二酸、草酸、琥珀酸、硼酸、次氮基三乙酸、苹果酸、柠檬酸、乙酸、马来酸、2,4-戊二酮、苯扎氯铵、1-咪唑、膦酸、羟基亚乙基二膦酸、1-羟基乙烷-1,1-二膦酸、次氮基-三(亚甲基膦酸)、依替膦酸、乙二胺、乙二胺四乙酸、(1,2-亚环己基二次氮基)四乙酸、尿酸、四乙二醇二甲醚、五甲基二亚乙基三胺、1,3,5-三嗪-2,4,6-三硫醇三钠盐溶液、1,3,5-三嗪-2,4,6-三硫醇三铵盐溶液、二乙基二硫代氨基甲酸钠、二取代的二硫代氨基甲酸盐、硫酸铵、单乙醇胺、Dequest2000、Dequest2010、Dequest2060s、二亚乙基三胺五乙酸、丙二胺四乙酸、2-羟基吡啶-1-氧化物、乙二胺二琥珀酸、三磷酸五钠及其组合。
9.如权利要求1-4任一项的组合物,其中该至少一种螯合剂包括亚氨基二乙酸。
10.如权利要求1-4任一项的组合物,其中该聚乙烯亚胺包括选自以下的物质:聚乙烯亚胺、乙二胺-乙烯亚胺共聚物、羟基化聚乙烯亚胺、改性聚乙烯亚胺及其组合。
11.如权利要求1-4任一项的组合物,其中该聚合物物质包括聚乙烯亚胺。
12.如权利要求1-4任一项的组合物,其进一步包含至少一种长链烷基季铵化合物。
13.如权利要求12的组合物,其中该长链烷基季铵化合物包括选自以下的物质:三辛酰基甲基铵阳离子、三辛基甲基铵阳离子、鲸蜡基三甲基铵阳离子、十二烷基三甲基铵阳离子、十六烷基三甲基铵阳离子、二辛基二甲基铵阳离子、聚(烯丙基二甲基铵)阳离子及其混合物。
14.如权利要求1的组合物,其包括氟化铵、乙二醇、亚氨基二乙酸及聚乙烯亚胺聚合物。
15.如权利要求12的组合物,其包括氟化铵、乙二醇、亚氨基二乙酸、聚乙烯亚胺聚合物及长链烷基季铵化合物。
16.如权利要求1-4任一项的组合物,其中该组合物实质上不含所添加的HF。
17.如权利要求1-4任一项的组合物,其中该组合物进一步包括选自以下的残余物材料:经掺杂含硅材料;无掺杂含硅材料;蚀刻后残余物;灰化后残余物;及其组合。
18.如权利要求1-4任一项的组合物,其中该组合物进一步包括选自以下的残余物材料:热氧化物、TEOS、硼磷硅酸盐玻璃、磷硅酸盐玻璃、氟硅酸盐玻璃、旋涂式介电质及其组合。
19.如权利要求1-4任一项的组合物,其中pH介于4至9之间。
20.一种相对于经掺杂含硅材料选择性去除无掺杂含硅材料的方法,该方法包括在接触条件下使上面具有无掺杂含硅材料及经掺杂含硅材料的微电子器件与湿清洁组合物接触,其中该湿清洁组合物包含至少一种氟化物源、至少一种二醇溶剂、至少一种螯合剂及至少一种聚合物物质,其中该至少一种聚合物物质包括至少一种选自以下的物质:聚丙烯亚胺树枝状聚合物、聚乙烯胺、聚胺、聚酰亚胺-胺、聚乙基亚胺、聚丁二烯、聚酰胺-胺、聚四级胺、聚乙烯基酰胺、线性聚乙烯亚胺、支化聚乙烯亚胺及包括上述这些均聚物的共聚物,其中该组合物含小于2重量%的水。
21.一种从微电子器件去除蚀刻后和/或灰化后残余物的方法,该方法包括在接触条件下使上面具有蚀刻后和/或灰化后残余物的微电子器件与湿清洁组合物接触,其中该湿清洁组合物包含至少一种氟化物源、至少一种二醇溶剂、至少一种螯合剂及至少一种聚合物物质,且其中存在于该器件上的无掺杂含硅材料的蚀刻速率大于或实质上等于存在于该器件上的经掺杂含硅材料的蚀刻速率,其中该至少一种聚合物物质包括至少一种选自以下的物质:聚丙烯亚胺树枝状聚合物、聚乙烯胺、聚胺、聚酰亚胺-胺、聚乙基亚胺、聚丁二烯、聚酰胺-胺、聚四级胺、聚乙烯基酰胺、线性聚乙烯亚胺、支化聚乙烯亚胺及包括上述这些均聚物的共聚物,且其中该组合物含小于2重量%的水。
22.如权利要求20或21的方法,其中该至少一种氟化物源包括选自以下的物质:二氟化氙;五甲基二亚乙基三铵三氟化物;二氟化铵;三乙胺三氢氟酸盐;烷基氟化氢铵(NRH3F),其中每个R独立地选自氢及C1-C4烷基;二烷基氟化氢铵(NR2H2F),其中每个R独立地选自氢及C1-C4烷基;三烷基氟化氢铵(NR3HF),其中每个R独立地选自氢及C1-C4烷基;三烷基铵三氢氟酸盐(NR3:3HF),其中每个R独立地选自氢及C1-C4烷基;式R4NF的氟化铵,其中每个R独立地选自氢、C1-C4烷基及C1-C4烷醇;及其组合。
23.如权利要求20或21的方法,其中该至少一种二醇溶剂包括选自以下的二醇溶剂:乙二醇、丙二醇、二乙二醇、二丙二醇、二乙二醇单甲醚、三乙二醇单甲醚、二乙二醇单乙醚、三乙二醇单乙醚、乙二醇单丙醚、乙二醇单丁醚、二乙二醇单丁醚、三乙二醇单丁醚、乙二醇单己醚、二乙二醇单己醚、乙二醇戊醚、丙二醇甲醚、二丙二醇甲醚、三丙二醇甲醚、二丙二醇二甲醚、二丙二醇乙醚、丙二醇正丙醚、二丙二醇正丙醚、三丙二醇正丙醚、丙二醇正丁醚、二丙二醇正丁醚、三丙二醇正丁醚、丙二醇戊醚及其组合。
24.如权利要求20或21的方法,其中该至少一种螯合剂包括选自以下的物质:乙酰丙酮、1,1,1-三氟-2,4-戊二酮、1,1,1,5,5,5-六氟-2,4-戊二酮、甲酸盐、乙酸盐、甘氨酸、丝氨酸、脯氨酸、亮氨酸、丙氨酸、天冬酰胺、天冬氨酸、谷氨酰胺、缬氨酸、赖氨酸、亚氨基二乙酸、丙二酸、草酸、琥珀酸、硼酸、次氮基三乙酸、苹果酸、柠檬酸、乙酸、马来酸、2,4-戊二酮、苯扎氯铵、1-咪唑、膦酸、羟基亚乙基二膦酸、1-羟基乙烷-1,1-二膦酸、次氮基-三(亚甲基膦酸)、依替膦酸、乙二胺、乙二胺四乙酸、(1,2-亚环己基二次氮基)四乙酸、尿酸、四乙二醇二甲醚、五甲基二亚乙基三胺、1,3,5-三嗪-2,4,6-三硫醇三钠盐溶液、1,3,5-三嗪-2,4,6-三硫醇三铵盐溶液、二乙基二硫代氨基甲酸钠、二取代的二硫代氨基甲酸盐、硫酸铵、单乙醇胺、Dequest2000、Dequest2010、Dequest2060s、二亚乙基三胺五乙酸、丙二胺四乙酸、2-羟基吡啶-1-氧化物、乙二胺二琥珀酸、三磷酸五钠及其组合。
25.如权利要求20或21的方法,其中这些接触条件包括:介于30秒至10分钟之间的时间;介于20℃至60℃之间的温度;及其组合。
26.如权利要求20或21的方法,其中该无掺杂含硅材料包括热氧化物,且其中该热氧化物的蚀刻速率介于之间。
CN200980113539.5A 2008-03-07 2009-03-06 非选择性氧化物蚀刻湿清洁组合物及使用方法 Expired - Fee Related CN102007196B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US3489108P 2008-03-07 2008-03-07
US61/034,891 2008-03-07
US7715508P 2008-06-30 2008-06-30
US61/077,155 2008-06-30
PCT/US2009/036366 WO2009111719A2 (en) 2008-03-07 2009-03-06 Non-selective oxide etch wet clean composition and method of use

Publications (2)

Publication Number Publication Date
CN102007196A CN102007196A (zh) 2011-04-06
CN102007196B true CN102007196B (zh) 2014-10-29

Family

ID=41056670

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200980113539.5A Expired - Fee Related CN102007196B (zh) 2008-03-07 2009-03-06 非选择性氧化物蚀刻湿清洁组合物及使用方法

Country Status (8)

Country Link
US (1) US20110117751A1 (zh)
EP (1) EP2268765A4 (zh)
JP (1) JP2011517328A (zh)
KR (2) KR20100123757A (zh)
CN (1) CN102007196B (zh)
SG (1) SG188848A1 (zh)
TW (1) TWI591158B (zh)
WO (1) WO2009111719A2 (zh)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8252194B2 (en) * 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
TWI548738B (zh) 2010-07-16 2016-09-11 安堤格里斯公司 用於移除蝕刻後殘餘物之水性清潔劑
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
JP5664653B2 (ja) * 2010-09-08 2015-02-04 三菱瓦斯化学株式会社 微細構造体のパターン倒壊抑制用処理液及びこれを用いた微細構造体の製造方法
US9831088B2 (en) 2010-10-06 2017-11-28 Entegris, Inc. Composition and process for selectively etching metal nitrides
US9416338B2 (en) 2010-10-13 2016-08-16 Advanced Technology Materials, Inc. Composition for and method of suppressing titanium nitride corrosion
EP2652104A1 (en) * 2010-12-16 2013-10-23 Kyzen Corporation Cleaning agent for removal of soldering flux
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
CN103255417B (zh) * 2011-12-16 2016-01-20 江阴润玛电子材料股份有限公司 一种酸性钼铝钼蚀刻液及其制备工艺
JP6329909B2 (ja) 2011-12-28 2018-05-23 インテグリス・インコーポレーテッド 窒化チタンを選択的にエッチングするための組成物および方法
KR102105381B1 (ko) 2012-02-15 2020-04-29 엔테그리스, 아이엔씨. 조성물을 이용한 cmp-후 제거 방법 및 그의 이용 방법
WO2013138276A1 (en) * 2012-03-12 2013-09-19 Advanced Technology Materials, Inc. Methods for the selective removal of ashed spin-on glass
US9678430B2 (en) 2012-05-18 2017-06-13 Entegris, Inc. Composition and process for stripping photoresist from a surface including titanium nitride
US9536730B2 (en) 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
SG11201507014RA (en) 2013-03-04 2015-10-29 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
KR102338550B1 (ko) 2013-06-06 2021-12-14 엔테그리스, 아이엔씨. 질화 티타늄의 선택적인 에칭을 위한 조성물 및 방법
CN105431506A (zh) 2013-07-31 2016-03-23 高级技术材料公司 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂
EP3039098B1 (en) 2013-08-30 2020-09-30 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
JP6200289B2 (ja) 2013-11-18 2017-09-20 富士フイルム株式会社 半導体基板の処理液、処理方法、これらを用いた半導体基板製品の製造方法
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
US20160322232A1 (en) 2013-12-20 2016-11-03 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
US10619097B2 (en) * 2014-06-30 2020-04-14 Specmat, Inc. Low-[HF] room temperature wet chemical growth (RTWCG) chemical formulation
KR102384908B1 (ko) * 2015-11-25 2022-04-08 삼성전자주식회사 자성 패턴 세정 조성물, 자성 패턴 형성 방법 및 자기 메모리 장치의 제조 방법
CN106283092B (zh) * 2016-08-05 2018-06-19 宁波金特信钢铁科技有限公司 一种无氨氟化物盐电子基板清洗组合物的制备方法
CN106479696A (zh) * 2016-08-31 2017-03-08 惠晶显示科技(苏州)有限公司 用于液晶显示面板玻璃酸刻所生结垢物的清洗液及其制备方法
US10804109B2 (en) * 2017-10-03 2020-10-13 Mattson Technology, Inc. Surface treatment of silicon and carbon containing films by remote plasma with organic precursors
CN110317588A (zh) * 2018-03-29 2019-10-11 中国石油化工股份有限公司 一种阳离子表面活性剂及其制备方法和起泡剂及其应用
CN112219266A (zh) 2018-04-13 2021-01-12 玛特森技术公司 以使用烷基卤化物生成的反应性核素处理工件
KR102363121B1 (ko) 2018-06-11 2022-02-15 매슨 테크놀로지 인크 워크피스의 처리를 위한 수소 반응성 종의 생성
US11560533B2 (en) 2018-06-26 2023-01-24 Versum Materials Us, Llc Post chemical mechanical planarization (CMP) cleaning
CN112313777A (zh) 2018-10-15 2021-02-02 玛特森技术公司 用于选择性亲水表面处理的臭氧
CN112335017A (zh) 2018-11-16 2021-02-05 玛特森技术公司 腔室上光以通过减少化学成分改善刻蚀均匀性
US10403492B1 (en) 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
KR20200077912A (ko) 2018-12-21 2020-07-01 주식회사 케이씨텍 세정액 조성물 및 그것을 이용한 세정 방법
CN112601836A (zh) 2019-04-30 2021-04-02 玛特森技术公司 使用甲基化处理选择性沉积
CN111441056B (zh) * 2020-04-20 2022-05-20 中国石油天然气集团公司 双十二烷基-二甲酰胺联吡啶季铵盐水溶缓蚀剂及其制备方法和应用
CN112143590A (zh) * 2020-09-29 2020-12-29 常州时创能源股份有限公司 硅片清洗添加剂、硅片清洗液及其应用
TW202328423A (zh) * 2021-11-11 2023-07-16 美商陶氏全球科技責任有限公司 包含螯合劑的二醇組成物
CN114196406B (zh) * 2021-11-18 2023-03-14 广东粤港澳大湾区黄埔材料研究院 刻蚀液及其制备方法、应用

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0989597B1 (en) * 1998-08-28 2003-11-12 Ashland Inc. A composition and method for selectively etching a silicon nitride film
CN1507655A (zh) * 2001-03-05 2004-06-23 硅化钌湿法蚀刻
WO2006054996A1 (en) * 2004-11-19 2006-05-26 Honeywell International Inc. Selective removal chemistries for semiconductor applications, methods of production and uses thereof
WO2006113621A3 (en) * 2005-04-15 2007-03-01 Advanced Tech Materials Formulations for cleaning ion-implanted photoresist layers from microelectronic devices
CN1938647A (zh) * 2004-03-03 2007-03-28 高级技术材料公司 用于蚀刻后去除基片上沉积的光致抗蚀剂和/或牺牲性抗反射物质的组合物和方法
WO2007047365A2 (en) * 2005-10-13 2007-04-26 Advanced Technology Materials, Inc. Metals compatible photoresist and/or sacrificial antireflective coating removal composition
CN101116178A (zh) * 2004-10-29 2008-01-30 Ekc技术公司 用于晶片级包装中光刻胶剥离和残留物去除的组合物和方法

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH085140B2 (ja) * 1989-09-26 1996-01-24 ダイキン工業株式会社 フッ素樹脂製品の処理方法
JP3618764B2 (ja) * 1992-03-13 2005-02-09 ダイキン工業株式会社 揮発性酸類の回収方法
JP3309392B2 (ja) * 1993-02-04 2002-07-29 ダイキン工業株式会社 濡れ性に優れた半導体用ウエットエッチング組成物
US5320709A (en) * 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
JPH07216392A (ja) * 1994-01-26 1995-08-15 Daikin Ind Ltd 洗浄剤及び洗浄方法
CN1096703C (zh) * 1995-11-15 2002-12-18 大金工业株式会社 晶片处理液及其制造方法
JPH1027781A (ja) * 1996-07-10 1998-01-27 Daikin Ind Ltd エッチングガスおよびクリーニングガス
US6074935A (en) * 1997-06-25 2000-06-13 Siemens Aktiengesellschaft Method of reducing the formation of watermarks on semiconductor wafers
US6280651B1 (en) * 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
DE69833692T2 (de) * 1997-12-19 2006-11-23 Advanced Technology Materials, Inc., Danbury Ätzlösung für das selektive ätzen von siliziumoxid mit fluorid-salz, komplexmittel und glykol-lösungsmittel
US7547669B2 (en) * 1998-07-06 2009-06-16 Ekc Technology, Inc. Remover compositions for dual damascene system
JP3903215B2 (ja) * 1998-11-24 2007-04-11 ダイキン工業株式会社 エッチング液
US6562726B1 (en) * 1999-06-29 2003-05-13 Micron Technology, Inc. Acid blend for removing etch residue
DE60019142T2 (de) * 1999-08-13 2006-02-09 Cabot Microelectronics Corp., Aurora Poliersystem mit stopmittel und verfahren zu seiner verwendung
JP3891768B2 (ja) * 1999-12-28 2007-03-14 株式会社トクヤマ 残さ洗浄液
US6831048B2 (en) * 2000-04-26 2004-12-14 Daikin Industries, Ltd. Detergent composition
CN1193410C (zh) * 2000-09-01 2005-03-16 株式会社德山 残渣洗涤液
JP5407101B2 (ja) * 2000-09-07 2014-02-05 ダイキン工業株式会社 ドライエッチングガスおよびドライエッチング方法
KR100874813B1 (ko) * 2000-11-08 2008-12-19 다이킨 고교 가부시키가이샤 드라이 에칭 가스 및 드라이 에칭 방법
JP2003129089A (ja) * 2001-10-24 2003-05-08 Daikin Ind Ltd 洗浄用組成物
US6719920B2 (en) * 2001-11-30 2004-04-13 Intel Corporation Slurry for polishing a barrier layer
US6698619B2 (en) 2002-05-03 2004-03-02 Advanced Technology Materials, Inc. Returnable and reusable, bag-in-drum fluid storage and dispensing container system
US7188644B2 (en) 2002-05-03 2007-03-13 Advanced Technology Materials, Inc. Apparatus and method for minimizing the generation of particles in ultrapure liquids
US6849200B2 (en) * 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
EP1536291A4 (en) * 2002-08-22 2008-08-06 Daikin Ind Ltd REMOVING SOLUTION
TWI282814B (en) * 2002-09-13 2007-06-21 Daikin Ind Ltd Etchant and etching method
JP4374989B2 (ja) * 2003-11-12 2009-12-02 三菱瓦斯化学株式会社 洗浄液およびそれを用いた洗浄方法
SG129274A1 (en) * 2003-02-19 2007-02-26 Mitsubishi Gas Chemical Co Cleaaning solution and cleaning process using the solution
JP2004277576A (ja) * 2003-03-17 2004-10-07 Daikin Ind Ltd エッチング用又は洗浄用の溶液の製造法
US7427361B2 (en) * 2003-10-10 2008-09-23 Dupont Air Products Nanomaterials Llc Particulate or particle-bound chelating agents
EP1690135A4 (en) * 2003-12-02 2007-05-09 Advanced Tech Materials METHOD AND CHEMICAL FOR REMOVING PHOTOSENSITIVE RESIN, ANTI-REFLECTIVE COATING OR FILLING MATERIAL
JP2006154722A (ja) * 2004-10-28 2006-06-15 Daikin Ind Ltd Cu/low−k多層配線構造のアッシング残渣の剥離液及び剥離方法
US20060255315A1 (en) * 2004-11-19 2006-11-16 Yellowaga Deborah L Selective removal chemistries for semiconductor applications, methods of production and uses thereof
PL1828848T3 (pl) * 2004-12-10 2010-09-30 Avantor Performance Mat Inc Niewodne niekorozyjne kompozycje czyszczące dla mikroelektroniki zawierające polimerowe inhibitory korozji
KR101088568B1 (ko) * 2005-04-19 2011-12-05 아반토르 퍼포먼스 머티리얼스, 인크. 갈바닉 부식을 억제하는 비수성 포토레지스트 스트립퍼
CN102981377B (zh) * 2005-06-07 2014-11-12 高级技术材料公司 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
CN101496146A (zh) * 2005-10-05 2009-07-29 高级技术材料公司 选择性蚀刻栅极隔片氧化物材料的组合物和方法
WO2007111694A2 (en) * 2005-11-09 2007-10-04 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
US20080125342A1 (en) * 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
TWI509690B (zh) * 2006-12-21 2015-11-21 Entegris Inc 選擇性移除氮化矽之組合物及方法
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
KR20070090199A (ko) * 2007-06-19 2007-09-05 허니웰 인터내셔날 인코포레이티드 반도체 적용을 위한 선택적 제거용 화학 물질 및 이를 생산및 사용하는 방법

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0989597B1 (en) * 1998-08-28 2003-11-12 Ashland Inc. A composition and method for selectively etching a silicon nitride film
CN1507655A (zh) * 2001-03-05 2004-06-23 硅化钌湿法蚀刻
CN1938647A (zh) * 2004-03-03 2007-03-28 高级技术材料公司 用于蚀刻后去除基片上沉积的光致抗蚀剂和/或牺牲性抗反射物质的组合物和方法
CN101116178A (zh) * 2004-10-29 2008-01-30 Ekc技术公司 用于晶片级包装中光刻胶剥离和残留物去除的组合物和方法
WO2006054996A1 (en) * 2004-11-19 2006-05-26 Honeywell International Inc. Selective removal chemistries for semiconductor applications, methods of production and uses thereof
WO2006113621A3 (en) * 2005-04-15 2007-03-01 Advanced Tech Materials Formulations for cleaning ion-implanted photoresist layers from microelectronic devices
WO2007047365A2 (en) * 2005-10-13 2007-04-26 Advanced Technology Materials, Inc. Metals compatible photoresist and/or sacrificial antireflective coating removal composition

Also Published As

Publication number Publication date
CN102007196A (zh) 2011-04-06
EP2268765A4 (en) 2011-10-26
TWI591158B (zh) 2017-07-11
KR20100123757A (ko) 2010-11-24
KR20150126729A (ko) 2015-11-12
US20110117751A1 (en) 2011-05-19
WO2009111719A2 (en) 2009-09-11
TW200951204A (en) 2009-12-16
WO2009111719A9 (en) 2009-12-23
EP2268765A2 (en) 2011-01-05
SG188848A1 (en) 2013-04-30
JP2011517328A (ja) 2011-06-02
WO2009111719A3 (en) 2009-11-12

Similar Documents

Publication Publication Date Title
CN102007196B (zh) 非选择性氧化物蚀刻湿清洁组合物及使用方法
KR101912400B1 (ko) TiN 하드 마스크 및 에치 잔류물 제거
TWI573867B (zh) 具有高wn/w蝕刻選擇性的剝除組合物
TWI592468B (zh) 選擇性移除灰化旋塗玻璃之方法
TWI233942B (en) Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices
JP5349326B2 (ja) 窒化ケイ素の選択的除去のための組成物および方法
CA2544209C (en) Cleaning solutions and etchants and methods for using same
US20230295502A1 (en) Silicon nitride etching composition and method
US20070251551A1 (en) Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
KR102545630B1 (ko) 화학 기계적 연마 후 세정 조성물
CN113950520B (zh) 在半导体器件制造期间相对于p-掺杂硅和硅-锗选择性去除多晶硅的液体组合物
KR20210090294A (ko) 표면으로부터 세리아 입자를 제거하기 위한 조성물 및 방법
CN114667332A (zh) 湿式蚀刻组合物及方法
KR20080111268A (ko) 세정액 조성물 및 이를 이용한 세정방법
EP4225881A1 (en) Microelectronic device cleaning composition
US20230323248A1 (en) Post cmp cleaning composition
US20230159866A1 (en) Microelectronic device cleaning composition
JP2010067982A (ja) エッチング液

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CI01 Publication of corrected invention patent application

Correction item: Inventor

Correct: Brittany Seko

False: Serke Brittany

Number: 44

Volume: 30

CI03 Correction of invention patent

Correction item: Inventor

Correct: Brittany Seko

False: Serke Brittany

Number: 44

Page: The title page

Volume: 30

ERR Gazette correction

Free format text: CORRECT: INVENTOR; FROM: BRITTANY SEARLE TO: BRITTANY SERKE

RECT Rectification
ASS Succession or assignment of patent right

Owner name: ANGES INC.

Free format text: FORMER OWNER: ADVANCED TECHNOLOGY MATERIALS, INC.

Effective date: 20150409

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20150409

Address after: Massachusetts, USA

Patentee after: MYKROLIS Corp.

Address before: American Connecticut

Patentee before: Advanced Technology Materials, Inc.

C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: Massachusetts, USA

Patentee after: Entergris Co.

Address before: Massachusetts, USA

Patentee before: MYKROLIS Corp.

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20141029

Termination date: 20180306

CF01 Termination of patent right due to non-payment of annual fee