KR20150126729A - 비-선택적 산화물 에칭용 습윤 세정 조성물 및 사용 방법 - Google Patents

비-선택적 산화물 에칭용 습윤 세정 조성물 및 사용 방법 Download PDF

Info

Publication number
KR20150126729A
KR20150126729A KR1020157031049A KR20157031049A KR20150126729A KR 20150126729 A KR20150126729 A KR 20150126729A KR 1020157031049 A KR1020157031049 A KR 1020157031049A KR 20157031049 A KR20157031049 A KR 20157031049A KR 20150126729 A KR20150126729 A KR 20150126729A
Authority
KR
South Korea
Prior art keywords
composition
glycol
silicon
acid
fluoride
Prior art date
Application number
KR1020157031049A
Other languages
English (en)
Inventor
프러나 손탈리아
엠마누엘 쿠퍼
데이비드 민섹
펭 장
멜리사 에이 페트러스카
브리타니 세르케
트레이스 쿠엔틴 허드
Original Assignee
인티그리스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인티그리스, 인코포레이티드 filed Critical 인티그리스, 인코포레이티드
Publication of KR20150126729A publication Critical patent/KR20150126729A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/38Cationic compounds
    • C11D1/62Quaternary ammonium compounds
    • C11D11/0047
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2003Alcohols; Phenols
    • C11D3/2041Dihydric alcohols
    • C11D3/2044Dihydric alcohols linear
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2068Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/33Amino carboxylic acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3703Macromolecular compounds obtained otherwise than by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3719Polyamides or polyimides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/263Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3245Aminoacids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Emergency Medicine (AREA)
  • Health & Medical Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Weting (AREA)

Abstract

본 발명은 도핑된 규소-함유 물질의 제거보다 더 높거나 동등한 속도로 비도핑된 규소-함유 물질을 마이크로전자 소자로부터 제거하는 조성물 및 방법에 관한 것이다.

Description

비-선택적 산화물 에칭용 습윤 세정 조성물 및 사용 방법{NON-SELECTIVE OXIDE ETCH WET CLEAN COMPOSITION AND METHOD OF USE}
본 발명은 일반적으로, 도핑된 규소-함유 물질에 비해 비도핑된 규소-함유 물질을 선택적으로 제거하는 조성물에 관한 것이다.
열 산화물(ThOx), CVD-테트라에틸 오르토실리케이트(TEOS), 보로포스포실리케이트 글래스(BPSG), 보로실리케이트 글래스(BSG), 스핀-온 유전체(SOD) 및 포스포실리케이트 글래스(PSG)와 같은 다양한 규소-함유 막이 반도체 제조 공정에서 사용된다. 가장 통상적인 유형들 중 두 가지 유형은 ThOx 및 BPSG이다. 열 산화물은 전형적으로 순수한 이산화규소로 구성되며 절연층이 요구되는 경우에 사용된다. 예를 들어, 전도성 층들을 서로 분리시키기는 데 열 규소 산화물의 얇은 "게이트(gate)" 층들이 종종 사용된다. BPSG 층들은, 붕소 및 인으로 도핑된 규소 산화물로 구성된다. 이들 층은, 하부 층들로 이동하여 층 물질들의 전기적 물성에 부정적인 영향을 주어 장치 신뢰성 저하를 일으킬 수 있는 알칼리 금속 이온 오염 물질들을 "게터링(gettering)"할 목적으로 제공된다.
이들 규소-함유 물질은 기판 표면 위 다수의 패턴화된 층들로 형성되고 높은 종횡비(aspect ratio)와 작은 치수를 갖도록 공학설계된다. 제조 공정 중에, 에칭-후(post-etch) 또는 애슁-후(post-ash) 잔사는 상기 패턴화된 물질들을 손상시키지 않으면서 상기 패턴화된 표면으로부터 제거되어야 한다. 예를 들어, 덜 조밀하게 도핑된 규소-함유 산화물들을 최소로 에칭시키면서 접촉 홀(hole)의 저부에 잔류하는 잔사(주로 ThOx)를 제거하여야 한다. 불리하게도, 에칭 선택성 및/또는 에칭-후 또는 애슁-후 잔사 제거를 위해 고안된 종래 기술의 제거 조성물 및 방법은 비도핑된 규소-함유 물질(예컨대, ThOx)에 비해 도핑된 규소-함유 물질(예컨대, BPSG)의 제거에 유리하였다. 이는 임계적 치수가 불리하게 변경된 패턴을 초래한다.
본 발명은 독특한 에칭 선택성, 낮은 에칭 속도 및 공격적인 세정 능력을 갖는 도핑된 산화물 및 비도핑된 산화물에 대한 "액상 접촉-세정제"의 개발에 초점을 두고 있다.
본 발명은 일반적으로 도핑된 규소-함유 물질보다 더 크거나 실질적으로 동등한 속도로 비도핑된 규소-함유 물질을 제거하기 위한 조성물에 관한 것이다. 바람직한 실시양태에서는, 마이크로전자 소자의 표면에 함께 존재하는 비도핑된 규소-함유 물질에 비해 도핑된 규소-함유 물질을 과잉 에칭시키지 않고 상기 소자의 표면으로부터 에칭-후 및/또는 애슁-후 잔사를 제거하기 위한 조성물 및 방법을 개시한다.
한 양태에서는, 1종 이상의 플루오라이드 공급원, 1종 이상의 글리콜 용매, 1종 이상의 킬레이트화제 및 1종 이상의 중합체 종을 포함하되, 첨가수(added water)가 실질적으로 없는 습윤 세정 조성물이 기술된다.
또 다른 양태에서는, 1종 이상의 플루오라이드 공급원, 1종 이상의 글리콜 용매, 1종 이상의 킬레이트화제, 1종 이상의 중합체 종 및 1종 이상의 장쇄 알킬 4급 암모늄 화합물을 포함하되, 첨가수가 실질적으로 없는 습윤 세정 조성물이 기술된다.
또 다른 양태에서는, 암모늄 플루오라이드, 에틸렌 글리콜, 이미노다이아세트산 및 폴리에틸렌이민 중합체를 포함하는 습윤 세정 조성물이 기술된다.
또 다른 양태에서는, 암모늄 플루오라이드, 에틸렌 글리콜, 이미노다이아세트산, 폴리에틸렌이민 중합체 및 장쇄 알킬 4급 암모늄 화합물을 포함하는 습윤 세정 조성물이 기술된다.
또 다른 양태는 도핑된 규소-함유 물질에 비해 비도핑된 규소-함유 물질을 선택적으로 제거하는 방법에 관한 것으로, 상기 방법은 접촉 조건 하에서 상부에 비도핑 및 도핑된 규소-함유 물질을 갖는 마이크로전자 소자를 습윤 세정 조성물과 접촉시키는 단계를 포함하고, 이때 상기 습윤 세정 조성물은 1종 이상의 플루오라이드 공급원, 1종 이상의 글리콜 용매, 1종 이상의 킬레이트화제 및 1종 이상의 중합체 종을 포함하되, 물이 실질적으로 없다. 상기 조성물은 1종 이상의 장쇄 알킬 4급 암모늄 화합물을 추가로 포함할 수 있다.
또 다른 양태는 마이크로전자 소자로부터 에칭-후 및/또는 애슁-후 잔사를 제거하는 방법에 관한 것으로, 상기 방법은 접촉 조건 하에서 상부에 에칭-후 및/또는 애슁-후 잔사를 갖는 마이크로전자 소자를 습윤 세정 조성물과 접촉시키는 단계를 포함하고, 이때 상기 습윤 세정 조성물은 1종 이상의 플루오라이드 공급원, 1종 이상의 글리콜 용매, 1종 이상의 킬레이트화제 및 1종 이상의 중합체 종을 포함하되, 물이 실질적으로 없고, 상기 소자 상에 존재하는 비도핑된 규소-함유 물질의 에칭 속도는 상기 소자 상에 존재하는 도핑된 규소-함유 물질의 에칭 속도보다 크거나 실질적으로 동일하다. 상기 조성물은 1종 이상의 장쇄 알킬 4급 암모늄 화합물을 추가로 포함할 수 있다.
또 다른 양태에서는, 조성물을 형성하기 위한 하기 시약들 중 하나 이상을 하나 이상의 용기에 포함하는 키트(kit)가 기술되고, 이때 상기 조성물은 1종 이상의 플루오라이드 공급원, 1종 이상의 글리콜 용매, 1종 이상의 킬레이트화제 및 1종 이상의 중합체 종을 포함하고, 상기 키트는 에칭-후 잔사, 애슁-후 잔사, 도핑된 규소-함유 물질, 비도핑된 규소-함유 물질 및 이들의 조합을 제거하기 위한 조성물을 형성하도록 개조된다.
다른 양태, 특징 및 장점들은 하기의 개시내용 및 첨부된 특허청구범위로부터 더욱 충분히 명백해질 것이다.
도 1은, 45℃에서 4분 동안 제형 B에 의해 처리된, 4 KÅ TEOS 및 4.5 KÅ PSG로 제조된 "벽(wall)"을 갖는 약 500 Å의 PE-CVD SiN 베이스로 이루어진 스택(stack)의 C/S SEM 이미지를 도시한다.
도 2는 제형 B(45℃/4분)를 사용하여 세정시킨 도 1의 것과 유사한 스택의 C/S SEM 이미지를 도시한다.
도 3은 묽은 HF(45℃/4분)를 사용하여 세정시킨 도 1의 것과 유사한 스택의 C/S SEM 이미지를 도시한다.
본 발명은 일반적으로 마이크로전자 소자로부터 에칭-후 및/또는 애슁-후 잔사의 제거를 위한 습윤 세정 조성물 및 방법에 관한 것으로, 상기 소자 상에 존재하는 비도핑된 규소-함유 물질의 에칭 속도는 상기 소자 상에 존재하는 도핑된 규소-함유 물질의 에칭 속도보다 크거나 실질적으로 동일하다. 바람직하게는, 상기 습윤 세정 조성물은 상기 접촉 프로파일 또는 상기 소정 패턴의 임계적 치수에 영향을 최소로 주고 규소 및 금속과 병립가능하고(compatible), 상기 후처리(post-process) 접촉 표면은 소수성 또는 친수성이고 안정하다.
참조의 용이를 위해, "마이크로전자 소자"란 마이크로전자, 집적 회로 또는 컴퓨터 칩 적용례에 사용하기 위해 제조된 반도체 기판, 태양 전지(광기전력), 평판 디스플레이 및 마이크로전자기계 시스템(MEMS)을 나타낸다. "마이크로전자 소자", "마이크로전자 기판" 및 "마이크로전자 소자 구조물"이란 용어는 여하한 방식으로든 제한적인 의미는 아니며 결국에는 마이크로전자 소자 또는 마이크로전자 어셈블리로 되는 임의의 기판 또는 구조물을 포함하는 것으로 이해되어야 한다. 이러한 마이크로전자 소자는 패턴화, 블랭킷되거나, 제어 및/또는 시험 소자일 수 있다.
본원에 사용된 "약"이란 용어는 언급된 값의 ±5%를 나타내는 것으로 의도된다.
본원에 사용된 "비도핑된 규소-함유 물질" 또는 "고밀도 유전체 물질"이란 붕소, 붕소 다이플루오라이드, 인, 비소, 갈륨, 안티몬, 탄소, 질소 및 인듐과 같은 "도판트"가 실질적으로 없는 실리케이트 물질을 나타낸다. 비도핑된 규소-함유 물질의 예로는, 침착된 방법과는 무관하게, 열 산화물, 고밀도 플라즈마 침착된 산화물 및 TEOS를 들 수 있으나, 이들에 국한되지 않는다. "도핑된 규소-함유 물질" 또는 "저밀도 유전체 물질"이란 BSG, PSG, BPSG, FSG(플루오로실리케이트 글래스), SiCOH, SiON, SiCON, 탄소-도핑된 산화물(CDO) 및 SOD를 포함하나, 이들에 국한되지 않는 규소 산화물 물질을 나타낸다. 상기 유전체 물질은 게르마늄을 추가로 포함할 수 있음을 알아야 한다.
본원에 사용된 "SOD" 및 "스핀-온 글래스(SOG)"는 동의어이다.
본원에 정의된 "실질적으로 없는"이란 조성물의 총 중량을 기준으로 상기 조성물의 약 2 중량% 미만, 더욱 바람직하게는 1 중량% 미만, 가장 바람직하게는 0.1 중량% 미만을 나타낸다.
본원에 정의된 "첨가수"란 본 발명의 조성물의 사용자 또는 제조자에 의해 첨가된 물을 나타낸다. 첨가수는, 함께 혼합되어 본 발명의 조성물, 또는 흡습성 물을 형성하는 상업적 화학 물질에서 종종 발견되는 물을 나타내는 것은 아니다.
본원에 정의된 "실질적으로 동등한"이란 도핑된 규소-함유 물질의 에칭 속도(Å/분)가 비도핑된 규소-함유 물질의 에칭 속도와 동일하거나 그의 ±40%임을 나타낸다.
조성물은, 이하에서 더욱 충분히 기술되는 바와 같이, 다양한 특정 제형으로 구체화될 수 있다.
상기 조성물의 특정 성분들이 "0"의 하한치를 비롯한 중량% 범위를 기준으로 논의되는 이와 같은 모든 조성물에서, 상기 성분들은 상기 조성물의 다양한 특정 실시양태에서 존재 또는 부재할 수 있고, 상기 성분들이 존재하는 예에서, 이들은 이들이 사용된 조성물의 총 중량을 기준으로 0.001 중량% 정도로 낮은 농도로 존재할 수 있다.
한 양태에서는, 1종 이상의 플루오라이드 공급원, 1종 이상의 유기 용매, 1종 이상의 킬레이트화제 및 1종 이상의 중합체 종을 포함하거나, 이들로 이루어지거나, 이들로 본질적으로 이루어진 습윤 세정 조성물이 기술되며, 이때 상기 조성물은 첨가수가 실질적으로 없다. 바람직한 실시양태에서, 1종 이상의 플루오라이드 공급원, 1종 이상의 글리콜 용매, 1종 이상의 킬레이트화제 및 1종 이상의 중합체 종을 포함하거나, 이들로 이루어지거나, 이들로 본질적으로 이루어진 습윤 세정 조성물이 기술되며, 이때 상기 조성물은 첨가수가 실질적으로 없다.
상기 1종 이상의 플루오라이드 공급원은 제논 다이플루오라이드; 펜타메틸다이에틸렌트라이암모늄 트라이플루오라이드; 암모늄 바이플루오라이드; 트라이에틸아민 트라이하이드로플루오라이드; 알킬 수소 플루오라이드(NRH3F)(여기서, R은 수소 및 C1-C4 알킬(예컨대, 메틸, 에틸, 프로필, 부틸)로부터 선택됨); 다이알킬암모늄 수소 플루오라이드(NR2H2F)(여기서, R은 수소 및 C1-C4 알킬로부터 각각 독립적으로 선택됨); 트라이알킬암모늄 수소 플루오라이드(NR3HF)(여기서, R은 수소 및 C1-C4 알킬로부터 각각 독립적으로 선택됨); 트라이알킬암모늄 삼수소 플루오라이드(NR3:3HF)(여기서, R은 수소 및 C1-C4 알킬로부터 각각 독립적으로 선택됨); 화학식 R4NF의 암모늄 플루오라이드(여기서, R은 수소, C1-C4 알킬 및 C1-C4 알칸올(예컨대, 메탄올, 에탄올, 프로판올, 부탄올)로부터 각각 독립적으로 선택됨) 예컨대 암모늄 플루오라이드, 테트라메틸암모늄 플루오라이드, 트라이에탄올암모늄 플루오라이드, 테트라에틸암모늄 플루오라이드; 및 이들의 조합으로 이루어진 군으로부터 선택되는 종을 포함할 수 있다.
상기 1종 이상의 글리콜 용매는 에틸렌 글리콜, 프로필렌 글리콜, 다이에틸렌 글리콜, 다이프로필렌 글리콜, 글리세롤, 모노글리세라이드, 다이글리세라이드, 글리콜 에터 및 이들의 조합으로 이루어진 군으로부터 선택되는 글리콜 용매를 포함할 수 있으며, 이때 상기 글리콜 에터는 다이에틸렌 글리콜 모노메틸 에터, 트라이에틸렌 글리콜 모노메틸 에터, 다이에틸렌 글리콜 모노에틸 에터, 트라이에틸렌 글리콜 모노에틸 에터, 에틸렌 글리콜 모노프로필 에터, 에틸렌 글리콜 모노부틸 에터, 다이에틸렌 글리콜 모노부틸 에터(즉, 부틸 카비톨), 트라이에틸렌 글리콜 모노부틸 에터, 에틸렌 글리콜 모노헥실 에터, 다이에틸렌 글리콜 모노헥실 에터, 에틸렌 글리콜 페닐 에터, 프로필렌 글리콜 메틸 에터, 다이프로필렌 글리콜 메틸 에터, 트라이프로필렌 글리콜 메틸 에터, 다이프로필렌 글리콜 다이메틸 에터, 다이프로필렌 글리콜 에틸 에터, 프로필렌 글리콜 n-프로필 에터, 다이프로필렌 글리콜 n-프로필 에터(DPGPE), 트라이프로필렌 글리콜 n-프로필 에터, 프로필렌 글리콜 n-부틸 에터, 다이프로필렌 글리콜 n-부틸 에터, 트라이프로필렌 글리콜 n-부틸 에터, 프로필렌 글리콜 페닐 에터 및 이들의 조합으로 이루어진 군으로부터 선택되는 종을 포함한다.
상기 1종 이상의 킬레이트화제는 β-다이케토네이트 화합물 예컨대 아세틸아세토네이트, 1,1,1-트라이플루오로-2,4-펜탄다이온 및 1,1,1,5,5,5-헥사플루오로-2,4-펜탄다이온; 카복실레이트 예컨대 포메이트 및 아세테이트 및 다른 장쇄 카복실레이트; 아민 및 아미노산 예컨대 글리신, 세린, 프롤린, 류신, 알라닌, 아스파라긴, 아스파르트산, 글루타민, 발린 및 라이신; 이미노다이아세트산(IDA), 말론산, 옥살산, 석신산, 붕산, 니트릴로트라이아세트산, 말산, 시트르산, 아세트산, 말레산, 2,4-펜탄다이온, 벤즈알코늄 클로라이드, 1-이미다졸로부터 선택되는 다양성자산; 및 이들의 조합을 포함할 수 있다. 추가적인 킬레이트화제로는 포스폰산, 포스폰산 유도체 예컨대 하이드록시에틸리덴 다이포스폰산(HEDP), 1-하이드록시에탄-1,1-다이포스폰산, 니트릴로-트리스(메틸렌포스폰산), 에티드론산, 에틸렌다이아민, 에틸렌다이아민테트라아세트산(EDTA) 및 (1,2-사이클로헥실렌다이니트릴로)테트라아세트산(CDTA), 요산, 테트라글림, 펜타메틸다이에틸렌트라이아민(PMDETA), 1,3,5-트라이아진-2,4,6-티티올 트라이소듐 염 용액, 1,3,5-트라이아진-2,4,6-티티올 트라이암모늄 염 용액, 소듐 다이에틸다이티오카바메이트, 하나의 알킬기(R2=헥실, 옥틸, 데실 또는 도데실)와 하나의 올리고에터(R1(CH2CH2O)2, 여기서 R1은 에틸 또는 부틸임)에 의해 2치환된 다이티오카바메이트(R1(CH2CH2O)2NR2CS2Na), 암모늄 설페이트, 모노에탄올아민(MEA), 디퀘스트(Dequest) 2000, 디퀘스트 2010, 디퀘스트 2060s, 다이에틸렌트라이아민 펜타아세트산, 프로필렌다이아민 테트라아세트산, 2-하이드록시피리딘 1-옥사이드, 에틸렌다이아민 다이석신산, 소듐 트라이포스페이트 펜타 베이직, 및 이들 서로 간 또는 상기 β-다이케토네이트 화합물, 카복실레이트, 아민 및 아미노산 또는 상기 정의된 다양성자산과의 조합물을 들 수 있다.
이론에 구애받고자 하는 것은 아니지만, 상기 1종 이상의 중합체 종은 더 우수한 표면 피복률을 위해 첨가되어, 상기 막에 대한 개선된 표면 보호 및 더욱 제어된 에칭 속도를 낳는 것으로 생각된다. 바람직하게는, 상기 중합체 종은 양이온성 계면활성제이고 폴리프로필렌이민 덴드리머(예컨대, 폴리프로필렌이민 테트라아민 덴드리머, 폴리프로필렌이민 옥타아민 덴드리머, 폴리프로필렌이민 헥사데카아민 덴드리머, 폴리프로필렌이민 도트라이아콘타아민 덴드리머, 폴리프로필렌이민 테트라헥사콘타아민 덴드리머), 폴리(비닐 아민), 폴리아민, 폴리이미드아민, 폴리에틸이민, 폴리아미드아민, 폴리 4급 아민, 폴리비닐 아미드, 폴리아크릴아미드, 선형 또는 분지형 폴리에틸렌이민, 및 상술된 단독중합체를 포함하거나 이들로 이루어질 수 있는 공중합체 중 하나 이상을 포함할 수 있으며, 이때 상기 공중합체는 양이온성이거나 양이온성이 아닐 수 있다. 상기 중합체 종이 폴리에틸렌이민을 포함하는 경우, 이는 폴리에틸렌이민, 에틸렌다이아민-에틸렌이민 공중합체, 하이드록실화된 폴리에틸렌이민, 개질된 폴리에틸렌이민 및 이들의 조합으로 이루어진 군으로부터 선택될 수 있다. 중합체 종의 예로는 루파솔(Lupasol(등록 상표))(바스프(BASF)) 및 에포민(Epomin(등록 상표))(니폰 쇼쿠바이(Nippon Shokubai))을 들 수 있다.
바람직하게는, 상기 습윤 세정 조성물의 pH는 약 4 내지 약 9, 바람직하게는 약 5 내지 약 9의 범위이다.
한 실시양태에서, 상기 조성물은 다이사이클로헥실아민, 펜타메틸다이에틸렌트라이아민, 다이글리콜아민, 피리딘, 2-에틸피리딘, 2-메톡시피리딘 및 이들의 유도체 예컨대 3-메톡시피리딘, 2-피콜린, 피리딘 유도체, 다이메틸피리딘, 피페리딘, 피페라진, 트라이에틸아민, 트라에탄올아민, 에틸아민, 메틸아민, 아이소부틸아민, 3급-부틸아민, 트라이부틸아민, 다이프로필아민, 다이메틸아민, 모노에탄올아민, 피롤, 아이속사졸, 1,2,4-트라이아졸, 바이피리딘, 피리미딘, 피라진, 피리다진, 퀴놀린, 아이소퀴놀린, 인돌, 이미다졸, 1-메틸이미다졸, 다이아이소프로필아민, 다이아이소부틸아민, 아닐린, 아닐린 유도체 또는 이들의 조합을 포함하나, 이들에 국한되지 않는 1종 이상의 아민을 추가로 포함하거나, 이들로 이루어지거나, 이들로 본질적으로 이루어질 수 있다.
한 실시양태에서, 상기 습윤 세정 조성물은 중량% 비로 제공된 하기 성분들을 포함한다:
Figure pat00001
또 다른 실시양태에서, 상기 습윤 세정 조성물은 중량% 비로 제공된 하기 성분들을 포함한다:
Figure pat00002
한 실시양태에서, 상기 습윤 세정 조성물은 암모늄 플루오라이드, 에틸렌 글리콜, 이미노다이아세트산 및 폴리에틸렌이민 중합체를 포함하거나, 이들로 이루어지거나, 이들로 본질적으로 이루어질 수 있다. 바람직하게는, 상기 폴리에틸렌이민 중합체는 루파솔(등록 상표) G20을 포함한다.
또 다른 실시양태에서, 상기 제헝은, 상기 조성물에 첨가되어 다음의 통합 단계를 위한 소수성 표면 및 증가된 대기 시간을 부여하는 장쇄 알킬 4급 암모늄 화합물을 추가로 포함한다. 바람직하게는, 상기 장쇄 알킬 4급 암모늄 화합물은 클로라이드 음이온과 조합된 트라이카프릴 메틸 암모늄 양이온[C25H54N+]을 포함하지만, 세틸 트라이메틸 암모늄 브로마이드(CTAB), 도데실 트라이메틸 암모늄 클로라이드, 헥사데실 트라이메틸 암모늄 클로라이드, 다이옥틸 다이메틸 암모늄 클로라이드 및 폴리(알릴 다이메틸 암모늄) 클로라이드를 비롯한 1 또는 2개의 긴 알킬 쇄를 갖는 다른 트라이카프릴 및 트라이옥틸 메틸 암모늄 양이온[C25H54N+]-함유 화합물 및 염들 또한 고려된다. 상기 장쇄 알킬 기는 포화 또는 불포화될 수 있다. 한 실시양태에서, 상기 장쇄 알킬 4급 암모늄 화합물은 앨리쿼트(Aliquat) 336(코그니스 코포레이션(Cognis Corp.)의 상표)를 포함한다. 따라서, 특히 바람직한 실시양태에서, 상기 조성물은 1종 이상의 플루오라이드 공급원, 1종 이상의 글리콜 용매, 1종 이상의 킬레이트화제, 1종 이상의 중합체 종 및 1종 이상의 장쇄 4급 암모늄 화합물을 포함하거나, 이들로 이루어지거나, 이들로 본질적으로 이루어진다. 예를 들어, 상기 조성물은 암모늄 플루오라이드, 에틸렌 글리콜, 아미노다이아세트산, 폴리에틸렌이민 중합체 및 장쇄 알킬 4급 암모늄 화합물을 포함하거나, 이들로 이루어지거나, 이들로 본질적으로 이루어질 수 있다. 바람직하게는, 상기 폴리에틸렌이민 중합체는 루파솔(등록 상표) G20을 포함한다. 또 다른 바람직한 실시양태에서, 상기 장쇄 알킬 4급 암모늄 화합물은 앨리쿼트 336을 포함한다. 상기 습윤 세정 조성물은 중량% 비로 제공된 하기 성분들을 포함한다:
Figure pat00003
바람직하게는, 본 발명의 습윤 세정 조성물은 첨가수 및 첨가된 HF가 없거나 실질적으로 없다. 또한, 상기 습윤 세정 조성물은 바람직하게는 산화제, 연마제 물질, 강산 및 강염기가 없다.
상기 습윤 세정 조성물은 각각의 성분들을 단순히 첨가하고 균질한 조건으로 혼합함으로써 용이하게 제형화된다. 더욱이, 상기 조성물은 사용 시점에서 혼합되는 단일-패키지 제형 또는 다중-부분 제형으로서 용이하게 제형화될 수 있다. 상기 다중-부분 제형의 개별 부분들은 상기 툴(tool)에서 혼합되거나 상기 툴 상류의 저장 탱크에서 혼합될 수 있다. 상기 개별 성분들의 농도는 특정 복수 개의 조성물에서 매우 다양할 수 있고, 예를 들어 보다 묽거나 보다 농축된 것일 수 있고, 상기 조성물은 본원의 개시내용과 일치하는 성분들의 임의의 조합을 다양하게 또는 달리 포함하거나, 이들로 이루어지거나, 이들로 본질적으로 이루어질 수 있다. 예를 들어, 플로라이드 공급원에 대한 킬레이트화제 및 플루오라이드 공급원에 대한 중합체 종을 상기 기재된 중량% 비로 갖는 농축물을 제조할 수 있고, 사용자는 플루오라이드 공급원에 대한 글리콜 용매의 중량% 비가 달성될 때까지 상기 조성물을 글리콜 용매로 희석시킬 수 있다.
또 다른 양태는 본원에 기술된 습윤 세정 조성물을 형성하도록 개조된 하나 이상의 성분들을 하나 이상의 용기에 포함하는 키트에 관한 것이다. 상기 키트는 제조시, 1종 이상의 플루오라이드 공급원, 1종 이상의 글리콜 용매, 1종 이상의 킬레이트화제, 1종 이상의 중합체 종, 임의적으로 1종 이상의 아민 및 임의적으로 1종 이상의 장쇄 알킬 4급 암모늄 화합물을, 그대로 또는 희석제(예컨대, 추가적인 글리콜 용매)와 함께 조합하여, 하나 이상의 용기에 포함할 수 있다.
키트 내에 담긴 성분(들)을 저장 및 분배하기 위해서는 상기 키트의 용기를 화학적으로 정격화하여야 한다. 예를 들어, 상기 키트의 용기는 나우팩(NOWPak(등록 상표)) 용기(미국 코넷티컷주 댄버리에 소재한 어드밴스드 테크놀로지 머티리얼즈 인코포레이티드(Advanced Technology Materials, Inc.))일 수 있다. 상기 제거 조성물의 성분들을 함유하는 하나 이상의 용기들은 바람직하게는 상기 하나 이상의 용기 내의 성분들을 유체 소통시켜 블렌딩 및 분배하도록 하는 수단을 포함한다. 예를 들어, 나우팩(등록 상표) 용기를 참조하면, 상기 하나 이상의 용기 라이너의 외측에 기체 압력을 가하여 상기 라이너의 내용물의 적어도 일부가 방출되도록 하고, 이에 따라 블렌딩 및 분배를 위해 유체 소통할 수 있도록 한다. 다르게는, 통상적인 가압가능한 용기의 헤드 공간에 기체 압력을 가하거나 펌프를 사용하여, 유체 소통시킬 수도 있다. 또한, 상기 시스템은 바람직하게는 상기 블렌딩된 습윤 세정 조성물을 공정 툴로 분배하기 위한 분배용 포트를 포함한다.
실질적으로 화학적으로 불활성이고 불순물-부재이면서 가요성이고 탄성인 중합체성 필름 물질, 예컨대 고밀도 폴리에틸렌을 사용하여 상기 하나 이상의 용기용 라이너를 제조하는 것이 바람직하다. 공-압출 또는 차단 층을 필요로 하지 않고, 또한 라이너에 분배되는 성분들에 대한 순도 요건에 부정적인 영향을 줄 수 있는 임의의 안료, UV 억제제 또는 가공제 없이 바람직한 라이너 물질이 가공 처리된다. 바람직한 라이너 물질의 예로는 순수 (무-첨가제) 폴리에틸렌, 순수 폴리테트라플루오로에틸렌(PTFE), 폴리프로필렌, 폴리우레탄, 폴리비닐리덴 클로라이드, 폴리비닐클로라이드, 폴리아세탈, 폴리스타이렌, 폴리아크릴로니트릴, 폴리부틸렌 등을 포함하는 필름을 들 수 있다. 이와 같은 라이너 물질의 바람직한 두께는 약 5 밀(0.005 인치) 내지 약 30 밀(0.030 인치)의 범위이고, 예컨대 20 밀(0.020 인치)의 두께이다.
상기 키트를 위한 용기에 대해, 하기 특허 및 특허출원의 개시내용들을 그들 각각 전체로 본원에 참고로 인용한다: 미국 특허 제 7,188,644 호(명칭: "초순수 액체에서의 입자 발생을 최소화하는 장치 및 방법"); 미국 특허 제 6,698,619 호(명칭: "회수가능하고 재사용가능한 백-인-드럼 유체 저장 및 분배용 용기 시스템"); 및 존 이큐 휴즈(Jhon E.Q. Hughes)의 이름으로 2007년 5월 9일자로 출원된 미국 특허출원 제 60/916,966 호(명칭: "물질 블렌딩 및 분배를 위한 시스템 및 방법") 및 어드밴스드 테크놀로지스 머티리얼즈 인코포레이티드의 이름으로 2008년 5월 9일자로 출원된 PCT/US08/63276(명칭: "물질 블렌딩 및 분배를 위한 시스템 및 방법").
마이크로전자 소자 제조 공정의 작업에 적용시, 본 발명의 습윤 세정 조성물은 (i) 상기 마이크로전자 소자의 표면으로부터 저밀도 유전체 물질(예컨대, 도핑된 규소-함유 물질)에 비해 고밀도 유전체 물질(예컨대, 비도핑된 규소-함유 물질)을 선택적으로 제거하고/하거나, (ii) 상기 마이크로전자 소자의 표면으로부터 에칭-후 및/또는 애슁-후 잔사를 제거하는 데 유용하게 이용되며, 이때 상기 소자 상에 존재하는 비도핑된 규소-함유 물질의 에칭 속도는 그 위에 또한 존재하는 도핑된 규소-함유 물질의 그것보다 크거나 그와 실질적으로 동일하다. 중요하게는, 본 발명의 습윤 세정 조성물은 상기 마이크로전자 소자 상에 역시 존재하는 하부 층들, 예컨대 금속(들) 및 규소와 상용성이 있다.
본원에 기술된 조성물은 1-단계 또는 다-단계 제거 공정으로 사용될 수 있음은 당해 분야 숙련자는 알 수 있을 것이다. 바람직하게는, 상기 제거될 물질은 1-단계 공정으로 제거된다.
세정 적용에서, 상기 습윤 세정 조성물은 상부에 제거할 물질을 가진 마이크로전자 소자에, 예를 들어 상기 조성물을 상기 소자의 표면에 분무하거나, 제거할 물질을 포함하는 상기 소자의 (상기 조성물 체적에) 침지에 의하거나, 상기 소자를, 상부에 조성물이 흡수된 또 다른 물질 예컨대 패드 또는 섬유질 흡수제 애플리케이터 요소와 접촉시키거나, 제거할 물질을 포함하는 상기 소자를 순환 조성물과 접촉시키거나, 상기 습윤 세정 조성물이 상기 마이크로전자 소자 상의 제거할 물질과 접촉시키도록 하는 임의의 다른 적합한 수단, 방식 또는 기법에 의한 임의의 적합한 방식으로 적용된다. 상기 세정 적용은 당해 분야 숙련자에 의해 용이하게 결정되는 바와 같이 정적 및/또는 동적일 수 있다. 더욱이, 상기 공정은 배치(batch) 또는 단일 웨이퍼 시스템을 위한 것일 수 있다. 상기 습윤 세정 조성물을 상기 제거할 물질과 접촉시킨 후에, 상기 습윤 세정 조성물은, 상기 습윤 세정 조성물에 현탁 및/또는 용해될 수 있는, 도핑된 규소-함유 물질, 비도핑된 규소-함유 물질, 에칭-후 잔사, 애슁-후 잔사 및 이들의 조합으로 이루어진 군으로부터 선택되는 잔사 물질을 추가로 포함할 수 있다.
상부에 물질을 가진 마이크로전자 소자로부터 물질을 제거하기 위한 조성물의 사용에 있어서, 상기 습윤 세정 조성물은 전형적으로 약 20 내지 약 60℃, 바람직하게는 약 30 내지 50℃ 범위의 온도와 같은 충분한 조건에서 약 30초 내지 약 10분, 바람직하게는 약 90초 내지 7분의 충분한 시간 동안 상기 표면과 접촉된다. 이와 같은 접촉 시간 및 온도는 예시적인 것으로, 임의의 다른 적합한 시간 및 온도 조건을 이용할 수 있다.
에칭 타겟으로는 ThOx, BPSG, PSG, BSG 및 SOD를 들 수 있으나, 이들에 국한되지 않는다. 바람직하게는, 전류 일에 대한 에칭 타겟은 ThOx: 약 1 내지 약 20 Å분-1, 바람직하게는 약 2 내지 약 10 Å분-1이고, 이때 BPSG 대 THOx의 선택도 비는 약 35 내지 약 50℃ 범위의 온도에서 약 0.4:1 내지 약 1:1, 바람직하게는 약 0.4:1 내지 약 0.6:1이고, PSG 대 ThOx의 선택도 비는 약 0.5:1 내지 약 2.5:1, 바람직하게는 약 1:1 내지 약 1.4:1이고, SOD 대 ThOx의 선택도 비는 약 0.5:1 내지 약 1.4:1, 바람직하게는 약 1:1 내지 약 1.4:1의 범위이며, 이때 상기 BPSG는 3.6 내지 4.0% B 및 3.3 내지 3.7% P를 포함하고, 상기 SOG는 유기 SOG이고, PSG는 3.3 내지 3.7% P를 포함한다. 상기 도핑 및 비도핑된 산화물에 대해 가장 잘 관찰된 선택도는 1:1 이하이다.
유리하게는, 본원에 기술된 조성물은 도핑 및 비도핑된 규소-함유 물질을 제어가능하게 제거한다. 또한, 상기 조성물은, 상기 조성물을 보다 용이하게 처분하게 만드는 유기 용매 외의 성분들을 매우 소량 갖는다. 예를 들어, 상기 조성물은 바람직하게는 99 중량% 초과의 유기 용매를 포함한다.
이하에서는 본 발명의 특징 및 이점들이 하기 비-제한적인 실시예들에 의해 더욱 충실히 설명되며, 여기서 모든 부 및 백분율은 달리 명백히 언급되지 않는 한 중량을 기준으로 한다.
실시예 1
조성물 A: 0.15 중량% IDA, 0.04 중량% 루파솔(등록 상표) G20, 0.25 중량% 암모늄 플루오라이드, 99.56 중량% 에틸렌 글리콜.
블랭킷된 BPSG(3000 Å + 어닐(anneal), 3.6 내지 4.0% B, 3.3 내지 3.7% P), HDP(6000 Å), TEOS(2000 Å), 폴리규소, ThOx(4000 Å), SOG(유기 SOG, 4500 Å), SiN(LPCVD(2000 Å)) 및 PECVD(4000 Å), W(3000 Å), TiN(1000 Å) 및 PSG(4500 Å + 어닐, 3.3 내지 3.7% P)를 진탕하지 않고 기재된 시간 동안 30℃에서 조성물 A에 침지시키고, 표 1의 결과를 얻었다. 관찰되는 바와 같이, 대부분의 물질에 대한 선택도는 ThOx의 것과 유사하고 저항성 때문에, 상기 금속 및 금속 합금은 상기 조성물에 의해 영향을 받지 않는다.
Figure pat00004
실시예 2
조성물 B: 0.15 중량% IDA, 0.04 중량% 루파솔(등록 상표) G20, 0.25 중량% 암모늄 플루오라이드, 0.06 중량 앨리쿼트 336 및 99.50 중량% 에틸렌 글리콜.
블랭킷된 BPSG(3000 Å + 어닐, 3.6 내지 4.0% B, 3.3 내지 3.7% P), HDP(6000 Å), TEOS(2000 Å), 폴리규소, ThOx(4000 Å), SOG(유기 SOG, 4500 Å), SiN(LPCVD(2000 Å)) 및 PECVD(4000 Å), W(3000 Å), TiN(1000 Å) 및 PSG(4500 Å + 어닐, 3.3 내지 3.7% P)를 진탕하지 않고 기재된 시간 동안 30℃에서 조성물 B에 침지시키고, 표 2의 결과를 얻었다. 관찰되는 바와 같이, 대부분의 물질에 대한 선택도는 ThOx의 것과 유사하고 저항성 때문에, 상기 금속 및 금속 합금은 상기 조성물에 의해 영향을 받지 않는다.
Figure pat00005
비교를 위해, 블랭킷된 BPSG(3000 Å + 어닐, 3.6 내지 4.0% B, 3.3 내지 3.7% P), HDP(6000 Å), TEOS(2000 Å), 폴리규소, ThOx(4000 Å), SOG(유기 SOG, 4500 Å), SiN(LPCVD(2000 Å)) 및 PECVD(4000 Å), W(3000 Å), TiN(1000 Å) 및 PSG(4500 Å + 어닐, 3.3 내지 3.7% P)를 진탕하지 않고 30분 동안 30℃에서 묽은 플루오르화수소산(DHF)에 침지시키고, 표 3의 결과를 얻었다.
Figure pat00006
비도핑된 규소-함유 물질의 에칭 속도가 도핑된 규소-함유 물질의 에칭 속도보다 크거나 실질적으로 동일한 것이 바람직하지만, 상기 도핑된:비도핑된 에칭 속도 비가 1을 초과할 것임을 알아야 한다. 예를 들어, DHF에 의한 PSG:ThOx의 에칭 속도는 5.89:1이지만, 이는 제형 B 사용시 2.16:1로 상당히 감소되었다. 상기 도핑된:비도핑된 에칭 속도 비가 여전히 1:1을 초과할지라도, 이러한 감소 역시 유리할 수 있다.
실시예 3
열 산화물에 대한 저 또는 고 에너지 도핑의 함수로서의 도핑된 폴리규소의 에칭 속도를 제형 B를 사용하여 결정하였다. As 75(저)-, As 75(고)-, P 31(저)-, P 31 (고)-, BF2(저)- 및 BF2(고)-도핑된 폴리규소의 샘플들을 30분 동안 30℃에서 제형 B에 침지시키고 각각의 에칭 속도를 측정하였다. 열 산화물에 대한 도핑된 물질 각각의 선택도를 표 4에 제공하였으며, 여기서 각각의 필름은 열 산화물에 동등하거나 그보다 더 낮은 속도로 에칭됨을 알 수 있다.
Figure pat00007
실시예 4
또한, 상기 제형들의 애슁-후 및 접촉-홀 잔사 제거 능력을 또한 연구하였다. 도 1은 4분 동안 45℃에서 제형 B에 의해 처리된 쿠폰(coupon)의 C/S SEM 이미지를 도시한 것으로, 이는 약 20 Å의 ThOx를 제거시켰다. 또한, 4 KÅ TEOS 및 4.5 KÅ PSG로 제조된 "벽"을 갖는 약 500 Å의 PE-CVD SiN 베이스로 이루어진 패턴의 스택 구조가 도 1에 도시된다.
도 2 및 3은 각각 제형 B(45℃/4분) 및 묽은 플루오르화수소산(DHF)(25℃/4분)을 사용하여 세정된 유사한 구조의 쿠폰의 C/S SEM 이미지를 도시한 것이다. 상기 DHF에 의해 세정된 쿠폰은 약 13.6 Å의 ThOx가 제거되었고, 애슁-후 잔사는 완전히 제거되지 않았으며, 상기 CD는 약간 저하되었음을 알 수 있다.
실시예 5
하기와 같이 추가의 조성물을 제형화하였다:
조성물 C: 0.18 중량% 석신산, 0.05 중량% 루파솔(등록 상표) G20, 0.30 중량% 암모늄 플루오라이드 및 99.47 중량% 프로필렌 글리콜.
조성물 D: 0.18 중량% 석신산, 0.05 중량% 루파솔(등록 상표) G20, 0.15 중량% 암모늄 플루오라이드, 0.15 중량% 암모늄 바이플루오라이드 및 99.47 중량% 프로필렌 글리콜.
조성물 E: 0.4 중량% IDA, 0.14 중량% 폴리(알릴아민), 0.70 중량% 암모늄 플루오라이드 및 98.76 중량% 에틸렌 글리콜.
조성물 F: 0.4 중량% IDA, 0.14 중량% 폴리(알릴아민), 0.70 중량% 암모늄 플루오라이드, 0.2 중량% CTAB 및 98.56 중량% 에틸렌 글리콜.
조성물 G: 0.4 중량% IDA, 0.14 중량% 폴리(알릴아민), 0.70 중량% 암모늄 플루오라이드, 0.15 중량% 앨리쿼트 336 및 98.61 중량% 프로필렌 글리콜.
조성물 H: 0.2 중량% 석신산, 0.07 중량% 루파솔(등록 상표) G20, 0.35 중량% 암모늄 플루오라이드, 0.10 중량% CTAB 및 99.28 중량% 프로필렌 글리콜.
따라서, 본 발명을 본 발명의 특정 양태, 특징 및 예시적인 실시양태들을 참조하여 본원에 기술하였지만, 본 발명의 유용성은 이와 같이 제한되지 않고 오히려 다수의 다른 양태, 특징 및 실시양태들로 확장되고 이들을 포함함을 이해할 것이다. 그러므로, 이하에 개시되는 특허청구범위는 그에 따라 폭넓게 해석되어야 하며 특허청구범위의 진의 및 범주 내의 모든 그와 같은 양태, 특징 및 실시양태들을 포함하는 것으로 의도된다.

Claims (1)

1종 이상의 플루오라이드 공급원, 1종 이상의 글리콜 용매, 1종 이상의 킬레이트화제 및 1종 이상의 중합체 종을 포함하되, 첨가수가 실질적으로 없는, 습윤 세정 조성물.
KR1020157031049A 2008-03-07 2009-03-06 비-선택적 산화물 에칭용 습윤 세정 조성물 및 사용 방법 KR20150126729A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US3489108P 2008-03-07 2008-03-07
US61/034,891 2008-03-07
US7715508P 2008-06-30 2008-06-30
US61/077,155 2008-06-30
PCT/US2009/036366 WO2009111719A2 (en) 2008-03-07 2009-03-06 Non-selective oxide etch wet clean composition and method of use

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020107022346A Division KR20100123757A (ko) 2008-03-07 2009-03-06 비-선택적 산화물 에칭용 습윤 세정 조성물 및 사용 방법

Publications (1)

Publication Number Publication Date
KR20150126729A true KR20150126729A (ko) 2015-11-12

Family

ID=41056670

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020157031049A KR20150126729A (ko) 2008-03-07 2009-03-06 비-선택적 산화물 에칭용 습윤 세정 조성물 및 사용 방법
KR1020107022346A KR20100123757A (ko) 2008-03-07 2009-03-06 비-선택적 산화물 에칭용 습윤 세정 조성물 및 사용 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020107022346A KR20100123757A (ko) 2008-03-07 2009-03-06 비-선택적 산화물 에칭용 습윤 세정 조성물 및 사용 방법

Country Status (8)

Country Link
US (1) US20110117751A1 (ko)
EP (1) EP2268765A4 (ko)
JP (1) JP2011517328A (ko)
KR (2) KR20150126729A (ko)
CN (1) CN102007196B (ko)
SG (1) SG188848A1 (ko)
TW (1) TWI591158B (ko)
WO (1) WO2009111719A2 (ko)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8252194B2 (en) * 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
SG187551A1 (en) 2010-07-16 2013-03-28 Advanced Tech Materials Aqueous cleaner for the removal of post-etch residues
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
KR101850356B1 (ko) * 2010-09-08 2018-04-20 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 미세 구조체의 패턴 붕괴 억제용 처리액 및 이를 이용한 미세 구조체의 제조 방법
SG189292A1 (en) 2010-10-06 2013-05-31 Advanced Tech Materials Composition and process for selectively etching metal nitrides
TWI502065B (zh) 2010-10-13 2015-10-01 Entegris Inc 抑制氮化鈦腐蝕之組成物及方法
EP2652104A1 (en) * 2010-12-16 2013-10-23 Kyzen Corporation Cleaning agent for removal of soldering flux
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
CN103255417B (zh) * 2011-12-16 2016-01-20 江阴润玛电子材料股份有限公司 一种酸性钼铝钼蚀刻液及其制备工艺
SG11201403556WA (en) 2011-12-28 2014-07-30 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
KR102105381B1 (ko) 2012-02-15 2020-04-29 엔테그리스, 아이엔씨. 조성물을 이용한 cmp-후 제거 방법 및 그의 이용 방법
SG10201607609YA (en) * 2012-03-12 2016-10-28 Entegris Inc Methods for the selective removal of ashed spin-on glass
SG11201407650VA (en) 2012-05-18 2014-12-30 Entegris Inc Composition and process for stripping photoresist from a surface including titanium nitride
US9536730B2 (en) 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
JP6363116B2 (ja) 2013-03-04 2018-07-25 インテグリス・インコーポレーテッド 窒化チタンを選択的にエッチングするための組成物および方法
EP3004287B1 (en) 2013-06-06 2021-08-18 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
JP6200289B2 (ja) 2013-11-18 2017-09-20 富士フイルム株式会社 半導体基板の処理液、処理方法、これらを用いた半導体基板製品の製造方法
TWI654340B (zh) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
SG10201805234YA (en) 2013-12-20 2018-08-30 Entegris Inc Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
EP3099839A4 (en) 2014-01-29 2017-10-11 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
US10619097B2 (en) * 2014-06-30 2020-04-14 Specmat, Inc. Low-[HF] room temperature wet chemical growth (RTWCG) chemical formulation
KR102384908B1 (ko) * 2015-11-25 2022-04-08 삼성전자주식회사 자성 패턴 세정 조성물, 자성 패턴 형성 방법 및 자기 메모리 장치의 제조 방법
CN106283092B (zh) * 2016-08-05 2018-06-19 宁波金特信钢铁科技有限公司 一种无氨氟化物盐电子基板清洗组合物的制备方法
CN106479696A (zh) * 2016-08-31 2017-03-08 惠晶显示科技(苏州)有限公司 用于液晶显示面板玻璃酸刻所生结垢物的清洗液及其制备方法
US10354883B2 (en) * 2017-10-03 2019-07-16 Mattson Technology, Inc. Surface treatment of silicon or silicon germanium surfaces using organic radicals
CN110317588A (zh) * 2018-03-29 2019-10-11 中国石油化工股份有限公司 一种阳离子表面活性剂及其制备方法和起泡剂及其应用
WO2019199922A1 (en) 2018-04-13 2019-10-17 Mattson Technology, Inc. Processing of workpieces with reactive species generated using alkyl halide
US11164725B2 (en) 2018-06-11 2021-11-02 Beijing E-town Semiconductor Technology Co., Ltd. Generation of hydrogen reactive species for processing of workpieces
US11560533B2 (en) 2018-06-26 2023-01-24 Versum Materials Us, Llc Post chemical mechanical planarization (CMP) cleaning
CN112313777A (zh) 2018-10-15 2021-02-02 玛特森技术公司 用于选择性亲水表面处理的臭氧
US10950416B2 (en) 2018-11-16 2021-03-16 Mattson Technology, Inc. Chamber seasoning to improve etch uniformity by reducing chemistry
US10403492B1 (en) 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
KR20200077912A (ko) 2018-12-21 2020-07-01 주식회사 케이씨텍 세정액 조성물 및 그것을 이용한 세정 방법
KR20210131441A (ko) 2019-04-30 2021-11-02 매슨 테크놀로지 인크 메틸화 처리를 사용한 선택적 증착
CN111441056B (zh) * 2020-04-20 2022-05-20 中国石油天然气集团公司 双十二烷基-二甲酰胺联吡啶季铵盐水溶缓蚀剂及其制备方法和应用
CN112143590A (zh) * 2020-09-29 2020-12-29 常州时创能源股份有限公司 硅片清洗添加剂、硅片清洗液及其应用
TW202328423A (zh) * 2021-11-11 2023-07-16 美商陶氏全球科技責任有限公司 包含螯合劑的二醇組成物
CN114196406B (zh) * 2021-11-18 2023-03-14 广东粤港澳大湾区黄埔材料研究院 刻蚀液及其制备方法、应用

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH085140B2 (ja) * 1989-09-26 1996-01-24 ダイキン工業株式会社 フッ素樹脂製品の処理方法
JP3618764B2 (ja) * 1992-03-13 2005-02-09 ダイキン工業株式会社 揮発性酸類の回収方法
DE69418458T2 (de) * 1993-02-04 2000-01-27 Daikin Ind Ltd Nassaetzungsverbindung fuer halbleiter mit ausgezeichneten befeuchtungseigenschaften
US5320709A (en) * 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
JPH07216392A (ja) * 1994-01-26 1995-08-15 Daikin Ind Ltd 洗浄剤及び洗浄方法
WO1997018582A1 (fr) * 1995-11-15 1997-05-22 Daikin Industries, Ltd. Solution de nettoyage de plaquettes et son procede de production
JPH1027781A (ja) * 1996-07-10 1998-01-27 Daikin Ind Ltd エッチングガスおよびクリーニングガス
US6074935A (en) * 1997-06-25 2000-06-13 Siemens Aktiengesellschaft Method of reducing the formation of watermarks on semiconductor wafers
US6280651B1 (en) * 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
DE69833692T2 (de) * 1997-12-19 2006-11-23 Advanced Technology Materials, Inc., Danbury Ätzlösung für das selektive ätzen von siliziumoxid mit fluorid-salz, komplexmittel und glykol-lösungsmittel
US7547669B2 (en) * 1998-07-06 2009-06-16 Ekc Technology, Inc. Remover compositions for dual damascene system
US6162370A (en) * 1998-08-28 2000-12-19 Ashland Inc. Composition and method for selectively etching a silicon nitride film
JP3903215B2 (ja) * 1998-11-24 2007-04-11 ダイキン工業株式会社 エッチング液
US6562726B1 (en) * 1999-06-29 2003-05-13 Micron Technology, Inc. Acid blend for removing etch residue
CA2378793A1 (en) * 1999-08-13 2001-02-22 Cabot Microelectronics Corporation Polishing system with stopping compound and method of its use
JP3891768B2 (ja) * 1999-12-28 2007-03-14 株式会社トクヤマ 残さ洗浄液
CN1426452A (zh) * 2000-04-26 2003-06-25 大金工业株式会社 洗涤剂组合物
US6949495B2 (en) * 2000-09-01 2005-09-27 Tokuyama Corporation Cleaning solution for removing residue
JP5407101B2 (ja) * 2000-09-07 2014-02-05 ダイキン工業株式会社 ドライエッチングガスおよびドライエッチング方法
WO2002039494A1 (fr) * 2000-11-08 2002-05-16 Daikin Industries, Ltd. Gaz de gravure seche et procede de gravure seche
US6498110B2 (en) * 2001-03-05 2002-12-24 Micron Technology, Inc. Ruthenium silicide wet etch
JP2003129089A (ja) * 2001-10-24 2003-05-08 Daikin Ind Ltd 洗浄用組成物
US6719920B2 (en) * 2001-11-30 2004-04-13 Intel Corporation Slurry for polishing a barrier layer
US6698619B2 (en) 2002-05-03 2004-03-02 Advanced Technology Materials, Inc. Returnable and reusable, bag-in-drum fluid storage and dispensing container system
US7188644B2 (en) 2002-05-03 2007-03-13 Advanced Technology Materials, Inc. Apparatus and method for minimizing the generation of particles in ultrapure liquids
US6849200B2 (en) * 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
AU2003257636A1 (en) * 2002-08-22 2004-03-11 Daikin Industries, Ltd. Removing solution
TWI282814B (en) * 2002-09-13 2007-06-21 Daikin Ind Ltd Etchant and etching method
SG129274A1 (en) * 2003-02-19 2007-02-26 Mitsubishi Gas Chemical Co Cleaaning solution and cleaning process using the solution
JP4374989B2 (ja) * 2003-11-12 2009-12-02 三菱瓦斯化学株式会社 洗浄液およびそれを用いた洗浄方法
JP2004277576A (ja) * 2003-03-17 2004-10-07 Daikin Ind Ltd エッチング用又は洗浄用の溶液の製造法
US7427361B2 (en) * 2003-10-10 2008-09-23 Dupont Air Products Nanomaterials Llc Particulate or particle-bound chelating agents
JP2007519942A (ja) * 2003-12-02 2007-07-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド レジスト、barc、およびギャップフィル材料を剥離する化学物質ならびに方法
US8338087B2 (en) * 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
JP2006154722A (ja) * 2004-10-28 2006-06-15 Daikin Ind Ltd Cu/low−k多層配線構造のアッシング残渣の剥離液及び剥離方法
US20060094613A1 (en) * 2004-10-29 2006-05-04 Lee Wai M Compositions and processes for photoresist stripping and residue removal in wafer level packaging
JP2008521246A (ja) * 2004-11-19 2008-06-19 ハネウエル・インターナシヨナル・インコーポレーテツド 半導体用途のための選択的除去化学薬品、この製造方法およびこの使用
US20060255315A1 (en) * 2004-11-19 2006-11-16 Yellowaga Deborah L Selective removal chemistries for semiconductor applications, methods of production and uses thereof
US7947639B2 (en) * 2004-12-10 2011-05-24 Avantor Performance Materials, Inc. Non-aqueous, non-corrosive microelectronic cleaning compositions containing polymeric corrosion inhibitors
US8114220B2 (en) * 2005-04-15 2012-02-14 Advanced Technology Materials, Inc. Formulations for cleaning ion-implanted photoresist layers from microelectronic devices
KR101088568B1 (ko) * 2005-04-19 2011-12-05 아반토르 퍼포먼스 머티리얼스, 인크. 갈바닉 부식을 억제하는 비수성 포토레지스트 스트립퍼
JP2008546036A (ja) * 2005-06-07 2008-12-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 金属および誘電体相溶性の犠牲反射防止コーティング浄化および除去組成物
EP1949424A2 (en) * 2005-10-05 2008-07-30 Advanced Technology Materials, Inc. Composition and method for selectively etching gate spacer oxide material
JP2009516360A (ja) * 2005-10-13 2009-04-16 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 金属適合フォトレジスト及び/又は犠牲反射防止コーティング除去組成物
EP1946358A4 (en) * 2005-11-09 2009-03-04 Advanced Tech Materials COMPOSITION AND METHOD FOR RECYCLING SEMICONDUCTOR WAFERS WITH LOW DIELECTRICITY CONSTANT MATERIALS
US20080125342A1 (en) * 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
WO2008080096A2 (en) * 2006-12-21 2008-07-03 Advanced Technology Materials, Inc. Compositions and methods for the selective removal of silicon nitride
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
KR20070090199A (ko) * 2007-06-19 2007-09-05 허니웰 인터내셔날 인코포레이티드 반도체 적용을 위한 선택적 제거용 화학 물질 및 이를 생산및 사용하는 방법

Also Published As

Publication number Publication date
TW200951204A (en) 2009-12-16
CN102007196A (zh) 2011-04-06
KR20100123757A (ko) 2010-11-24
WO2009111719A2 (en) 2009-09-11
TWI591158B (zh) 2017-07-11
EP2268765A2 (en) 2011-01-05
WO2009111719A9 (en) 2009-12-23
JP2011517328A (ja) 2011-06-02
SG188848A1 (en) 2013-04-30
EP2268765A4 (en) 2011-10-26
WO2009111719A3 (en) 2009-11-12
CN102007196B (zh) 2014-10-29
US20110117751A1 (en) 2011-05-19

Similar Documents

Publication Publication Date Title
KR20150126729A (ko) 비-선택적 산화물 에칭용 습윤 세정 조성물 및 사용 방법
US10711227B2 (en) TiN hard mask and etch residue removal
TWI592468B (zh) 選擇性移除灰化旋塗玻璃之方法
US11164738B2 (en) Compositions and methods for removing ceria particles from a surface
KR102545630B1 (ko) 화학 기계적 연마 후 세정 조성물
US11085011B2 (en) Post CMP cleaning compositions for ceria particles
US20180291309A1 (en) Post chemical mechanical polishing formulations and method of use
CN114667332A (zh) 湿式蚀刻组合物及方法
EP4225881A1 (en) Microelectronic device cleaning composition
US20230159866A1 (en) Microelectronic device cleaning composition
US20230323248A1 (en) Post cmp cleaning composition
KR20240074891A (ko) 표면으로부터 세리아 입자를 제거하기 위한 조성물 및 방법

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E601 Decision to refuse application