JP2008546036A - 金属および誘電体相溶性の犠牲反射防止コーティング浄化および除去組成物 - Google Patents

金属および誘電体相溶性の犠牲反射防止コーティング浄化および除去組成物 Download PDF

Info

Publication number
JP2008546036A
JP2008546036A JP2008515853A JP2008515853A JP2008546036A JP 2008546036 A JP2008546036 A JP 2008546036A JP 2008515853 A JP2008515853 A JP 2008515853A JP 2008515853 A JP2008515853 A JP 2008515853A JP 2008546036 A JP2008546036 A JP 2008546036A
Authority
JP
Japan
Prior art keywords
formulation
water
hydrogen fluoride
glycol
ethylene glycol
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2008515853A
Other languages
English (en)
Inventor
ラース,メリッサ,ケイ.
バーナード,デイビッド,ディー.
ミンセク,デービッド,ダブリュー.
バウム,トーマス,エイチ.
Original Assignee
アドバンスド テクノロジー マテリアルズ,インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アドバンスド テクノロジー マテリアルズ,インコーポレイテッド filed Critical アドバンスド テクノロジー マテリアルズ,インコーポレイテッド
Publication of JP2008546036A publication Critical patent/JP2008546036A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/261Alcohols; Phenols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/263Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/28Organic compounds containing halogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Weting (AREA)

Abstract

犠牲反射防止コーティング(SARC)材料を、その上にそれを有する基板から除去するための液体除去組成物およびプロセス。液体除去組成物は、少なくとも1種類のフッ化物含有化合物、少なくとも1種類の有機溶媒、任意に水、および任意に少なくとも1種類のキレート剤を含有する。その組成物は、アルミニウム、銅およびコバルト合金などの基板上の金属種の腐食を最小限にし、かつ半導体構造で使用される低k誘電材料に損傷を与えることなく、集積回路の製造におけるSARC材料の少なくとも部分的な除去を達成する。

Description

発明の分野
本発明は、シリケートの剥離に有用な液体除去組成物およびプロセス、特に、犠牲反射防止シリケート材料が、液体除去組成物によって影響を受けないことが望まれる、下地シリケート材料および相互連結金属、例えば、アルミニウム、銅およびコバルト合金と共に存在する場合に、例えば、その上にかかる材料が付着されたマイクロ電子デバイスから犠牲反射防止シリケート材料および/またはエッチング後残留物を液体除去することに関する。
関連技術の説明
現在、フォトリソグラフィー産業では開発された4つの照射波長−436nm、365nm、248nm、および193nmが使用されており、最近では157nmリソグラフィープロセスに努力が傾注されている。理論的には、各波長が減少するに従って、小さな形態(feature)を半導体チップ上に形成することができる。しかしながら、マイクロ電子デバイス基板の反射率はフォトリソグラフィー波長に反比例することから、干渉および不均等に露光されたフォトレジストでは、マイクロ電子デバイスの限界寸法の一致性が限られていた。
例えば、深紫外線(DUV)に露光すると、基板のDUV波長に対する高い反射率を併せ持つフォトレジストの透過率によって、深紫外線の反射が起こってフォトレジスト内へと戻り、それによってフォトレジスト層に定在波が生じることはよく知られている。定在波はさらに、フォトレジストに光化学反応を引き起こし、放射線に露光されることが意図されないマスク部においてなど、フォトレジストの不均一な露光が起こり、その結果、線幅、間隔、および他の限界寸法のばらつきが生じる。
透過率および反射率の問題に取り組むために、二層および三層フォトレジスト、底部反射防止コーティング(BARC)および犠牲反射防止コーティング(SARC)が開発された。これらのコーティングは、フォトレジストを塗布する前に基板に塗布される。これらの反射防止コーティングはすべて、通常のデュアルダマシン集積回路で遭遇するウエハー表面に対する平坦化作用を有し、すべてUV発色団をスピンオン(spin−on)ポリマーマトリックス中に組み込み、入射紫外線が吸収される。
SiOCベースの誘電体と共に使用した場合、SARCは2つの重要な利点を有する:SARCはTEOSをベースとし、したがって、SiOC誘電体と同じ速度でエッチングした結果、かなり高いエッチング均一性および制御が可能となり、そのため、トレンチエッチング停止が解消され、ビアエッチング停止が厚さ50%まで低減される。エッチングされたフォトレジストおよびエッチングされたBARCに対して、エッチングされたSARCにおけるエッチング後の架橋が著しく増加しないことから、エッチングされたSARCは、液体除去組成物を使用して除去することができる。
マイクロ電子デバイスウエハーからのSARC材料のクリーニング除去は、困難であり、かつ/または費用がかかることが分かっている。除去しない場合には、その層は、その後のケイ化物化(silicidation)または接触形成を妨げ得る。一般に、その層は、酸化的もしくは還元的プラズマ灰化または湿式クリーニングによって除去される。しかしながら、プラズマ灰化は、それによって、基板が酸化的もしくは還元的プラズマエッチングにかけられ、形態の形状および寸法が変化することによって、または誘電率を増加することによって、誘電材料に損傷が起こる。後者の問題は、有機シリケートガラス(OSG)または炭素ドープ酸化物ガラスなどの低k誘電材料が下地誘電材料である場合にはより顕著である。したがって、SARC層を除去するためのプラズマ灰化の使用を避けることが望ましい場合が多い。
低キャパシタンス(低k)絶縁材もしくは誘電体によって分離された、アルミニウム、銅、コバルト、または他の相互連結金属または相互連結バリアを処理するために、クリーナー/エッチング剤組成物が、バックエンドプロセス(BEOL)用途で使用される場合、SARCを除去するために使用される組成物は、良好な金属相溶性、例えば、銅、アルミニウム、コバルト等における低エッチング速度を有すること、かつ下地シリケート材料が、クリーナー組成物によって影響を受けないことが重要である。廃棄処分技術が簡単であることから、除去水溶液が好ましいが、除去水溶液は、金属相互連結をエッチングまたは腐食することが知られている。
したがって、マイクロ電子デバイスの表面からSARC層を完全かつ効率的に除去することができ、同時に、同じ範囲で存在する誘電材料および/または相互連結金属への損傷を最小限に抑える、低含水率の除去組成物が当技術分野において必要とされている。
発明の概要
本発明は、特に、液体除去組成物によって影響を受けないことが望まれる下地シリケート材料および相互連結金属と共に犠牲反射防止シリケート材料が存在する場合に、その上にかかる材料が付着されたマイクロ電子デバイスの表面から犠牲反射防止シリケート材料を除去するのに有用な、液体除去組成物およびプロセスに関する。具体的には、本発明は、アルミニウム、銅およびコバルト合金相溶性のSARC除去組成物に関する。
一の態様において、本発明は、少なくとも1種類のフッ化物含有化合物、少なくとも1種類の有機溶媒、任意に水、および任意に少なくとも1種類のキレート剤を含有する液体除去組成物に関し、前記液体除去組成物は、犠牲反射防止コーティング(SARC)材料および/またはエッチング後残留物を、その上にかかる材料および残留物を有するマイクロ電子デバイスから除去するのに有用である。
他の態様において、本発明は、少なくとも1種類のフッ化物含有化合物を含む液体除去組成物試薬、少なくとも1種類の有機溶媒、任意に水、および任意に少なくとも1種類のキレート剤を1つまたは複数の容器内に含有するキットに関し、そのキットは、SARC材料および/またはエッチング後残留物を、その上に前記材料および残留物を有するマイクロ電子デバイスから除去するのに適している液体除去組成物を形成するように適応されている。
更なる態様において、本発明は、SARC材料および/またはエッチング後残留物を、その上に前記材料および残留物を有するマイクロ電子デバイスから除去する方法に関し、前記方法は、マイクロ電子デバイスから前記材料および残留物を少なくとも部分的に除去するのに十分な時間、マイクロ電子デバイスを液体除去組成物と接触させることを含み、その液体除去組成物は、少なくとも1種類のフッ化物含有化合物、少なくとも1種類の有機溶媒、任意に水、および任意に少なくとも1種類のキレート剤を含有する。
さらに他の態様において、本発明は、少なくとも1種類のフッ化物含有化合物、任意に少なくとも1種類の有機溶媒、任意に酸−塩基緩衝液、任意に少なくとも1種類のキレート剤/不動態化剤、および任意に水を含有する液体除去組成物に関し、前記液体除去組成物は、犠牲反射防止コーティング(SARC)材料を、その上にかかる材料および残留物を有するマイクロ電子デバイスから除去するのに有用である。
他の態様において、本発明は、液体除去組成物試薬を1つまたは複数の容器内に含有するキットであって、その液体除去組成物が、少なくとも1種類のフッ化物含有化合物、少なくとも1種類の有機溶媒、任意に酸−塩基緩衝液、任意に少なくとも1種類のキレート剤/不動態化剤、および任意に水を含むキットに関し、そのキットは、SARC材料を、その上に前記材料を有するマイクロ電子デバイスから除去するのに適している液体除去組成物を形成するように適応されている。
更なる態様において、本発明は、SARC材料を、その上に前記材料を有するマイクロ電子デバイスから除去する方法に関し、前記方法は、マイクロ電子デバイスから前記材料を少なくとも部分的に除去するのに十分な時間、マイクロ電子デバイスを液体除去組成物と接触させることを含み、その液体除去組成物は、少なくとも1種類のフッ化物含有化合物、任意に少なくとも1種類の有機溶媒、任意に酸−塩基緩衝液、任意に少なくとも1種類のキレート剤/不動態化剤、および任意に水を含有する。
他の態様において、本発明は、SARC材料および/またはエッチング後残留物を、その上に前記材料および残留物を有するマイクロ電子デバイスから少なくとも部分的に除去するのに十分な時間、マイクロ電子デバイスを液体除去組成物と接触させることを含む、マイクロ電子デバイスを製造する方法に関し、その液体除去組成物は、少なくとも1種類のフッ化物含有化合物、少なくとも1種類の有機溶媒、任意に水、および任意に少なくとも1種類のキレート剤を含有する。
本発明のさらに他の態様は、本明細書に記載の方法および/または組成物を用いて、SARCおよびエッチング後残留物を、その上に前記材料を有するマイクロ電子デバイスから少なくとも部分的に除去することと、任意に、製品にマイクロ電子デバイスを組み込むことと、を含む本発明の方法を用いて製造された、向上したマイクロ電子デバイスおよびそれを組み込んだ製品に関する。
本発明の他の態様、特徴、および実施形態は、次の開示内容および添付の特許請求の範囲から、より完全に理解されよう。
発明の詳細な説明、およびその好ましい実施形態
本発明は、犠牲反射防止コーティング(SARC)材料および/またはエッチング後残留物を、その上にかかる材料を有するマイクロ電子デバイスの表面から除去するのに有用である液体除去組成物を企図する。
参照を容易にするために、「マイクロ電子デバイス」とは、マイクロエレクトロニクス、集積回路、またはコンピューターチップ用途で使用するために製造される、半導体基板、フラットパネルディスプレイ、および微小電気機械システム(MEMS)に相当する。「マイクロ電子デバイス」という用語は、決して制限的なことを意味するものではなく、最終的にマイクロ電子デバイスまたはマイクロエレクトロニクスアセンブリとなる、あらゆる基板を含むことを理解されたい。
本明細書で定義される、「低k誘電材料」とは、積層(layered)マイクロ電子デバイスにおいて誘電材料として使用されるいずれかの材料に相当し、その材料は、約3.5未満の誘電率を有する。好ましくは、その低k誘電材料は、ケイ素含有有機ポリマー、ケイ素含有ハイブリッド有機/無機材料、有機シリケートガラス(OSG)、TEOS、フッ素化シリケートガラス(FSG)、二酸化ケイ素、および炭素ドープ酸化物(CDO)ガラスなどの低極性材料を含む。低k誘電材料は、様々な密度および様々な多孔度を有し得ることは理解されよう。
本明細書で使用される、「約」は、記載の値の±5%に相当することを意味する。
本明細書で使用される、SARC材料および/またはエッチング後残留物を、その上に前記材料を有するマイクロ電子デバイスから除去する「適性」とは、マイクロ電子デバイスからの前記SARCおよび/またはエッチング後残留物質の少なくとも部分的な除去に相当する。好ましくは、材料の少なくとも約90%、さらに好ましくは材料の少なくとも95%、最も好ましくは材料の少なくとも99%が、本発明の組成物を使用してマイクロ電子デバイスから除去される。
本明細書で使用される、「エッチング後残留物」とは、気相プラズマエッチングプロセス、例えばBEOLデュアルダマシン加工後に残る材料に相当する。エッチング後残留物は、本質的に有機、有機金属、有機ケイ素、または無機材料、例えばケイ素含有材料、炭素ベースの有機材料、および限定されないが、塩素およびフッ素などのエッチングガス残留物である。
本明細書で定義される、「SARC材料」は、二層および三層フォトレジスト、底部(bottom)反射防止コーティング(BARC)および犠牲反射防止コーティング(SARC)に相当し、本質的に有機および/または無機材料である。さらに、SARC材料は、SARC層および/またはSARC含有残留物を含み得る。
本発明の組成物は、以下により完全に説明されるように、多種多様な具体的な配合で具体化される。
かかるすべての組成物において、組成物の具体的な成分が、下限ゼロを含む重量パーセンテージ範囲を参照して述べられている場合、かかる成分は、組成物の様々な具体的な実施形態中に存在する、または存在しないこと、かつかかる成分が存在する場合には、かかる成分がその中で用いられる組成物の全重量に基づき0.001重量%と低い濃度で存在し得ることを理解されたい。
実施形態A
一の態様において、本発明は、SARC層および/またはエッチング後残留物をマイクロ電子デバイスから除去するのに有用な液体除去組成物に関する。本発明の広範な説明における以下のSARC層の具体的な参照は、本発明の実例となる実施例を提供することを意味するものであり、決してそれを制限することを意味するものではない。実施形態Aの配合物は、組成物の全重量に基づき以下の範囲で存在する、少なくとも1種類のフッ化物含有化合物と、少なくとも1種類の有機溶媒と、任意に水と、任意に少なくとも1種類のキレート剤/不動態化剤と、を含有する。
Figure 2008546036
本発明の広範な実施において、実施形態Aの液体除去組成物は、少なくとも1種類のフッ化物含有化合物、少なくとも1種類の有機溶媒、任意に水、および任意に少なくとも1種類のキレート剤/不動態化剤を含む、からなる、またはから本質的になる。一般に、互いに関する、フッ化物含有化合物、有機溶媒、任意の水、および任意のキレート剤/不動態化剤の具体的な割合および量は、必要以上の努力をすることなく、当業者によって容易に決定可能なように、SARC層種および/または加工装置に液体組成物の所望の除去作用を提供するために適切に変化させることができる。
有機溶媒とフッ化物含有化合物とのモル比の範囲は、約1:1〜約120:1、好ましくは約20:1〜約80:1、最も好ましくは約30:1〜約65:1であり;有機溶媒と水(存在する場合)とのモル比の範囲は、約1:1〜約150:1、好ましくは約20:1〜約80:1、最も好ましくは約30:1〜約60:1であり;有機溶媒とキレート剤(存在する場合)とのモル比の範囲は、約1:1〜約300:1である。
実施形態Aの組成物は、好ましくは範囲約1〜約5、さらに好ましくは約4未満のpH値を有する。
かかる組成物は任意に、活性成分ならびに不活性成分を含む更なる成分、例えば、界面活性剤、安定剤、分散剤、酸化防止剤、浸透剤、補助剤、添加剤、充填剤、賦形剤等を含有し得る。さらに、実施形態Aの組成物は、泡、霧、亜臨界流体または超臨界流体として配合されることも本明細書において企図される。例えば、SCF約100部:実施形態A1部〜約6:1の比、好ましくは約20:1の比で実施形態Aの組成物を二酸化炭素などの超臨界流体に添加することができる。
好ましくは、実施形態Aのクリーニング組成物は以下の成分を含有する。
Figure 2008546036
成分のパーセンテージは、組成物の全重量に基づく重量%であり、組成物のかかる成分の重量%の合計は、100重量%を超えない。特に好ましい実施形態において、水は、範囲約0.01重量%〜約1重量%の量で存在し、脱イオン水および非オゾン処理水であり、組成物に添加されるか、または他の成分のうちの1つにおいて残留して存在する。さらに、好ましくは、組成物は、コリン化合物および過酸化水素などの酸化剤を実質的に含有しない。
フッ化物の存在が、酸性溶液でシリケート材料をエッチングするのに必要であることから、フッ化物イオンの供給源が必要とされる。フッ化物含有化合物の適切な供給源としては、限定されないが、フッ化水素、フッ化アンモニウム、およびトリエタノールアミンフッ化水素酸塩が挙げられる。その代わりとして、重フッ化アンモニウム((NH)HF)、重フッ化テトラアルキルアンモニウム((R)NHF、式中、Rは、メチル、エチル、プロピル、ブチル、フェニル、ベンジル、またはフッ素化C−Cアルキル基である)などの重フッ化物の塩を使用することができる。2種類以上のフッ化物種の組み合わせもまた、本明細書において企図される。好ましい実施形態において、フッ化物含有化合物としては、フッ化水素が挙げられる。注目すべきことには、フッ化水素は一般に、残りの量の水と共に輸送され、したがって、その後に水が意図的に添加されないとしても、除去組成物中に水が存在し得る。代替方法としては、配合物中に唯一の水が、溶媒から生じる微量の水であるようにするため、気体無水フッ化水素が使用される。無水フッ化水素含有配合物は一般に、水含有配合物よりも優れた金属および誘電体相溶性を示す。
有機溶媒種は、溶媒としての役割を果たし、かつSARCおよび/またはエッチング後残留物中に存在し得る有機残留物の溶解を助ける。かかる組成物のための適切な溶媒種としては、限定されないが、直鎖または分枝鎖C−Cアルコール、限定されないが、メタノール、エタノール、1−プロパノール、2−プロパノール、1−ブタノール、2−ブタノール、t−ブタノール、1−ペンタノール、およびヘキサノール;エチレングリコール、プロピレングリコール(1,2−プロパンジオール)、テトラメチレングリコール(1,4−ブタンジオール)およびネオペンチルグリコールなどのグリコール;またはジエチレングリコールモノメチルエーテル、トリエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、トリエチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノブチルエーテル、ジエチレングリコールモノブチルエーテル、トリエチレングリコールモノブチルエーテル、プロピレングリコールメチルエーテル、ジプロピレングリコールメチルエーテル、トリプロピレングリコールメチルエーテル、プロピレングリコールn−プロピルエーテル、ジプロピレングリコールn−プロピルエーテル、トリプロピレングリコールn−プロピルエーテル、プロピレングリコールn−ブチルエーテル、ジプロピレングリコールn−ブチルエーテル、およびトリプロピレングリコールn−ブチルエーテルなどのグリコールエーテルが挙げられる。有用である他の溶媒は、ジメチルアセトアミド、ホルムアミド、ジメチルホルムアミド、1−メチル−2−ピロリジノン、ジメチルスルホキシドなどの一般的な極性溶媒、および他の極性溶媒である。2種類以上の溶媒種の組み合わせも本明細書において企図される。多孔質低k誘電材料については、有機溶媒種としては、好ましくは1−ブタノールおよび1,4−ブタンジオールが挙げられる。高密度誘電体に最も好ましいクリーニング溶液は、グリコール、極性溶媒およびグリコールエーテルの組み合わせ、さらに好ましくは、エチレングリコール、テトラメチレンスルホンおよびトリプロピレングリコールメチルエーテルの組み合わせまたはエチレングリコール、テトラメチレンスルホンおよびジプロピレングリコールn−ブチルエーテルの組み合わせである。
キレート剤を添加して、下地層における金属、例えば銅および/またはコバルトの腐食を低減することができる。かかる組成物におけるキレート剤/不動態化剤は、いずれかの適切な種類のものであり、限定されないが、トリアゾール、例えば、1,2,4−トリアゾール、またはC−Cアルキル、アミノ、チオール、メルカプト、イミノ、カルボキシおよびニトロ基などの置換基で置換されたトリアゾール、例えば、ベンゾトリアゾール、トリルトリアゾール、5−フェニル−ベンゾトリアゾール、5−ニトロ−ベンゾトリアゾール、3−アミノ−5−メルカプト−1,2,4−トリアゾール、1−アミノ−1,2,4−トリアゾール、ヒドロキシベンゾトリアゾール、2−(5−アミノ−ペンチル)−ベンゾトリアゾール、1−アミノ−1,2,3−トリアゾール、1−アミノ−5−メチル−1,2,3−トリアゾール、3−アミノ−1,2,4−トリアゾール、3−メルカプト−1,2,4−トリアゾール、3−イソプロピル−1,2,4−トリアゾール、5−フェニルチオール−ベンゾトリアゾール、ハロ−ベンゾトリアゾール(ハロ=F、Cl、BrまたはI)、ナフトトリアゾール等、ならびにチアゾール、テトラゾール、イミダゾール、ホスフェート、チオールおよびアジン、例えば2−メルカプトベンゾイミジゾール、2−メルカプトベンゾチアゾール,4−メチル−2−フェニルイミダゾール、2−メルカプトチアゾリン、5−アミノテトラゾール、5−アミノ−1,3,4−チアジアゾール−2−チオール、2,4−ジアミノ−6−メチル−1,3,5−トリアジン、チアゾール、トリアジン、メチルテトラゾール、1,3−ジメチル−2−イミダゾリジノン、1,5−ペンタメチレンテトラゾール、1−フェニル−5−メルカプトテトラゾール、ジアミノメチルトリアジン、メルカプトベンゾチアゾール、イミダゾリンチオン、メルカプトベンズイミダゾール、4−メチル−4H−1,2,4−トリアゾール−3−チオール、5−アミノ−1,3,4−チアジアゾール−2−チオール、ベンゾチアゾール、トリトリルホスフェート、インジアゾール等が挙げられる。適切なキレート剤種としてはさらに、グリセロール、アミノ酸、カルボン酸、アルコール、アミド、およびキノリン、例えばグアニン、アデニン、グリセロール、チオグリセロール、ニトリロ三酢酸、サリチルアミド、イミノ二酢酸、ベンゾグアナミン、メラミン、チオシラヌル酸、アントラニル酸、没食子酸、アスコルビン酸、サリチル酸、8−ヒドロキシキノリン、5−カルボン酸−ベンゾトリアゾール、3−メルカプトプロパノール、ホウ酸、イミノ二酢酸等が挙げられる。2種類以上のキレート剤の組み合わせも本明細書において企図される。キレート剤は、マイクロ電子デバイスで使用される金属および誘電材料と組成物との相溶性を高めるために有効に使用される。
種々の好ましい実施形態において、実施形態Aの除去組成物は、以下の実施形態A1〜A7で配合され、すべてのパーセンテージは、配合物の全重量に基づく重量による。
Figure 2008546036
Figure 2008546036
Figure 2008546036
Figure 2008546036
Figure 2008546036
Figure 2008546036
Figure 2008546036
種々の好ましい実施形態において、実施形態Aの除去組成物は、以下の配合物AA〜AIで配合され、すべてのパーセンテージは、配合物の全重量に基づく重量基準による:
配合物AA:エタノール99.5%;フッ化水素0.245%;水0.255%
配合物AB:エタノール98.5%;フッ化水素0.735%;水0.765%
配合物AC:エタノール74.5%;ジプロピレングリコールメチルエーテル25.0%;フッ化水素0.245%;水0.255%
配合物AD:エタノール73.5%;ジプロピレングリコールメチルエーテル25.0%;フッ化水素0.735%;水0.765%
配合物AE:エタノール74.5%;ジエチレングリコールメチルエーテル25.0%;フッ化水素0.245%;水0.255%
配合物AF:エタノール73.5%;ジエチレングリコールメチルエーテル25.0%;フッ化水素0.735%;水0.765%
配合物AG:エタノール98.0%;フッ化水素0.98%;水1.02%
配合物AH:メタノール98.5%;フッ化水素0.735%;水0.765%
配合物AI:エチレングリコール98.5%;フッ化水素0.735%;水0.765%
配合物AJ:プロピレングリコール98.5%;フッ化水素0.735%;水0.765%
配合物AK:ジエチレングリコールブチルエーテル98.5%;フッ化水素0.735%;水0.765%
配合物AL:2−プロパノール98.5%;フッ化水素0.735%;水0.765%
配合物AM:1,4−ブタンジオール98.5%;フッ化水素0.735%;水0.765%
配合物AN:1−プロパノール98.5%;フッ化水素0.735%;水0.765%
配合物AO:1−ペンタノール98.5%;フッ化水素0.735%;水0.765%
配合物AP:エチレングリコール25.0%;1−ブタノール73.5%;フッ化水素0.735%;水0.765%
配合物AQ:1−ブタノール98.5%;フッ化水素0.735%;水0.765%
配合物AR:エチレングリコール50.0%;1−ブタノール48.5%;フッ化水素0.735%;水0.765%
配合物AS:エチレングリコール75.0%;1−ブタノール23.5%;フッ化水素0.735%;水0.765%
配合物AT:エチレングリコール25.0%;エタノール73.5%;フッ化水素0.735%;水0.765%
配合物AU:エチレングリコール50.0%;エタノール48.5%;フッ化水素0.735%;水0.765%
配合物AV:エチレングリコール75.0%;エタノール23.5%;フッ化水素0.735%;水0.765%
配合物AW:エチレングリコール70.0%;1−ブタノール28.5%;フッ化水素0.735%;水0.765%
配合物AX:エチレングリコール50.0%;1−ブタノール48.5%;フッ化水素0.735%;水0.765%
配合物AY:エチレングリコール75.0%;1,4−ブタンジオール23.5%;フッ化水素0.735%;水0.765%
配合物AZ:エチレングリコール60.0%;1−ペンタノール38.5%;フッ化水素0.735%;水0.765%
配合物AA:エチレングリコール50.0%;1−ペンタノール48.5%;フッ化水素0.735%;水0.765%
配合物AB:エチレングリコール80.0%;1−ペンタノール18.5%;フッ化水素0.735%;水0.765%
配合物AC:エチレングリコール90.0%;1−ペンタノール8.5%;フッ化水素0.735%;水0.765%
配合物AD:1,4−ブタンジオール99.25%;フッ化水素0.3675%;水0.3825%
配合物AE:エチレングリコール90.0%;1−ペンタノール9.25%;フッ化水素0.3675%;水0.3825%
配合物AF:1,4−ブタンジオール99.51%;フッ化水素0.49%
配合物AG:1,4−ブタンジオール99.265%;フッ化水素0.735%
配合物AH:1,4−ブタンジオール89.25%;1−ブタノール10.0%;フッ化水素0.3675%;水0.3825%
配合物AI:1,4−ブタンジオール80.0%;1−ブタノール19.25%;フッ化水素0.3675%;水0.3825%
配合物AJ:1,4−ブタンジオール70.0%;1−ブタノール29.25%;フッ化水素0.3675%;水0.3825%
配合物AK:1,4−ブタンジオール60.0%;1−ブタノール39.25%;フッ化水素0.3675%;水0.3825%
配合物AL:1,4−ブタンジオール50.0%;1−ブタノール49.25%;フッ化水素0.3675%;水0.3825%
配合物AM:1,4−ブタンジオール98.875%;フッ化水素0.55125%;水0.57375%
Figure 2008546036
配合物AY:エタノール79.25%;1−メチル−2−ピロリジノン20%;フッ化水素0.3675%;水0.3825%
配合物AZ:エチレングリコール79.25%;ジメチルアセトアミド20%;フッ化水素0.3675%;水0.3825%
配合物AA:テトラメチレンスルホン99.25%;フッ化水素0.3675%;水0.3825%
配合物AB:エチレングリコール50%;テトラメチレンスルホン49.25%;フッ化水素0.3675%;水0.3825%
配合物AC:エチレングリコール50%;テトラメチレンスルホン49.625%;フッ化水素0.375%
配合物AD:エチレングリコール45%;テトラメチレンスルホン39.625%;トリプロピレングリコールメチルエーテル15%;フッ化水素0.375%
配合物AE:エチレングリコール45%;テトラメチレンスルホン34.625%;トリプロピレングリコールメチルエーテル20%;フッ化水素0.375%
配合物AF:エチレングリコール45%;テトラメチレンスルホン39.625%;ジプロピレングリコールn−ブチルエーテル15%;フッ化水素0.375%
配合物AG:エチレングリコール45%;テトラメチレンスルホン39.625%;ジプロピレングリコールメチルエーテル15%;フッ化水素0.375%
配合物AH:1,4−ブタンジオール70.0%;1−ブタノール29.25%;フッ化水素0.3675%;水0.3825%
配合物AI:エチレングリコール44.882%;ジ(プロピレングリコール)ブチルエーテル15%;スルホラン39.64%;HF0.375%;ポリクオタニウム2 0.1%;水酸化テトラメチルアンモニウムシリケート0.003%。
好ましくは、実施形態Aの液体除去組成物は、最高の多孔質低k誘電体相溶性を得るため、1,4−ブタンジオールおよび1−ブタノールを含む。最も好ましくは、液体除去組成物は、配合物AL、AM、AH、およびAIに相当する。
実施例Aの組成物は、金属相互連結種および/または低k誘電材料への損傷を最小限にしながら、マイクロ電子デバイス基板からSARC層およびエッチング後残留物を除去するのに特に有効である。対象の金属としては、限定されないが、銅、タングステン、コバルト、アルミニウム、タンタルおよびルテニウムが挙げられる。さらに、実施形態Aの組成物は、水などの溶媒で希釈され、限定されないが、研磨スラリーからの粒子、カーボンリッチ粒子、研磨パッド粒子、ブラシ吸着性粒子、構造粒子の装置材料、銅、酸化銅、およびCMPプロセスの副生成物である他の材料など、CMP後の残留物を除去するために、化学機械研磨(CMP)後の組成物として使用されることが、本明細書で企図される。
他の実施形態において、実施形態Aの液体除去組成物は、最高の高密度誘電体相溶性を得るために、エチレングリコール、テトラメチレンスルホンおよびグリコールエーテルを含む。最も好ましくは、液体除去組成物は、配合物ASまたはAVに相当する。
さらに他の実施形態において、実施形態Aの液体除去組成物は、少なくとも1種類のフッ化物含有化合物、残留物質の除去のための少なくとも1種類の有機溶媒、任意に水、任意に少なくとも1種類のキレート剤/不動態化剤を含有し、その残留物質としては、SARCおよび/またはエッチング後残留物が挙げられる。重要なことには、残留物質は、本発明の液体除去組成物に溶解かつ/または分散することができる。
本発明の液体除去組成物は、各成分を単に添加し、均一な状態にまで混合することによって、容易に配合される。さらに、液体除去組成物は、単一パッケージの配合物、または使用する時点または使用する前に混合される複数パートの配合物として容易に配合することができ、例えば、複数パートの配合物の個々のパートは、器具にて、または器具上流の貯蔵タンク内で混合される。各成分の濃度は、特定の多数の液体除去組成物において大幅に異なり、つまり、本発明の広範な実施においてさらに希釈または濃縮されることができ、本発明の液体除去組成物は様々に、かつ代わりに、本明細書における開示内容と一致する成分のいずれかの組み合わせを含む、からなる、またはから本質的になることを理解されよう。
したがって、本発明の他の態様は、1つまたは複数の容器内に、本発明の組成物を形成するように適応された1種または複数種の成分を含むキットに関する。例えば、キットは、製造工場または使用する箇所で任意の水と合わせられる、少なくとも1種類のフッ化物含有化合物、少なくとも1種類の有機溶媒、および任意に少なくとも1種類のキレート剤/不動態化剤を1つまたは複数の容器内に含み得る。その代わりとして、キットは、製造工場または使用する箇所で少なくとも1種類の溶媒および任意の水と合わせられる、少なくとも1種類のフッ化物含有化合物、および任意に少なくとも1種類のキレート剤/不動態化剤を1つまたは複数の容器内に含み得る。さらに他の実施形態において、キットは、製造工場または使用する箇所に送られる、少なくとも1種類のフッ化物含有化合物、少なくとも1種類の有機溶媒、および水を1つまたは複数の容器内に含み得る。キットの容器は、前記液体除去組成物を保管および輸送するのに適していなければならず、例えば、NOWPak(登録商標)容器(米国,コネチカット州ダンベリーのアドバンスト・テクノロジー・マテリアルズ社(Advanced Technology Materials,Inc.,Danbury,Conn.,USA))が挙げられる。
実施形態B
他の態様において、本発明の配合物は、組成物の全重量に基づき以下の範囲で存在する、少なくとも1種類のフッ化物含有化合物、任意に少なくとも1種類の有機溶媒、任意に酸−塩基緩衝液、任意に少なくとも1種類のキレート剤/不動態化剤、および任意に水を含有する。
Figure 2008546036
本発明の広範な実施において、実施形態Bの液体除去組成物は、少なくとも1種類のフッ化物含有化合物、任意に少なくとも1種類の有機溶媒、任意に酸−塩基緩衝液、任意に少なくとも1種類のキレート剤/不動態化剤、および任意に水を含む、からなる、またはから本質的になり得る。一般に、互いに関する、フッ化物含有化合物、任意の水、任意の有機溶媒、任意の酸−塩基緩衝液、および任意のキレート剤/不動態化剤の具体的な割合および量は、必要以上の努力をすることなく、当業者によって容易に決定可能なように、SARC層種および/または加工装置に液体組成物の所望の除去作用を提供するために適切に変化させることができる。
本発明の組成物は、以下により完全に説明されるように、多種多様な具体的な配合物で具体化される。
実施形態Bの組成物は、範囲約4〜約9のpH値、好ましくは範囲約5〜約7のpH値を有することが好ましい。
かかる組成物は任意に、活性成分ならびに不活性成分などの更なる成分、例えば界面活性剤、安定剤、分散剤、酸化防止剤、浸透剤、補助剤、添加剤、充填剤、賦形剤等を含有し得る。さらに、実施形態Bの組成物は、泡、霧、亜臨界流体または超臨界流体として配合されることも本明細書において企図される。例えば、SCF約100部:実施形態B1部〜約6:1の比、好ましくは約20:1の比で実施形態Bの組成物を二酸化炭素などの超臨界流体に添加することができる。
フッ化物の存在が、酸性溶液でシリケート材料をエッチングするのに必要であることから、フッ化物イオンの供給源が必要とされる。調製および取り扱いの安全のために、中性フッ化物塩が好ましい。アミンの蒸発が原因でpHが変化するのを防ぐために、アミン−ヒドロフッ化物塩含有不揮発性アミンが最も好ましい。フッ化物含有化合物の適切な供給源としては、限定されないが、フッ化アンモニウム、トリエチルアミン・トリヒドロフッ化物((CN・3HF)、メチルジエタノールアミン−フッ化水素酸塩、トリエタノールアミン−フッ化水素酸塩、およびヒドロキシエチルモルホリン−フッ化水素酸塩が挙げられる。その代わりとして、重フッ化アンモニウム((NH)HF)、重フッ化テトラアルキルアンモニウム((R)NHF、式中、Rは、メチル、エチル、ブチル、フェニル、またはフッ素化C−Cアルキル基である)などの重フッ化物の塩を使用することができる。2種類以上のフッ化物含有化合の組み合わせもまた、本明細書において企図される。好ましい実施形態において、フッ化物含有化合物は、フッ化アンモニウムまたはメチルジエタノールアミン−フッ化水素酸塩である。
有機溶媒種は、溶媒としての役割を果たし、かつSARC中に存在し得る有機残留物の溶解を助ける。かかる組成物のための適切な溶媒種としては、限定されないが、実施形態Aに関して上記で挙げられたものなど、グリコールおよびグリコールエーテル;ジメチルスルホキシド(DMSO);ジメチルアセトアミド;アミン、例えばモノエタノールアミン、トリエタノールアミン、トリエチレンジアミン、メチルエタノールアミン、メチルジエタノールアミン、ペンタメチルジエチレントリアミン、ジメチルジグリコールアミン、1,8−ジアザビシクロ[5.4.0]ウンデセン、アミノプロピルモルホリン、ヒドロキシエチルモルホリン、アミノエチルモルホリン、ヒドロキシプロピルモルホリン、ジグリコールアミン、N−メチルピロリジノン(NMP)、N−オクチルピロリジノン、N−フェニルピロリジノン、シクロヘキシルピロリジノン、イミダゾリドン、およびビニルピロリジノンが挙げられる。2種類以上の有機溶媒の組み合わせも本明細書において企図される。好ましくは、有機溶媒としては、ジエチレングリコール、ジメチルジグリコールアミン、ジエチレングリコールメチルエーテルおよびNMPが挙げられる。
酸/塩基緩衝液は、pHを安定させ、かつSARC、他の残留物、誘電体および相互連結金属などの下地材料に対する溶液のエッチング速度選択性を制御する役割を果たす。本発明の緩衝系において有用な有機酸としては、限定されないが、ギ酸、トリフルオロ酢酸、プロピオン酸、酪酸、吉草酸、ヘプタン酸、乳酸、シュウ酸、リンゴ酸、マロン酸、コハク酸、フマル酸、アジピン酸、安息香酸、フタル酸およびクエン酸が挙げられる。本発明の緩衝系において有用な共役塩基としては、限定されないが、有機酸の塩、および有機溶媒の一覧に挙げられるアミンの塩が挙げられる。2種類以上の酸/塩基緩衝液の組み合わせも本明細書において企図される。好ましい実施形態において、本発明の緩衝系は、マロン酸およびジメチルジグリコールアミンを含む。
キレート剤を添加して、下地層における金属、例えば銅および/またはコバルトの腐食を低減することができる。かかる組成物におけるキレート剤/不動態化剤は、例えば実施形態Aに関して上述される適切な種類であることができる。
水が意図的に組成物に添加されなかったとしても、実施例Bの除去組成物中に水が存在し得ることが本明細書において企図される。
種々の好ましい実施形態において、実施形態の除去組成物は、以下の配合物BA〜BJで配合され、すべてのパーセンテージは、配合物の全重量に基づく重量基準による:
配合物BA:フッ化アンモニウム0.5%;マロン酸2.58%;ジエチレングリコール68.08%;ジメチルジグリコールアミン3%;水25.64%;3−アミノ−5−メルカプト−1,2,4−トリアゾール0.2%
配合物BB:フッ化アンモニウム0.5%;マロン酸2.58%;ジエチレングリコール68.08%;ジメチルジグリコールアミン3%;水25.64%;4−メチル−2−フェニルイミダゾール0.2%
配合物BC:フッ化アンモニウム0.5%;マロン酸2.58%;ジエチレングリコール68.08%;ジメチルジグリコールアミン3%;水25.64%;5−アミノ−1,3,4−チアジアゾール−2−チオール0.2%
Figure 2008546036
Figure 2008546036
Figure 2008546036
配合物BR:フッ化アンモニウム2.0%;マロン酸2.58%;ジエチレングリコール89.52%;ジメチルジグリコールアミン3%;クエン酸2.9%
配合物BS:フッ化アンモニウム1.0%;マロン酸5.16%;ジエチレングリコール87.84%;ジメチルジグリコールアミン3%;マレイン酸3%
配合物BT:メチルジエタノールアミン−フッ化水素酸塩75%;水25%
配合物BU:メチルジエタノールアミン−フッ化水素酸塩67.5%;水22.5%;ジエチレングリコールメチルエーテル10%
配合物BV:メチルジエタノールアミン−フッ化水素酸塩60%;水20%;ジエチレングリコールメチルエーテル20%
配合物BW:メチルジエタノールアミン−フッ化水素酸塩67.5%;水22.5%;イミダゾリドン10%
配合物BX:メチルジエタノールアミン−フッ化水素酸塩60%;水20%;NMP20%
配合物BY:メチルジエタノールアミン−フッ化水素酸塩74.85%;水24.95%;3−アミノ−5−メルカプト−1,2,4−トリアゾール0.2%
配合物BZ:メチルジエタノールアミン−フッ化水素酸塩74.85%;水24.95%;5−アミノ−1,3,4−チアジアゾール−2−チオール0.2%
配合物BA:メチルジエタノールアミン−フッ化水素酸塩74.85%;水24.95%;4−メチル−4H−1,2,4−トリアゾール−3−チオール0.2%
配合物BB:メチルジエタノールアミン−フッ化水素酸塩60%;水20%;ペンタメチルジエチレントリアミン20%
配合物BC:メチルジエタノールアミン−フッ化水素酸塩59.88%;水19.96%;NMP19.96%;5−アミノ−1,3,4−チアジアゾール−2−チオール0.2%
配合物BD:メチルジエタノールアミン−フッ化水素酸塩59.88%;水19.96%;NMP19.96%;4−メチル−4H−1,2,4−トリアゾール−3−チオール0.2%
配合物BE:メチルジエタノールアミン−フッ化水素酸塩37.5%;トリエタノールアミン−フッ化水素酸塩18.75%;ヒドロキシエチルモルホリン−フッ化水素酸塩22.1%;水21.65%
配合物BF:メチルジエタノールアミン−フッ化水素酸塩60%;トリエタノールアミン−フッ化水素酸塩7.5%;ヒドロキシエチルモルホリン−フッ化水素酸塩8.84%;水23.66%
配合物BG:メチルジエタノールアミン−フッ化水素酸塩45%;メチルジエタノールアミン−リン酸塩29.88%;水25.12%
配合物BH:メチルジエタノールアミン−フッ化水素酸塩40.55%;メチルジエタノールアミン−リン酸塩26.89%;ジメチルアセトアミド10%;水22.6%
配合物BI:メチルジエタノールアミン−フッ化水素酸塩40.55%;メチルジエタノールアミン−リン酸塩26.89%;NMP10%;水22.6%
配合物BJ:メチルジエタノールアミン−フッ化水素酸塩40.55%;メチルジエタノールアミン−リン酸塩26.89%;DMSO10%;水22.6%。
さらに、実施形態Bの組成物は、水などの溶媒で希釈され、限定されないが、研磨スラリーからの粒子、カーボンリッチ粒子、研磨パッド粒子、ブラシ吸着性粒子、構造粒子の装置材料、銅、酸化銅、およびCMPプロセスの副生成物である他の材料などのCMP後残留物を除去するために、化学機械研磨(CMP)後組成物として使用されることが、本明細書で企図される。
本発明の液体除去組成物は、各成分を単に加え、均一な状態まで混合することによって容易に配合される。さらに、液体除去組成物は、単一パッケージの配合物、または使用する時点でまたは使用する前に混合される複数パートの配合物として容易に配合することができ、例えば、複数パートの配合物の個々のパートは、器具にて、または器具上流の貯蔵タンク内で混合される。各成分の濃度は、具体的な多数の液体除去組成物において大幅に異なり、つまり、本発明の広範な実施においてさらに希釈または濃縮されることができ、本発明の液体除去組成物は様々に、かつ代わりに、本明細書における開示内容と一致する成分のいずれかの組み合わせを含む、からなる、またはから本質的になることを理解されよう。
したがって、本発明の他の態様は、1つまたは複数の容器内に、本発明の組成物を形成するように適応された1種または複数種の成分を含むキットに関する。例えば、キットは、製造工場または使用する箇所で任意の水と合わせられる、少なくとも1種類のフッ化物含有化合物、任意に少なくとも1種類の有機溶媒、任意に酸−塩基緩衝液、および任意に少なくとも1種類のキレート剤/不動態化剤を1つまたは複数の容器内に含み得る。代替方法としては、キットは、製造工場または使用する箇所で任意の水および任意の少なくとも1種類の有機溶媒と合わせられる、少なくとも1種類のフッ化物含有化合物、任意に酸−塩基緩衝液、および任意に少なくとも1種類のキレート剤/不動態化剤を1つまたは複数の容器内に含み得る。キットの容器は、前記液体除去組成物を保管および輸送するのに適していなければならず、例えば、NOWPak(登録商標)容器(米国,コネチカット州ダンベリーのアドバンスト・テクノロジー・マテリアルズ社(Advanced Technology Materials,Inc.,Danbury,Conn.,USA))が挙げられる。
さらに他の実施形態において、実施形態Bの液体除去組成物は、残留物質を除去するための、少なくとも少なくとも1種類のフッ化物含有化合物、任意に少なくとも1種類の有機溶媒、任意に酸−塩基緩衝液、任意に少なくとも1種類のキレート剤/不動態化剤、および任意に水を含有し、その残留物質は、SARCおよび/またはエッチング後残留物を含む。重要なことには、残留物質は、本発明の液体除去組成物に溶解かつ/または分散することができる。
液体除去組成物の使用用法
本発明の除去組成物は、各成分を単に添加し、均一な状態まで混合することによって容易に配合される。
除去用途において、除去組成物は、いずれかの適切な方法で、例えば、マイクロ電子デバイスの表面上に除去組成物を吹付けることによって、多量のクリーニング組成物中でマイクロ電子デバイスを浸し塗りすることによって、クリーニングされるマイクロ電子デバイスを他の材料と、例えば、除去組成物をたっぷり含んだパッドまたは繊維状吸収性アプリケーター要素と接触させることによって、マイクロ電子デバイスを循環除去組成物と接触させることによって、または、クリーニングされるマイクロ電子デバイスと除去組成物を除去接触させる、他の適切な手段、手法または技術によって、クリーニングされるマイクロ電子デバイスに塗布される。
半導体製造作業に適用される場合、本発明のクリーニング組成物は、SARCおよび/またはエッチング後残留物質を、その上にかかる材料を有するマイクロ電子デバイスから除去するために有用に用いられる。
本発明の組成物は、ILD構造、金属被覆、バリア層など、マイクロ電子デバイス上に存在し、かつ除去組成物にさらされる他の材料と比較して、かかるSARC材料に対するその選択性によって、非常に効率的な方法でSARCおよび/またはエッチング後残留物質の少なくとも部分的な除去を実現する。
重要なことには、本発明の組成物は、少量の水、例えば約1重量%未満の水を含み、特に実施例Aの組成物はそのままで、銅、アルミニウムおよびコバルトなどの金属相互連結層と相溶性である。本発明の組成物の存在下での銅および/またはコバルトのエッチング速度は、好ましくは5Å/分未満、さらに好ましくは2Å/分未満、最も好ましくは1Å/分未満である。
SARC材料を、その上にその材料を有するマイクロ電子デバイス基板から除去するための本発明の組成物の使用において、一般に、クリーニング組成物を範囲約20〜約80℃の温度で約1〜約60分、好ましくは約20〜約30分の時間、デバイス基板と接触させる。かかる接触時間および温度は実例であり、本発明の広範な実施内でデバイス基板からSARC材料を少なくとも部分的に除去するのに有効な、他の適切な時間および温度条件を用いることができる。本明細書で定義される、「少なくとも部分的な除去」とは、SARC材料の少なくとも50%の除去、好ましくはSARC材料の少なくとも80%の除去に相当する。最も好ましくは、SARC材料の少なくとも90%が、本発明の組成物を使用して除去される。
所望のクリーニング作用を実現した後、例えば、本発明の組成物の所定の最終用途において望ましく、かつ有効であるような、すすぎ、洗浄、または他の除去段階によって、クリーニング組成物は、それが事前に塗布されているデバイスから容易に除去される。例えば、デバイスは脱イオン水ですすがれる。
本発明の更なる実施形態は、マイクロ電子デバイスを含む物品を製造する方法に関し、前記方法は、SARCおよび/またはエッチング後残留物質を、その上に前記材料を有するマイクロ電子デバイスから除去するのに十分な時間、マイクロ電子デバイスを液体除去組成物と接触させることと、前記物品中に前記マイクロ電子デバイスを組み込むこととを含み、液体除去組成物は、少なくとも1種類のフッ化物含有化合物、少なくとも1種類の有機溶媒、任意に水、および任意に少なくとも1種類のキレート剤/不動態化剤を含有する。
本発明の他の実施形態は、マイクロ電子デバイスを含む物品を製造する方法に関し、前記方法は、SARCおよび/またはエッチング後残留物質を、その上に前記材料を有するマイクロ電子デバイスから除去するのに十分な時間、マイクロ電子デバイスを液体除去組成物と接触させることと、前記物品中に前記マイクロ電子デバイスを組み込むこととを含み、液体除去組成物は、少なくとも1種類のフッ化物含有化合物、任意に少なくとも1種類の有機溶媒、任意に酸−塩基緩衝液、任意に少なくとも1種類のキレート剤/不動態化剤、および任意に水を含有する。
本発明の特徴および利点は、以下に記述される例証となる実施例によってより完全に示される。
実施例1
SARC層を含むパターン形成低k誘電体基板の試料上でSARC除去を行った。試料を40℃で30分間(表1および2)または20℃で15分間(表3)、実施例Aの除去溶液に浸漬し、次いで、大量の脱イオン水ですすいだ。走査型電子顕微鏡法を用いて、パターンウエハーからのSARC材料の除去%を概算した。その結果を以下の表1〜3に示す。
パターンウエハーからのSARC層の除去を概算することに加えて、除去溶液における銅および/またはコバルト金属のエッチング速度を決定した。銅および/またはコバルトのブランケット金属ウエハーを除去溶液に浸漬し、抵抗率に基づく四探針測定を用いて、各金属のエッチング速度を決定した。その結果を以下の表1に示す。
Figure 2008546036
Figure 2008546036
Figure 2008546036
実施例2
実施形態Bの除去溶液における銅および/またはコバルト金属のエッチング速度を実験的に決定した。銅および/またはコバルトのブランケット金属ウエハーを除去溶液に浸漬し、ガルヴァーニ電池を用いて各金属のエッチング速度を決定した。その結果を以下の表4に示す。
Figure 2008546036
実施例3
配合物ALおよびAMにブランケット(blanketed)誘電体、銅およびコバルトウエハーを40℃で30分間、静的浸漬した後に、誘電材料、銅およびコバルトのエッチング速度を決定した。電気化学的に導き出されたターフェルプロットを用いて、エッチング速度を決定した。
ALにおいて、誘電材料、コバルト、および銅のエッチング速度はそれぞれ、1.7Å/分−1、0.043Å/分−1、および0.07Å/分−1であると決定された。配合物AMにおいては、誘電材料、コバルト、および銅のエッチング速度はそれぞれ、0.6Å/分−1、0.028Å/分−1、および0.055Å/分−1であると決定された。
したがって、本発明は、本発明の具体的な態様、特徴および実例となる実施形態を参照して本明細書で説明されているが、本発明の有用性は限定されるものではなく、むしろ、多くの他の態様、特徴および実施形態に及び、かつ多くの他の態様、特徴および実施形態を包含することを理解されたい。したがって、以下に記載の特許請求の範囲はそれに応じて、その精神および範囲内で、かかるすべての態様、特徴および実施形態を含むと広く解釈されることが意図される。

Claims (35)

  1. 少なくとも1種類のフッ化物含有化合物と、少なくとも1種類の有機溶媒と、任意に水と、任意に少なくとも1種類のキレート剤とを含む液体除去組成物であって、犠牲反射防止コーティング(SARC)材料およびエッチング後残留物を、その上にかかる材料および残留物を有するマイクロ電子デバイスから除去するのに有用である、液体除去組成物。
  2. 有機溶媒とフッ化物含有化合物とのモル比が、約1:1〜約120:1の範囲である、請求項1に記載の液体除去組成物。
  3. 有機溶媒とフッ化物含有化合物とのモル比が、約30:1〜約65:1の範囲である、請求項1に記載の液体除去組成物。
  4. 前記の少なくとも1種類のフッ化物含有化合物がフッ化水素を含む、請求項1に記載の液体除去組成物。
  5. 前記の少なくとも1種類の有機溶媒が、テトラメチレンスルホン、メタノール、エタノール、1−プロパノール、2−プロパノール、1−ブタノール、2−ブタノール、t−ブタノール、1−ペンタノール、エチレングリコール、プロピレングリコール、1,4−ブタンジオール、ネオペンチルグリコール、ジエチレングリコールモノメチルエーテル、トリエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、トリエチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノブチルエーテル、ジエチレングリコールモノブチルエーテル、トリエチレングリコールモノブチルエーテル、プロピレングリコールメチルエーテル、ジプロピレングリコールメチルエーテル、トリプロピレングリコールメチルエーテル、プロピレングリコールn−プロピルエーテル、ジプロピレングリコールn−プロピルエーテル、トリプロピレングリコールn−プロピルエーテル、プロピレングリコールn−ブチルエーテル、ジプロピレングリコールn−ブチルエーテル、トリプロピレングリコールn−ブチルエーテル、ジメチルアセトアミド、ホルムアミド、ジメチルホルムアミド、1−メチル−2−ピロリジノン、ジメチルスルホキシド、およびそれらの組み合わせからなる群から選択される化合物を含む、請求項1に記載の液体除去組成物。
  6. 少なくとも1種類の有機溶媒が、メタノール、エタノール、エチレングリコール、プロピレングリコール、2−プロパノール、1−プロパノール、1−ブタノール、1,4−ブタンジオール、1−ペンタノール、ジエチレングリコールメチルエーテル、テトラメチレンスルホン、トリプロピレングリコールメチルエーテル、ジプロピレングリコールn−ブチルエーテル、ジプロピレングリコールメチルエーテル、およびそれらの組み合わせからなる群から選択される化合物を含む、請求項1に記載の液体除去組成物。
  7. 前記の少なくとも1種類の有機溶媒が、1−ブタノール、1,4−ブタンジオールおよびそれらの組み合わせからなる群から選択される化合物を含む、請求項1に記載の液体除去組成物。
  8. 前記の少なくとも1種類の有機溶媒が、エチレングリコール、テトラメチレンスルホン、トリプロピレングリコールメチルエーテル、ジプロピレングリコールn−ブチルエーテル、およびそれらの組み合わせからなる群から選択される化合物を含む、請求項1に記載の液体除去組成物。
  9. 水を含有し、有機溶媒と水とのモル比が、約1:1〜約150:1である、請求項1に記載の液体除去組成物。
  10. 水を含有し、有機溶媒と水とのモル比が、約30:1〜約60:1である、請求項1に記載の液体除去組成物。
  11. ベンゾトリアゾール、トリルトリアゾール、5−フェニル−ベンゾトリアゾール、5−ニトロ−ベンゾトリアゾール、3−アミノ−5−メルカプト−1,2,4−トリアゾール、1−アミノ−1,2,4−トリアゾール、ヒドロキシベンゾトリアゾール、2−(5−アミノ−ペンチル)−ベンゾトリアゾール、1−アミノ−1,2,3−トリアゾール、1−アミノ−5−メチル−1,2,3−トリアゾール、3−アミノ−1,2,4−トリアゾール、3−メルカプト−1,2,4−トリアゾール、3−イソプロピル−1,2,4−トリアゾール、5−フェニルチオール−ベンゾトリアゾール、ハロ−ベンゾトリアゾール、ナフトトリアゾール、2−メルカプトベンゾイミジゾール、2−メルカプトベンゾチアゾール、4−メチル−2−フェニルイミダゾール、2−メルカプトチアゾリン、5−アミノテトラゾール、5−アミノ−1,3,4−チアジアゾール−2−チオール、2,4−ジアミノ−6−メチル−1,3,5−トリアジン、チアゾール、トリアジン、メチルテトラゾール、1,3−ジメチル−2−イミダゾリジノン、1,5−ペンタメチレンテトラゾール、1−フェニル−5−メルカプトテトラゾール、ジアミノメチルトリアジン、メルカプトベンゾチアゾール、イミダゾリンチオン、メルカプトベンズイミダゾール、4−メチル−4H−1、2,4−トリアゾール−3−チオール、5−アミノ−1,3,4−チアジアゾール−2−チオール、ベンゾチアゾール、トリトリルホスフェート、インジアゾール、グアニン、アデニン、グリセロール、チオグリセロール、ニトリロ三酢酸、サリチルアミド、イミノ二酢酸、ベンゾグアナミン、メラミン、チオシラヌル酸、アントラニル酸、没食子酸、アスコルビン酸、サリチル酸、8−ヒドロキシキノリン、5−カルボン酸−ベンゾトリアゾール、3−メルカプトプロパノール、ホウ酸、イミノ二酢酸、およびそれらの組み合わせからなる群から選択される少なくとも1種類のキレート剤を含有する、請求項1に記載の液体除去組成物。
  12. 水が、前記組成物の全重量に基づき約0.01重量%〜約1重量%の量で存在する、請求項9に記載の液体除去組成物。
  13. 前記組成物のpHが、約1〜約5の範囲である、請求項1に記載の液体除去組成物。
  14. 99%を超えるSARC材料を除去し、かつ40℃において約0.1Å/分−1未満の銅エッチング速度を有する、請求項1に記載の液体除去組成物。
  15. 99%を超えるSARC材料を除去し、かつ40℃において約0.1Å/分−1未満のコバルトエッチング速度を有する、請求項1に記載の液体除去組成物。
  16. 99%を超えるSARC材料を除去し、かつ40℃において約2Å/分−1未満の誘電材料エッチング速度を有する、請求項1に記載の液体除去組成物。
  17. SARC残留物、エッチング後残留物、およびそれらの組み合わせからなる群から選択される残留物質をさらに含む、請求項1に記載の液体除去組成物。
  18. 少なくとも1種類の酸−塩基緩衝液をさらに含む、請求項1に記載の液体除去組成物。
  19. 前記組成物のpHが、約4〜約9の範囲である、請求項18に記載の液体除去組成物。
  20. 配合物AA〜AIおよびBA〜BJ
    配合物AA:エタノール99.5%;フッ化水素0.245%;水0.255%
    配合物AB:エタノール98.5%;フッ化水素0.735%;水0.765%
    配合物AC:エタノール74.5%;ジプロピレングリコールメチルエーテル25.0%;フッ化水素0.245%;水0.255%
    配合物AD:エタノール73.5%;ジプロピレングリコールメチルエーテル25.0%;フッ化水素0.735%;水0.765%
    配合物AE:エタノール74.5%;ジエチレングリコールメチルエーテル25.0%;フッ化水素0.245%;水0.255%
    配合物AF:エタノール73.5%;ジエチレングリコールメチルエーテル25.0%;フッ化水素0.735%;水0.765%
    配合物AG:エタノール98.0%;フッ化水素0.98%;水1.02%
    配合物AH:メタノール98.5%;フッ化水素0.735%;水0.765%
    配合物AI:エチレングリコール98.5%;フッ化水素0.735%;水0.765%
    配合物AJ:プロピレングリコール98.5%;フッ化水素0.735%;水0.765%
    配合物AK:ジエチレングリコールブチルエーテル98.5%;フッ化水素0.735%;水0.765%
    配合物AL:2−プロパノール98.5%;フッ化水素0.735%;水0.765%
    配合物AM:1,4−ブタンジオール98.5%;フッ化水素0.735%;水0.765%
    配合物AN:1−プロパノール98.5%;フッ化水素0.735%;水0.765%
    配合物AO:1−ペンタノール98.5%;フッ化水素0.735%;水0.765%
    配合物AP:エチレングリコール25.0%;1−ブタノール73.5%;フッ化水素0.735%;水0.765%
    配合物AQ:1−ブタノール98.5%;フッ化水素0.735%;水0.765%
    配合物AR:エチレングリコール50.0%;1−ブタノール48.5%;フッ化水素0.735%;水0.765%
    配合物AS:エチレングリコール75.0%;1−ブタノール23.5%;フッ化水素0.735%;水0.765%
    配合物AT:エチレングリコール25.0%;エタノール73.5%;フッ化水素0.735%;水0.765%
    配合物AU:エチレングリコール50.0%;エタノール48.5%;フッ化水素0.735%;水0.765%
    配合物AV:エチレングリコール75.0%;エタノール23.5%;フッ化水素0.735%;水0.765%
    配合物AW:エチレングリコール70.0%;1−ブタノール28.5%;フッ化水素0.735%;水0.765%
    配合物AX:エチレングリコール50.0%;1−ブタノール48.5%;フッ化水素0.735%;水0.765%
    配合物AY:エチレングリコール75.0%;1,4−ブタンジオール23.5%;フッ化水素0.735%;水0.765%
    配合物AZ:エチレングリコール60.0%:1−ペンタノール38.5%:フッ化水素0.735%;水0.765%
    配合物AA:エチレングリコール50.0%;1−ペンタノール48.5%;フッ化水素0.735%;水0.765%
    配合物AB:エチレングリコール80.0%;1−ペンタノール18.5%;フッ化水素0.735%;水0.765%
    配合物AC:エチレングリコール90.0%;1−ペンタノール8.5%;フッ化水素0.735%;水0.765%
    配合物AD:1,4−ブタンジオール99.25%;フッ化水素0.3675%;水0.3825%
    配合物AE:エチレングリコール90.0%;1−ペンタノール9.25%;フッ化水素0.3675%;水0.3825%
    配合物AF:1,4−ブタンジオール99.51%;フッ化水素0.49%
    配合物AG:1,4−ブタンジオール99.265%;フッ化水素0.735%
    配合物AH:1,4−ブタンジオール89.25%;1−ブタノール10.0%;フッ化水素0.3675%;水0.3825%
    配合物AI:1,4−ブタンジオール80.0%;1−ブタノール19.25%;フッ化水素0.3675%;水0.3825%
    配合物AJ:1,4−ブタンジオール70.0%;1−ブタノール29.25%;フッ化水素0.3675%;水0.3825%
    配合物AK:1,4−ブタンジオール60.0%;1−ブタノール39.25%;フッ化水素0.3675%;水0.3825%
    配合物AL:1,4−ブタンジオール50.0%;1−ブタノール49.25%;フッ化水素0.3675%;水0.3825%
    配合物AM:1,4−ブタンジオール98.875%;フッ化水素0.55125%;水0.57375%
    Figure 2008546036

    配合物AY:エタノール79.25%;1−メチル−2−ピロリジノン20%;フッ化水素0.3675%;水0.3825%
    配合物AZ:エチレングリコール79.25%;ジメチルアセトアミド20%;フッ化水素0.3675%;水0.3825%
    配合物AA:テトラメチレンスルホン99.25%;フッ化水素0.3675%;水0.3825%
    配合物AB:エチレングリコール50%;テトラメチレンスルホン49.25%;フッ化水素0.3675%;水0.3825%
    配合物AC:エチレングリコール50%;テトラメチレンスルホン49.625%;フッ化水素0.375%
    配合物AD:エチレングリコール45%;テトラメチレンスルホン39.625%;トリプロピレングリコールメチルエーテル15%;フッ化水素0.375%
    配合物AE:エチレングリコール45%;テトラメチレンスルホン34.625%;トリプロピレングリコールメチルエーテル20%;フッ化水素0.375%
    配合物AF:エチレングリコール45%;テトラメチレンスルホン39.625%;ジプロピレングリコールn−ブチルエーテル15%;フッ化水素0.375%
    配合物AG:エチレングリコール45%;テトラメチレンスルホン39.625%;ジプロピレングリコールメチルエーテル15%;フッ化水素0.375%
    配合物AH:1,4−ブタンジオール70.0%;1−ブタノール29.25%;フッ化水素0.3675%;水0.3825%
    配合物AI:エチレングリコール44.882%;ジ(プロピレングリコール)ブチルエーテル15%;スルホラン39.64%;HF0.375%;ポリクオタニウム2 0.1%;水酸化テトラメチルアンモニウムシリケート0.003%
    配合物BA:フッ化アンモニウム0.5%;マロン酸2.58%;ジエチレングリコール68.08%;ジメチルジグリコールアミン3%;水25.64%;3−アミノ−5−メルカプト−1,2,4−トリアゾール0.2%
    配合物BB:フッ化アンモニウム0.5%;マロン酸2.58%;ジエチレングリコール68.08%;ジメチルジグリコールアミン3%;水25.64%;4−メチル−2−フェニルイミダゾール0.2%
    配合物BC:フッ化アンモニウム0.5%;マロン酸2.58%;ジエチレングリコール68.08%;ジメチルジグリコールアミン3%;水25.64%;5−アミノ−1,3,4−チアジアゾール−2−チオール0.2%
    Figure 2008546036

    配合物BR:フッ化アンモニウム2.0%;マロン酸2.58%;ジエチレングリコール89.52%;ジメチルジグリコールアミン3%;クエン酸2.9%
    配合物BS:フッ化アンモニウム1.0%;マロン酸5.16%;ジエチレングリコール87.84%;ジメチルジグリコールアミン3%;マレイン酸3%
    配合物BT:メチルジエタノールアミン−フッ化水素酸塩75%;水25%
    配合物BU:メチルジエタノールアミン−フッ化水素酸塩67.5%;水22.5%;ジエチレングリコールメチルエーテル10%
    配合物BV:メチルジエタノールアミン−フッ化水素酸塩60%;水20%;ジエチレングリコールメチルエーテル20%
    配合物BW:メチルジエタノールアミン−フッ化水素酸塩67.5%;水22.5%;イミダゾリドン10%
    配合物BX:メチルジエタノールアミン−フッ化水素酸塩60%;水20%;NMP20%
    配合物BY:メチルジエタノールアミン−フッ化水素酸塩74.85%;水24.95%;3−アミノ−5−メルカプト−1,2,4−トリアゾール0.2%
    配合物BZ:メチルジエタノールアミン−フッ化水素酸塩74.85%;水24.95%;5−アミノ−1,3,4−チアジアゾール−2−チオール0.2%
    配合物BA:メチルジエタノールアミン−フッ化水素酸塩74.85%;水24.95%;4−メチル−4H−1,2,4−トリアゾール−3−チオール0.2%
    配合物BB:メチルジエタノールアミン−フッ化水素酸塩60%;水20%;ペンタメチルジエチレントリアミン20%
    配合物BC:メチルジエタノールアミン−フッ化水素酸塩59.88%;水19.96%;NMP19.96%;5−アミノ−1,3,4−チアジアゾール−2−チオール0.2%
    配合物BD:メチルジエタノールアミン−フッ化水素酸塩59.88%;水19.96%;NMP19.96%;4−メチル−4H−1,2,4−トリアゾール−3−チオール0.2%
    配合物BE:メチルジエタノールアミン−フッ化水素酸塩37.5%;トリエタノールアミン−フッ化水素酸塩18.75%;ヒドロキシエチルモルホリン−フッ化水素酸塩22.1%;水21.65%
    配合物BF:メチルジエタノールアミン−フッ化水素酸塩60%;トリエタノールアミン−フッ化水素酸塩7.5%;ヒドロキシエチルモルホリン−フッ化水素酸塩8.84%;水23.66%
    配合物BG:メチルジエタノールアミン−フッ化水素酸塩45%;メチルジエタノールアミン−リン酸塩29.88%;水25.12%
    配合物BH:メチルジエタノールアミン−フッ化水素酸塩40.55%;メチルジエタノールアミン−リン酸塩26.89%;ジメチルアセトアミド10%;水22.6%
    配合物BI:メチルジエタノールアミン−フッ化水素酸塩40.55%;メチルジエタノールアミン−リン酸塩26.89%;NMP10%;水22.6%
    配合物BJ:メチルジエタノールアミン−フッ化水素酸塩40.55%;メチルジエタノールアミン−リン酸塩26.89%;DMSO10%;水22.6%
    からなる群から選択され、すべてのパーセンテージが、配合物の全重量に基づく重量基準である、請求項1に記載の液体除去組成物。
  21. 前記マイクロ電子デバイスが、半導体基板、フラットパネルディスプレイ、および微小電気機械システム(MEMS)からなる群から選択される物品を含む、請求項1に記載の液体除去組成物。
  22. 液体除去組成物試薬を1つまたは複数の容器内に含むキットであって、前記液体除去組成物が、少なくとも1種類のフッ化物含有化合物と、少なくとも1種類の有機溶媒と、任意に水と、任意に少なくとも1種類のキレート剤とを含み、かつ前記キットが、SARC材料および/またはエッチング後残留物を、その上に前記材料および残留物を有するマイクロ電子デバイスから除去するのに適している液体除去組成物を形成するように適応されている、キット。
  23. SARC材料および/またはエッチング後残留物を、その上に前記材料および残留物を有するマイクロ電子デバイスから除去する方法であって、マイクロ電子デバイスから前記材料および残留物を少なくとも部分的に除去するのに十分な時間、マイクロ電子デバイスを液体除去組成物と接触させることを含み、前記液体除去組成物が、少なくとも1種類のフッ化物含有化合物と、少なくとも1種類の有機溶媒と、任意に水と、任意に少なくとも1種類のキレート剤とを含む、方法。
  24. 前記液体除去組成物が水を含み、有機溶媒と水とのモル比が、約1:1〜約150:1の範囲である、請求項23に記載の方法。
  25. 前記液体除去組成物が水を含み、有機溶媒と水とのモル比が、約30:1〜約60:1の範囲である、請求項23に記載の方法。
  26. 前記マイクロ電子デバイスが、半導体基板、フラットパネルディスプレイ、および微小電気機械システム(MEMS)からなる群から選択される物品である、請求項23に記載の方法。
  27. 前記接触が、約1分〜約60分間行われる、請求項23に記載の方法。
  28. 前記接触が、約20℃〜約80℃の範囲の温度で行われる、請求項23に記載の方法。
  29. 前記の少なくとも1種類のフッ化物含有化合物が、フッ化水素を含み;かつ
    前記の少なくとも1種類の有機溶媒が、テトラメチレンスルホン、メタノール、エタノール、1−プロパノール、2−プロパノール、1−ブタノール、2−ブタノール、t−ブタノール、1−ペンタノール、エチレングリコール、プロピレングリコール、1,4−ブタンジオール、ネオペンチルグリコール、ジエチレングリコールモノメチルエーテル、トリエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、トリエチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノブチルエーテル、ジエチレングリコールモノブチルエーテル、トリエチレングリコールモノブチルエーテル、プロピレングリコールメチルエーテル、ジプロピレングリコールメチルエーテル、トリプロピレングリコールメチルエーテル、プロピレングリコールn−プロピルエーテル、ジプロピレングリコールn−プロピルエーテル、トリプロピレングリコールn−プロピルエーテル、プロピレングリコールn−ブチルエーテル、ジプロピレングリコールn−ブチルエーテル、トリプロピレングリコールn−ブチルエーテル、ジメチルアセトアミド、ホルムアミド、ジメチルホルムアミド、1−メチル−2−ピロリジノン、ジメチルスルホキシド、およびそれらの組み合わせからなる群から選択される化合物を含む、請求項23に記載の方法。
  30. 有機溶媒とフッ化物含有化合物とのモル比が、約1:1〜約120:1の範囲である、請求項23に記載の方法。
  31. 有機溶媒とフッ化物含有化合物とのモル比が、約30:1〜約60:1の範囲である、請求項23に記載の方法。
  32. 前記接触が、マイクロ電子デバイスの表面に液体除去組成物を吹付けること;十分な量の液体除去組成物にマイクロ電子デバイスを浸し塗りすること;マイクロ電子デバイスの表面を、液体除去組成物で飽和された他の材料と接触させること;マイクロ電子デバイスを循環する液体除去組成物と接触させること;からなる群から選択されるプロセスを含む、請求項23に記載の方法。
  33. 前記液体除去組成物と接触させた後に、マイクロ電子デバイスを脱イオン水ですすぐことをさらに含む、請求項23に記載の方法。
  34. 前記液体除去組成物が、少なくとも1種類の酸−塩基緩衝液をさらに含む、請求項23に記載の方法。
  35. 前記液体除去組成物が、配合物AA〜AIおよびBA〜BJ
    配合物AA:エタノール99.5%;フッ化水素0.245%;水0.255%
    配合物AB:エタノール98.5%;フッ化水素0.735%;水0.765%
    配合物AC:エタノール74.5%;ジプロピレングリコールメチルエーテル25.0%;フッ化水素0.245%;水0.255%
    配合物AD:エタノール73.5%;ジプロピレングリコールメチルエーテル25.0%;フッ化水素0.735%;水0.765%
    配合物AE:エタノール74.5%;ジエチレングリコールメチルエーテル25.0%;フッ化水素0.245%;水0.255%
    配合物AF:エタノール73.5%;ジエチレングリコールメチルエーテル25.0%;フッ化水素0.735%;水0.765%
    配合物AG:エタノール98.0%;フッ化水素0.98%;水1.02%
    配合物AH:メタノール98.5%;フッ化水素0.735%;水0.765%
    配合物AI:エチレングリコール98.5%;フッ化水素0.735%;水0.765%
    配合物AJ:プロピレングリコール98.5%;フッ化水素0.735%;水0.765%
    配合物AK:ジエチレングリコールブチルエーテル98.5%;フッ化水素0.735%;水0.765%
    配合物AL:2−プロパノール98.5%;フッ化水素0.735%;水0.765%
    配合物AM:1,4−ブタンジオール98.5%;フッ化水素0.735%;水0.765%
    配合物AN:1−プロパノール98.5%;フッ化水素0.735%;水0.765%
    配合物AO:1−ペンタノール98.5%;フッ化水素0.735%;水0.765%
    配合物AP:エチレングリコール25.0%;1−ブタノール73.5%;フッ化水素0.735%;水0.765%
    配合物AQ:1−ブタノール98.5%;フッ化水素0.735%;水0.765%
    配合物AR:エチレングリコール50.0%;1−ブタノール48.5%;フッ化水素0.735%;水0.765%
    配合物AS:エチレングリコール75.0%;1−ブタノール23.5%;フッ化水素0.735%;水0.765%
    配合物AT:エチレングリコール25.0%;エタノール73.5%;フッ化水素0.735%;水0.765%
    配合物AU:エチレングリコール50.0%;エタノール48.5%;フッ化水素0.735%;水0.765%
    配合物AV:エチレングリコール75.0%;エタノール23.5%;フッ化水素0.735%;水0.765%
    配合物AW:エチレングリコール70.0%;1−ブタノール28.5%;フッ化水素0.735%;水0.765%
    配合物AX:エチレングリコール50.0%;1−ブタノール48.5%;フッ化水素0.735%;水0.765%
    配合物AY:エチレングリコール75.0%;1,4−ブタンジオール23.5%;フッ化水素0.735%;水0.765%
    配合物AZ:エチレングリコール60.0%;1−ペンタノール38.5%;フッ化水素0.735%;水0.765%
    配合物AA:エチレングリコール50.0%;1−ペンタノール48.5%;フッ化水素0.735%;水0.765%
    配合物AB:エチレングリコール80.0%;1−ペンタノール18.5%;フッ化水素0.735%;水0.765%
    配合物AC:エチレングリコール90.0%;1−ペンタノール8.5%;フッ化水素0.735%;水0.765%
    配合物AD:1,4−ブタンジオール99.25%;フッ化水素0.3675%;水0.3825%
    配合物AE:エチレングリコール90.0%;1−ペンタノール9.25%;フッ化水素0.3675%;水0.3825%
    配合物AF:1,4−ブタンジオール99.51%;フッ化水素0.49%
    配合物AG:1,4−ブタンジオール99.265%;フッ化水素0.735%
    配合物AH:1,4−ブタンジオール89.25%;1−ブタノール10.0%;フッ化水素0.3675%;水0.3825%
    配合物AI:1,4−ブタンジオール80.0%;1−ブタノール19.25%;フッ化水素0.3675%;水0.3825%
    配合物AJ:1,4−ブタンジオール70.0%;1−ブタノール29.25%;フッ化水素0.3675%;水0.3825%
    配合物AK:1,4−ブタンジオール60.0%;1−ブタノール39.25%;フッ化水素0.3675%;水0.3825%
    配合物AL:1,4−ブタンジオール50.0%;1−ブタノール49.25%;フッ化水素0.3675%;水0.3825%
    配合物AM:1,4−ブタンジオール98.875%;フッ化水素0.55125%;水0.57375%
    Figure 2008546036

    配合物AY:エタノール79.25%;1−メチル−2−ピロリジノン20%;フッ化水素0.3675%;水0.3825%
    配合物AZ:エチレングリコール79.25%;ジメチルアセトアミド20%;フッ化水素0.3675%;水0.3825%
    配合物AA:テトラメチレンスルホン99.25%;フッ化水素0.3675%;水0.3825%
    配合物AB:エチレングリコール50%;テトラメチレンスルホン49.25%;フッ化水素0.3675%;水0.3825%
    配合物AC:エチレングリコール50%;テトラメチレンスルホン49.625%;フッ化水素0.375%
    配合物AD:エチレングリコール45%;テトラメチレンスルホン39.625%;トリプロピレングリコールメチルエーテル15%;フッ化水素0.375%
    配合物AE:エチレングリコール45%;テトラメチレンスルホン34.625%;トリプロピレングリコールメチルエーテル20%;フッ化水素0.375%
    配合物AF:エチレングリコール45%;テトラメチレンスルホン39.625%;ジプロピレングリコールn−ブチルエーテル15%;フッ化水素0.375%
    配合物AG:エチレングリコール45%;テトラメチレンスルホン39.625%;ジプロピレングリコールメチルエーテル15%;フッ化水素0.375%
    配合物AH:1,4−ブタンジオール70.0%;1−ブタノール29.25%;フッ化水素0.3675%;水0.3825%
    配合物AI:エチレングリコール44.882%;ジ(プロピレングリコール)ブチルエーテル15%;スルホラン39.64%;HF0.375%;ポリクオタニウム2 0.1%;水酸化テトラメチルアンモニウムシリケート0.003%
    配合物BA:フッ化アンモニウム0.5%;マロン酸2.58%;ジエチレングリコール68.08%;ジメチルジグリコールアミン3%;水25.64%;3−アミノ−5−メルカプト−1,2,4−トリアゾール0.2%
    配合物BB:フッ化アンモニウム0.5%;マロン酸2.58%;ジエチレングリコール68.08%;ジメチルジグリコールアミン3%;水25.64%;4−メチル−2−フェニルイミダゾール0.2%
    配合物BC:フッ化アンモニウム0.5%;マロン酸2.58%;ジエチレングリコール68.08%;ジメチルジグリコールアミン3%;水25.64%;5−アミノ−1,3,4−チアジアゾール−2−チオール0.2%
    Figure 2008546036

    Figure 2008546036

    Figure 2008546036

    配合物BR:フッ化アンモニウム2.0%;マロン酸2.58%;ジエチレングリコール89.52%;ジメチルジグリコールアミン3%;クエン酸2.9%
    配合物BS:フッ化アンモニウム1.0%;マロン酸5.16%;ジエチレングリコール87.84%;ジメチルジグリコールアミン3%;マレイン酸3%
    配合物BT:メチルジエタノールアミン−フッ化水素酸塩75%;水25%
    配合物BU:メチルジエタノールアミン−フッ化水素酸塩67.5%;水22.5%;ジエチレングリコールメチルエーテル10%
    配合物BV:メチルジエタノールアミン−フッ化水素酸塩60%;水20%;ジエチレングリコールメチルエーテル20%
    配合物BW:メチルジエタノールアミン−フッ化水素酸塩67.5%;水22.5%;イミダゾリドン10%
    配合物BX:メチルジエタノールアミン−フッ化水素酸塩60%;水20%;NMP20%
    配合物BY:メチルジエタノールアミン−フッ化水素酸塩74.85%;水24.95%;3−アミノ−5−メルカプト−1,2,4−トリアゾール0.2%
    配合物BZ:メチルジエタノールアミン−フッ化水素酸塩74.85%;水24.95%;5−アミノ−1,3,4−チアジアゾール−2−チオール0.2%
    配合物BA:メチルジエタノールアミン−フッ化水素酸塩74.85%;水24.95%;4−メチル−4H−1,2,4−トリアゾール−3−チオール0.2%
    配合物BB:メチルジエタノールアミン−フッ化水素酸塩60%;水20%;ペンタメチルジエチレントリアミン20%
    配合物BC:メチルジエタノールアミン−フッ化水素酸塩59.88%;水19.96%;NMP19.96%;5−アミノ−1,3,4−チアジアゾール−2−チオール0.2%
    配合物BD:メチルジエタノールアミン−フッ化水素酸塩59.88%;水19.96%;NMP19.96%;4−メチル−4H−1,2,4−トリアゾール−3−チオール0.2%
    配合物BE:メチルジエタノールアミン−フッ化水素酸塩37.5%;トリエタノールアミン−フッ化水素酸塩18.75%;ヒドロキシエチルモルホリン−フッ化水素酸塩22.1%;水21.65%
    配合物BF:メチルジエタノールアミン−フッ化水素酸塩60%;トリエタノールアミン−フッ化水素酸塩7.5%;ヒドロキシエチルモルホリン−フッ化水素酸塩8.84%;水23.66%
    配合物BG:メチルジエタノールアミン−フッ化水素酸塩45%;メチルジエタノールアミン−リン酸塩29.88%;水25.12%
    配合物BH:メチルジエタノールアミン−フッ化水素酸塩40.55%;メチルジエタノールアミン−リン酸塩26.89%;ジメチルアセトアミド10%;水22.6%
    配合物BI:メチルジエタノールアミン−フッ化水素酸塩40.55%;メチルジエタノールアミン−リン酸塩26.89%;NMP10%;水22.6%
    配合物BJ:メチルジエタノールアミン−フッ化水素酸塩40.55%;メチルジエタノールアミン−リン酸塩26.89%;DMSO10%;水22.6%
    からなる群から選択され、すべてのパーセンテージが、配合物の全重量に基づく重量基準である、請求項23に記載の方法。
JP2008515853A 2005-06-07 2006-06-07 金属および誘電体相溶性の犠牲反射防止コーティング浄化および除去組成物 Withdrawn JP2008546036A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US68820405P 2005-06-07 2005-06-07
PCT/US2006/022049 WO2006133253A1 (en) 2005-06-07 2006-06-07 Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition

Publications (1)

Publication Number Publication Date
JP2008546036A true JP2008546036A (ja) 2008-12-18

Family

ID=37498777

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008515853A Withdrawn JP2008546036A (ja) 2005-06-07 2006-06-07 金属および誘電体相溶性の犠牲反射防止コーティング浄化および除去組成物

Country Status (9)

Country Link
US (2) US8951948B2 (ja)
EP (2) EP1891482B1 (ja)
JP (1) JP2008546036A (ja)
KR (3) KR101477455B1 (ja)
CN (2) CN102981377B (ja)
IL (1) IL187956A0 (ja)
SG (2) SG10201504423QA (ja)
TW (3) TWI622639B (ja)
WO (1) WO2006133253A1 (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007128038A (ja) * 2005-07-28 2007-05-24 Rohm & Haas Electronic Materials Llc ストリッパー
JP2011118101A (ja) * 2009-12-02 2011-06-16 Tokyo Ohka Kogyo Co Ltd リソグラフィー用洗浄液及び配線形成方法
JP2016074906A (ja) * 2008-10-21 2016-05-12 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅の洗浄及び保護配合物
JP2016215468A (ja) * 2015-05-19 2016-12-22 キヤノン株式会社 液体吐出ヘッドの製造方法
KR20170015452A (ko) * 2014-06-04 2017-02-08 엔테그리스, 아이엔씨. 금속, 유전체 및 니트라이드 상용성을 가진 반사-방지 코팅 세정 및 에칭-후 잔류물 제거 조성물
JP2018528284A (ja) * 2015-07-14 2018-09-27 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 洗浄組成物及びその使用方法
JP2019516004A (ja) * 2016-05-10 2019-06-13 アトテツク・ドイチユラント・ゲゼルシヤフト・ミツト・ベシユレンクテル・ハフツングAtotech Deutschland GmbH 非水性剥離組成物、および基材から有機被覆を剥離する方法
JP2020531654A (ja) * 2017-08-22 2020-11-05 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 洗浄組成物
JPWO2019151141A1 (ja) * 2018-02-05 2021-01-28 富士フイルム株式会社 処理液、及び、処理方法

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8951948B2 (en) 2005-06-07 2015-02-10 Advanced Technology Materials, Inc. Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
KR20080015027A (ko) * 2005-06-13 2008-02-15 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 규화물 형성 후 금속 또는 금속 합금의 선택적인제거를 위한 조성물 및 방법
KR101444468B1 (ko) 2005-10-05 2014-10-30 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
WO2007044447A2 (en) * 2005-10-05 2007-04-19 Advanced Technology Materials, Inc. Composition and method for selectively etching gate spacer oxide material
WO2007120259A2 (en) * 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
US7960328B2 (en) 2005-11-09 2011-06-14 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
EP2108039A2 (en) * 2006-12-21 2009-10-14 Advanced Technology Materials, Inc. Liquid cleaner for the removal of post-etch residues
TWI516573B (zh) * 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
KR101530321B1 (ko) * 2007-08-08 2015-06-19 아라까와 가가꾸 고교 가부시끼가이샤 무연 땜납 플럭스 제거용 세정제 조성물 및 무연 땜납 플럭스의 제거 방법
JP2011517328A (ja) * 2008-03-07 2011-06-02 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 非選択性酸化物エッチング湿式洗浄組成物および使用方法
TWI460557B (zh) * 2008-03-07 2014-11-11 Wako Pure Chem Ind Ltd 半導體表面用處理劑組成物及使用半導體表面用處理劑組成物之半導體表面處理方法
EP2593964A4 (en) * 2010-07-16 2017-12-06 Entegris Inc. Aqueous cleaner for the removal of post-etch residues
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
TW201716588A (zh) 2010-08-20 2017-05-16 恩特葛瑞斯股份有限公司 從電子廢棄物再生貴金屬和卑金屬之永續製程
KR101868319B1 (ko) 2010-10-06 2018-06-15 엔테그리스, 아이엔씨. 질화 금속을 선택적으로 에칭하기 위한 조성물 및 방법
SG189371A1 (en) * 2010-12-16 2013-05-31 Kyzen Corp Cleaning agent for removal of soldering flux
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
KR101999641B1 (ko) 2011-10-05 2019-07-12 아반토 퍼포먼스 머티리얼즈, 엘엘씨 구리/아졸 중합체 억제를 갖는 마이크로일렉트로닉 기판 세정 조성물
KR102102792B1 (ko) 2011-12-28 2020-05-29 엔테그리스, 아이엔씨. 티타늄 나이트라이드의 선택적인 에칭을 위한 조성물 및 방법
US10176979B2 (en) 2012-02-15 2019-01-08 Entegris, Inc. Post-CMP removal using compositions and method of use
WO2013173738A1 (en) 2012-05-18 2013-11-21 Advanced Technology Materials, Inc. Composition and process for stripping photoresist from a surface including titanium nitride
US9536730B2 (en) * 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations
KR102118964B1 (ko) 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Iii-v 반도체 물질을 세척하기 위한 조성물 및 이를 사용하는 방법
JP6363116B2 (ja) 2013-03-04 2018-07-25 インテグリス・インコーポレーテッド 窒化チタンを選択的にエッチングするための組成物および方法
US9543147B2 (en) 2013-03-12 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist and method of manufacture
US9502231B2 (en) 2013-03-12 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist layer and method
US9245751B2 (en) 2013-03-12 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-reflective layer and method
US9256128B2 (en) * 2013-03-12 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing semiconductor device
CN104102028A (zh) * 2013-04-10 2014-10-15 第一毛织株式会社 表面处理氧化铟锌基板的有机溶液及显示基板制备方法
EP3004287B1 (en) 2013-06-06 2021-08-18 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
CN112442374A (zh) 2013-07-31 2021-03-05 恩特格里斯公司 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
SG11201605003WA (en) 2013-12-20 2016-07-28 Entegris Inc Use of non-oxidizing strong acids for the removal of ion-implanted resist
KR20150077076A (ko) 2013-12-27 2015-07-07 삼성디스플레이 주식회사 표시 패널, 이를 포함하는 표시 장치 및 이의 제조 방법
KR102290209B1 (ko) 2013-12-31 2021-08-20 엔테그리스, 아이엔씨. 규소 및 게르마늄을 선택적으로 에칭하기 위한 배합물
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
KR102405063B1 (ko) * 2014-06-30 2022-06-07 엔테그리스, 아이엔씨. 텅스텐 및 코발트 상용성을 갖는 에치후 잔류물을 제거하기 위한 수성 및 반-수성 세정제
JP6849595B2 (ja) * 2014-12-22 2021-03-24 ビーエイエスエフ・ソシエタス・エウロパエアBasf Se コバルト及び/又はコバルト合金含有の基板の研磨のための化学機械研磨(cmp)組成物の使用
KR102384908B1 (ko) * 2015-11-25 2022-04-08 삼성전자주식회사 자성 패턴 세정 조성물, 자성 패턴 형성 방법 및 자기 메모리 장치의 제조 방법
CN109642159B (zh) * 2016-03-24 2022-02-15 安万托特性材料有限公司 非水性钨相容性金属氮化物选择性蚀刻剂和清洁剂
WO2018061582A1 (ja) * 2016-09-29 2018-04-05 富士フイルム株式会社 処理液および積層体の処理方法
KR20210090294A (ko) * 2017-01-18 2021-07-19 엔테그리스, 아이엔씨. 표면으로부터 세리아 입자를 제거하기 위한 조성물 및 방법
US20190103282A1 (en) * 2017-09-29 2019-04-04 Versum Materials Us, Llc Etching Solution for Simultaneously Removing Silicon and Silicon-Germanium Alloy From a Silicon-Germanium/Silicon Stack During Manufacture of a Semiconductor Device
US11994806B2 (en) 2019-03-14 2024-05-28 Asml Netherlands B.V. Metrology method and apparatus, computer program and lithographic system
CA3039238A1 (en) * 2019-04-05 2020-10-05 Fluid Energy Group Ltd. Novel inhibited hydrofluoric acid composition
CN110714213A (zh) * 2019-10-31 2020-01-21 武汉奥邦表面技术有限公司 一种无氰碱性亚铜电镀铜络合剂
CN113430065B (zh) * 2020-03-23 2024-06-07 上海新阳半导体材料股份有限公司 抗反射涂层清洗及刻蚀后残留物去除组合物、制备方法及用途
CN113433807A (zh) * 2020-03-23 2021-09-24 上海新阳半导体材料股份有限公司 离子注入光刻胶清洗液、其制备方法及应用
CA3083522A1 (en) * 2020-06-12 2021-12-12 Fluid Energy Group Ltd. Process to manufacture novel inhibited hydrofluoric acid composition
CN112859552B (zh) * 2021-02-04 2024-01-05 上海新阳半导体材料股份有限公司 一种氧化钒缓蚀含氟剥离液的应用
CN115466651A (zh) * 2022-10-09 2022-12-13 福建省腾达洁环保工程有限公司 一种电力电子设备带电清洗剂及其制备方法

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4343677A (en) 1981-03-23 1982-08-10 Bell Telephone Laboratories, Incorporated Method for patterning films using reactive ion etching thereof
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
JP3074634B2 (ja) 1994-03-28 2000-08-07 三菱瓦斯化学株式会社 フォトレジスト用剥離液及び配線パターンの形成方法
US5571447A (en) * 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
JP3236220B2 (ja) 1995-11-13 2001-12-10 東京応化工業株式会社 レジスト用剥離液組成物
US5855811A (en) * 1996-10-03 1999-01-05 Micron Technology, Inc. Cleaning composition containing tetraalkylammonium salt and use thereof in semiconductor fabrication
US5698503A (en) * 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
US6755989B2 (en) * 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6224785B1 (en) * 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
KR100234541B1 (ko) * 1997-03-07 1999-12-15 윤종용 반도체장치 제조용 웨이퍼의 세정을 위한 세정조성물 및 그를 이용한 세정방법
JPH1167632A (ja) 1997-08-18 1999-03-09 Mitsubishi Gas Chem Co Inc 半導体装置用洗浄剤
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
JPH11323394A (ja) 1998-05-14 1999-11-26 Texas Instr Japan Ltd 半導体素子製造用洗浄剤及びそれを用いた半導体素子の製造方法
KR20010025043A (ko) 1998-05-18 2001-03-26 바누치 유진 지. 반도체 기판용 스트립팅 조성물
US7547669B2 (en) 1998-07-06 2009-06-16 Ekc Technology, Inc. Remover compositions for dual damascene system
US6395194B1 (en) 1998-12-18 2002-05-28 Intersurface Dynamics Inc. Chemical mechanical polishing compositions, and process for the CMP removal of iridium thin using same
JP4224652B2 (ja) 1999-03-08 2009-02-18 三菱瓦斯化学株式会社 レジスト剥離液およびそれを用いたレジストの剥離方法
WO2000064828A1 (fr) * 1999-04-27 2000-11-02 Hiroshi Miwa Composition de gravure du verre et procede de givrage utilisant cette composition
US6248704B1 (en) 1999-05-03 2001-06-19 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductors devices
US6268457B1 (en) 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
US6329118B1 (en) 1999-06-21 2001-12-11 Intel Corporation Method for patterning dual damascene interconnects using a sacrificial light absorbing material
US6235693B1 (en) 1999-07-16 2001-05-22 Ekc Technology, Inc. Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
JP3389166B2 (ja) 1999-09-10 2003-03-24 日本電気株式会社 レジスト用剥離液組成物
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6723691B2 (en) 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6492308B1 (en) 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
KR100540525B1 (ko) * 2000-04-26 2006-01-11 다이킨 고교 가부시키가이샤 세정용 조성물
KR20010113396A (ko) * 2000-06-19 2001-12-28 주식회사 동진쎄미켐 암모늄 플로라이드를 함유하는 포토레지스트 리무버 조성물
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
EP1357092A1 (en) * 2000-12-27 2003-10-29 Hiroshi Miwa Method for preparing decorative glass using glass etching composition
US6627587B2 (en) * 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US6645867B2 (en) 2001-05-24 2003-11-11 International Business Machines Corporation Structure and method to preserve STI during etching
US20030022800A1 (en) 2001-06-14 2003-01-30 Peters Darryl W. Aqueous buffered fluoride-containing etch residue removers and cleaners
MY143399A (en) 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
TWI297102B (en) 2001-08-03 2008-05-21 Nec Electronics Corp Removing composition
US20030171239A1 (en) * 2002-01-28 2003-09-11 Patel Bakul P. Methods and compositions for chemically treating a substrate using foam technology
US6773873B2 (en) * 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
CN1659480A (zh) * 2002-06-07 2005-08-24 马林克罗特贝克公司 用于微电子基底的清洁组合物
RS50930B (sr) * 2002-06-07 2010-08-31 Avantor Performance Materials Inc. Kompozicije za mikroelektronsko čišćenje koje sadrže oksidatore i organske rastvarače
JP2004029276A (ja) 2002-06-25 2004-01-29 Mitsubishi Gas Chem Co Inc 銅配線基板向け含フッ素レジスト剥離液
US20040050406A1 (en) 2002-07-17 2004-03-18 Akshey Sehgal Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
US6849200B2 (en) * 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
KR20050024432A (ko) * 2002-07-23 2005-03-10 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 희생 항반사 물질의 웨트 스트리핑 제거를 위한 조성물 및방법
WO2004019134A1 (ja) * 2002-08-22 2004-03-04 Daikin Industries, Ltd. 剥離液
US7267727B2 (en) * 2002-09-24 2007-09-11 Air Products And Chemicals, Inc. Processing of semiconductor components with dense processing fluids and ultrasonic energy
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
TW200505975A (en) * 2003-04-18 2005-02-16 Ekc Technology Inc Aqueous fluoride compositions for cleaning semiconductor devices
US7309448B2 (en) 2003-08-08 2007-12-18 Applied Materials, Inc. Selective etch process of a sacrificial light absorbing material (SLAM) over a dielectric material
US20050079803A1 (en) * 2003-10-10 2005-04-14 Siddiqui Junaid Ahmed Chemical-mechanical planarization composition having PVNO and associated method for use
EP1690135A4 (en) 2003-12-02 2007-05-09 Advanced Tech Materials METHOD AND CHEMICAL FOR REMOVING PHOTOSENSITIVE RESIN, ANTI-REFLECTIVE COATING OR FILLING MATERIAL
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US7122484B2 (en) 2004-04-28 2006-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Process for removing organic materials during formation of a metal interconnect
US7497959B2 (en) 2004-05-11 2009-03-03 International Business Machines Corporation Methods and structures for protecting one area while processing another area on a chip
US8030263B2 (en) * 2004-07-01 2011-10-04 Air Products And Chemicals, Inc. Composition for stripping and cleaning and use thereof
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060255315A1 (en) * 2004-11-19 2006-11-16 Yellowaga Deborah L Selective removal chemistries for semiconductor applications, methods of production and uses thereof
CN101163776A (zh) * 2004-11-19 2008-04-16 霍尼韦尔国际公司 用于半导体应用的选择性去除化学物质,其制备方法和用途
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7923423B2 (en) 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
US7365045B2 (en) 2005-03-30 2008-04-29 Advanced Tehnology Materials, Inc. Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
US8951948B2 (en) 2005-06-07 2015-02-10 Advanced Technology Materials, Inc. Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
US20070179072A1 (en) * 2006-01-30 2007-08-02 Rao Madhukar B Cleaning formulations
WO2008039730A1 (en) * 2006-09-25 2008-04-03 Advanced Technology Materials, Inc. Compositions and methods for the removal of photoresist for a wafer rework application

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007128038A (ja) * 2005-07-28 2007-05-24 Rohm & Haas Electronic Materials Llc ストリッパー
JP2016074906A (ja) * 2008-10-21 2016-05-12 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅の洗浄及び保護配合物
JP2011118101A (ja) * 2009-12-02 2011-06-16 Tokyo Ohka Kogyo Co Ltd リソグラフィー用洗浄液及び配線形成方法
JP7018989B2 (ja) 2014-06-04 2022-02-14 インテグリス・インコーポレーテッド 金属、誘電体および窒化物適合性を有する、反射防止コーティング洗浄およびエッチング後残留物除去組成物
KR20170015452A (ko) * 2014-06-04 2017-02-08 엔테그리스, 아이엔씨. 금속, 유전체 및 니트라이드 상용성을 가진 반사-방지 코팅 세정 및 에칭-후 잔류물 제거 조성물
JP2017519862A (ja) * 2014-06-04 2017-07-20 インテグリス・インコーポレーテッド 金属、誘電体および窒化物適合性を有する、反射防止コーティング洗浄およびエッチング後残留物除去組成物
KR102420338B1 (ko) * 2014-06-04 2022-07-13 엔테그리스, 아이엔씨. 금속, 유전체 및 니트라이드 상용성을 가진 반사-방지 코팅 세정 및 에칭-후 잔류물 제거 조성물
JP2020167418A (ja) * 2014-06-04 2020-10-08 インテグリス・インコーポレーテッド 金属、誘電体および窒化物適合性を有する、反射防止コーティング洗浄およびエッチング後残留物除去組成物
JP2016215468A (ja) * 2015-05-19 2016-12-22 キヤノン株式会社 液体吐出ヘッドの製造方法
JP2018528284A (ja) * 2015-07-14 2018-09-27 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 洗浄組成物及びその使用方法
JP2019516004A (ja) * 2016-05-10 2019-06-13 アトテツク・ドイチユラント・ゲゼルシヤフト・ミツト・ベシユレンクテル・ハフツングAtotech Deutschland GmbH 非水性剥離組成物、および基材から有機被覆を剥離する方法
JP2020531654A (ja) * 2017-08-22 2020-11-05 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 洗浄組成物
JP7235336B2 (ja) 2017-08-22 2023-03-08 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 洗浄組成物
JPWO2019151141A1 (ja) * 2018-02-05 2021-01-28 富士フイルム株式会社 処理液、及び、処理方法
JP7137586B2 (ja) 2018-02-05 2022-09-14 富士フイルム株式会社 処理液、及び、処理方法

Also Published As

Publication number Publication date
TW201336973A (zh) 2013-09-16
IL187956A0 (en) 2008-03-20
US8951948B2 (en) 2015-02-10
WO2006133253A1 (en) 2006-12-14
CN102981377A (zh) 2013-03-20
EP1891482A1 (en) 2008-02-27
KR20140007020A (ko) 2014-01-16
EP1891482B1 (en) 2014-04-30
KR20080019049A (ko) 2008-02-29
KR101477455B1 (ko) 2014-12-29
TW201610104A (zh) 2016-03-16
US9422513B2 (en) 2016-08-23
CN101233456A (zh) 2008-07-30
KR101332501B1 (ko) 2013-11-27
US20150094248A1 (en) 2015-04-02
KR20130069825A (ko) 2013-06-26
KR101431406B1 (ko) 2014-08-18
TWI516574B (zh) 2016-01-11
EP1891482A4 (en) 2013-01-09
CN102981377B (zh) 2014-11-12
CN101233456B (zh) 2013-01-02
TWI408212B (zh) 2013-09-11
SG162757A1 (en) 2010-07-29
TWI622639B (zh) 2018-05-01
TW200708597A (en) 2007-03-01
SG10201504423QA (en) 2015-07-30
EP2759881A1 (en) 2014-07-30
US20080242574A1 (en) 2008-10-02

Similar Documents

Publication Publication Date Title
US9422513B2 (en) Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
US8058219B2 (en) Metals compatible post-etch photoresist remover and/or sacrificial antireflective coating etchant
KR101444468B1 (ko) 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
JP6339555B2 (ja) 高いwn/w選択率を有するストリッピング組成物
US20060063687A1 (en) Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
JP2007519942A (ja) レジスト、barc、およびギャップフィル材料を剥離する化学物質ならびに方法
JP2010515246A (ja) エッチング後残留物を除去するための液体洗浄剤
TW201610102A (zh) 具有金屬、電介質及氮化物相容性之抗反射塗層清洗及蝕刻後殘留物移除組成物
JP2017502129A (ja) 表面の残留物を除去するための洗浄配合物

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20090901