TWI516574B - 金屬及介電相容犠牲抗反射塗層清洗及移除組成物 - Google Patents

金屬及介電相容犠牲抗反射塗層清洗及移除組成物 Download PDF

Info

Publication number
TWI516574B
TWI516574B TW102108988A TW102108988A TWI516574B TW I516574 B TWI516574 B TW I516574B TW 102108988 A TW102108988 A TW 102108988A TW 102108988 A TW102108988 A TW 102108988A TW I516574 B TWI516574 B TW I516574B
Authority
TW
Taiwan
Prior art keywords
ether
composition
fluoride
glycol
water
Prior art date
Application number
TW102108988A
Other languages
English (en)
Other versions
TW201336973A (zh
Inventor
梅麗莎 拉斯
大衛 伯恩哈德
大衛 明塞克
湯瑪斯 鮑姆
Original Assignee
安堤格里斯公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 安堤格里斯公司 filed Critical 安堤格里斯公司
Publication of TW201336973A publication Critical patent/TW201336973A/zh
Application granted granted Critical
Publication of TWI516574B publication Critical patent/TWI516574B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/261Alcohols; Phenols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/263Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/28Organic compounds containing halogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • C11D2111/22

Description

金屬及介電相容犠牲抗反射塗層清洗及移除組成物
本發明係關於一種液體移除組成物及方法,其係適用於自沈積有犧牲抗反射矽酸鹽材料及/或蝕刻後殘留物之微電子裝置上將此等材料進行矽酸鹽剝除(例如,液體移除),尤其係當犧牲抗反射矽酸鹽材料係以下層矽酸鹽材料存在,及希望互連金屬(例如,鋁、銅及鈷合金)不受液體移除組成物影響時。
目前已發展出四種使用於微影(photolithographic)工業之輻射波長-436奈米、365奈米、248奈米、及193奈米,且近來的努力重點係放在157奈米的微影製程上。理論上,隨各波長之減小,可於半導體晶片上製作出更小的特徵。然而,由於微電子裝置基板之反射比係與微影波長成反比,因而干擾及經不均勻曝光之光阻劑限制了微電子裝置之臨界尺寸的一致性。
舉例來說,當暴露至DUV輻射時,熟知光阻劑之透射比與基板對DUV波長之高反射比結合導致DUV輻射被反射回到光阻劑中,因而於光阻劑層中產生駐波。駐波進一步觸發光阻劑中之光化學反應,導致光阻劑之包括在不希望暴露至輻射之經遮蔽部分中的不均勻曝光,其導致線寬、間隔、及其他臨界尺寸之變化。
為解決透射比及反射比的問題,已發展出雙層及三層光阻劑、底部抗反射塗層(BARC)及犧牲抗反射塗層(SARC);此等塗層係在塗布光阻劑之前塗布至基板。所有此等抗反射塗層皆對典型雙重鑲嵌 (dual damascene)整合中所遭遇到之晶圓表面具有平坦化效果,且其皆將UV發色基加入至將會吸收入射UV輻射的旋塗(spin-on)聚合物基質中。
當與SiOC基介電質共同使用時,SARC具有兩重要優點:SARC係以TEOS為主材料,因此其係以與SiOC介電質相同的速率被蝕刻,如此可有更大的蝕刻均勻度及控制,以致可免除溝渠蝕刻止停,且通道蝕刻止停的厚度可降低多至50%;且由於經蝕刻SARC中之蝕刻後交聯相對於經蝕刻光阻劑及經蝕刻BARC並無明顯的增加,因而可使用液體移除組成物移除經蝕刻的SARC。
將SARC材料自微電子裝置晶圓清洗移除經證實有困難及/或成本高昂。若未經移除的話,此等層會干擾後續的矽化或接點形成。典型上,此等層係藉由氧化性或還原性電漿灰化或濕式清洗而移除。然而,使基板暴露至氧化性或還原性電漿蝕刻的電漿灰化會藉由改變特徵形狀及尺寸,或藉由提高介電常數而導致對介電材料的損傷。當低k介電材料,諸如有機矽酸鹽玻璃(OSG)或經摻雜碳之氧化物玻璃,係為下層介電材料時,後一問題更為嚴重。因此,通常希望避免使用電漿灰化於移除SARC層。
當在後段製程(BEOL;back-end-of-line)應用中使用清洗劑/蝕刻劑組成物於處理經由低電容(低k)絕緣材料或介電質所分隔之鋁、銅、鈷合金或其他互連金屬或互連障壁時,用於移除SARC之組成物應具有良好的金屬相容性,例如,於銅、鋁、鈷等等上之低蝕刻速率,且下層矽酸鹽材料應保持不受清洗劑組成物所影響。水性移 除溶液由於較簡單的處置技術而為較佳,然而,已知水性移除溶液會蝕刻或侵蝕金屬互連體。
因此,技藝中有需要一種可自微電子裝置之表面完全且有效率地移除SARC層,同時可使對共同延伸存在之介電材料及/或互連金屬之損傷減至最小之具有低水含量的移除組成物。
本發明係關於一種液體移除組成物及方法,其係適用於自沈積有犧牲抗反射矽酸鹽材料之微電子裝置表面上將此材料移除,尤其係當犧牲抗反射矽酸鹽材料係以下層矽酸鹽材料存在,及希望互連金屬不受液體移除組成物影響時。明確言之,本發明係關於與鋁、銅及鈷合金相容的SARC移除組成物。
在一態樣中,本發明係關於一種液體移除組成物,其包含至少一含氟化物之化合物、至少一有機溶劑、視需要之水、及視需要之至少一鉗合劑,其中該液體移除組成物適用於自具有犧牲抗反射塗層(SARC)材料及/或蝕刻後殘留物之微電子裝置上將此等材料及殘留物移除。
在另一態樣中,本發明係關於一種套組,其包括液體移除組成物試劑容納於一或多個容器中,其中該液體移除組成物包含至少一含氟化物之化合物、至少一有機溶劑、視需要之水、及視需要之至少一鉗合劑,且其中該套組適用於形成適合自其上具有SARC材料及/或蝕刻後殘留物之微電子裝置將該材料及殘留物移除的液體移除組成物。
在再一態樣中,本發明係關於一種自其上具有SARC材料及/或蝕刻後殘留物之微電子裝置將該材料及殘留物移除的方法,該方法包括使微電子裝置與液體移除組成物接觸足夠的時間,以自微電子裝置至少部分移除該材料及殘留物,其中該液體移除組成物包含至少一含氟化物之化合物、至少一有機溶劑、視需要之水、及視需要之至少一鉗合劑。
在又另一態樣中,本發明係關於一種液體移除組成物,其包含至少一含氟化物之化合物、視需要之至少一有機溶劑、視需要之酸-鹼緩衝劑、視需要之至少一鉗合劑/鈍化劑、及視需要之水,其中該液體移除組成物適用於自其上具有犧牲抗反射塗層(SARC)材料之微電子裝置將此等材料及殘留物移除。
在另一態樣中,本發明係關於一種套組,其包括液體移除組成物試劑容納於一或多個容器中,其中該液體移除組成物包含至少一含氟化物之化合物、視需要之至少一有機溶劑、視需要之酸-鹼緩衝劑、視需要之至少一鉗合劑/鈍化劑、及視需要之水,且其中該套組適用於形成適合自其上具有SARC材料之微電子裝置將該材料移除的液體移除組成物。
在再一態樣中,本發明係關於一種自具有SARC材料之微電子裝置上將該材料移除的方法,該方法包括使微電子裝置與液體移除組成物接觸足夠的時間,以自微電子裝置至少部分移除該材料,其中該液體移除組成物包含至少一含氟化物之化合物、視需要之至少一有機溶劑、視需要之酸-鹼緩衝劑、視需要之至少一鉗合劑/鈍化 劑、及視需要之水。
在另一態樣中,本發明係關於一種製造微電子裝置之方法,該方法包括使微電子裝置與液體移除組成物接觸足夠的時間,以自其上具有SARC材料及/或蝕刻後殘留物之微電子裝置至少部分移除該材料及殘留物,其中該液體移除組成物包含至少一含氟化物之化合物、至少一有機溶劑、視需要之水、及視需要之至少一鉗合劑。
本發明之又另一態樣係關於經改良的微電子裝置、及併入該裝置之產品,其係利用包括使用文中說明之方法及/或組成物自其上具有SARC及蝕刻後殘留物之微電子裝置至少部分移除該材料之本發明之方法所製得,及視需要將該微電子裝置併入至產品中。
本發明之其他態樣、特徵及具體例將可由隨後之揭示內容及隨附之申請專利範圍而更完整明瞭。
本發明涵蓋之液體移除組成物係適用於自具有犧牲抗反射塗層(SARC)材料及/或蝕刻後殘留物之微電子裝置之表面上將此等材料移除。
為容易參考起見,「微電子裝置」係相當於經製造使用於微電子、積體電路、或電腦晶片應用中之半導體基板、平面顯示器、及微機電系統(MEMS)。應明瞭術語「微電子裝置」並不具任何限制意味,且其包括任何最終將成為微電子裝置或微電子組件的基板。
此處所定義之「低k介電材料」係相當於任何在層狀微電子裝置中使用作為介電材料的材料,其中該材料具有小於約3.5之介電常 數。低k介電材料較佳包括低極性材料諸如含矽有機聚合物、含矽有機/無機混成材料、有機矽酸鹽玻璃(OSG)、TEOS、氟化矽酸鹽玻璃(FSG)、二氧化矽、及摻雜碳之氧化物(CDO)玻璃。應明瞭低k介電材料可具有不同的密度及不同的孔隙度。
此處所使用之「約」係指相當於所述值的±5%。
此處所使用之「適用」於自具有SARC材料及/或蝕刻後殘留物之微電子裝置上移除該等材料係相當於自微電子裝置至少部分移除該SARC及/或蝕刻後殘留物質。使用本發明之組成物自微電子裝置移除至少約90%之材料較佳,移除至少95%之材料更佳,及移除至少99%之材料最佳。
此處所使用之「蝕刻後殘留物」係相當於在氣相電漿蝕刻程序(例如,BEOL雙重鑲嵌加工)後殘留之材料。蝕刻後殘留物之性質可為有機、有機金屬、有機矽、或無機,例如,含矽材料、碳基有機材料、及蝕刻氣體殘留物(包括,但不限於,氯及氟)。
此處所定義之「SARC材料」係相當於雙層及三層光阻劑、底部抗反射塗層(BARC)及犧牲抗反射塗層(SARC),且其之性質可為有機及/或無機。此外,SARC材料可包括SARC層及/或含SARC之殘留物。
本發明之組成物可以如更完整說明於後文之相當多樣的明確配方具體實施。
在所有此等組成物中,當組成物之特定成分係參照包括零下限的重量百分比範圍作論述時,當明瞭在組成物之各種特定具體例中可 存在或不存在此等成分,及在存在此等成分之情況中,以其中使用此等成分之組成物的總重量計,其可以低至0.001重量百分比之濃度存在。
<具體例A>
在一態樣中,本發明係關於適用於自微電子裝置移除SARC層及/或蝕刻後殘留物之液體移除組成物。下文在本發明之廣泛說明中特別參照SARC層係要提供本發明之一說明實例,而非要以任何方式作成限制。具體例A之配方包含至少一含氟化物之化合物、至少一有機溶劑、視需要之水、及視需要之至少一鉗合劑/鈍化劑,其係基於組成物之總重量以下列範圍存在:
在本發明之廣泛實行中,具體例A之液體移除組成物可包含至少一含氟化物之化合物、至少一有機溶劑、視需要之水、及視需要之至少一鉗合劑/鈍化劑,由其所組成,或基本上由其所組成。一般而言,可適當地改變含氟化物之化合物、有機溶劑、視需要之水、及視需要之鉗合劑/鈍化劑相對於彼此的明確比例及量,以提供液體組成物對於SARC層物種及/或製程設備之期望的移除作用,此 係可於技藝技能內無需過多心力而容易地決定。
有機溶劑相對於含氟化物之化合物之莫耳比的範圍係約1:1至約120:1,以約20:1至約80:1較佳,及約30:1至約65:1最佳;有機溶劑相對於水(當存在時)之莫耳比的範圍係約1:1至約150:1,以約20:1至約80:1較佳,及約30:1至約60:1最佳;及有機溶劑相對於鉗合劑(當存在時)之莫耳比的範圍係約1:1至約300:1。
具體例A之組成物具有在約1至約5範圍內之pH值較佳,低於約4更佳。
此種組成物可視需要包含額外的成分,包括活性以及非活性成分,例如,表面活性劑、安定劑、分散劑、抗氧化劑、滲透劑、佐劑、添加劑、填料、賦形劑等等。此外,此處亦涵蓋可將具體例A之組成物調配為泡沫、霧、次臨界或超臨界流體。舉例來說,可將具體例A之組成物以約100份SCF對1份具體例A至約6:1(較佳為約20:1)之比添加至超臨界流體諸如二氧化碳。
具體例A清洗組成物包含以下成分較佳:
其中該等成份之百分比係基於組成物之總重量的重量百分比,且其中組成物之此等成分之重量百分比的總和不超過100重量%。在 一特佳具體例中,水係以約0.01重量%至約1重量%範圍內之量存在,係經去離子化及非臭氧化,且係經添加至組成物或殘存於其中一種其他成分中。此外,組成物實質上不含膽鹼化合物及氧化劑諸如過氧化氫較佳。
由於需要存在氟化物以於酸性溶液中蝕刻矽酸鹽材料,因而需要氟化物離子之來源。含氟化物之化合物的適當來源包括,但不限於,氟化氫、氟化銨、及三乙醇胺氫氟酸鹽。或者,可使用酸式氟化物之鹽,包括酸式氟化銨((NH4)HF2)及酸式氟化四烷基銨((R)4NHF2,其中R為甲基、乙基、丙基、丁基、苯基、苄基、或氟化C1-C4烷基)。此處亦涵蓋兩種或兩種以上之氟化物種類的組合。在一較佳具體例中,含氟化物之化合物包括氟化氫。值得注意地,氟化氫在運送時典型上具有殘留量的水,因此,儘管其後未故意加入水,仍會有水存在於移除組成物中。或者,可使用氣態無水氟化氫,以致配方中唯一存在的水係源自溶劑的微量水。無水的含氟化氫之配方典型上展現較含水配方佳的金屬及介電相容性。
有機溶劑種類係作為溶劑,且其可促進可能存在於SARC及/或蝕刻後殘留物中之有機殘留物的溶解。供此組成物用之適當的溶劑種類包括,但不限於:四亞甲碸;直鏈或分支鏈C1-C6醇,包括,但不限於,甲醇、乙醇、1-丙醇、2-丙醇、1-丁醇、2-丁醇、第三丁醇、1-戊醇、及己醇;二元醇諸如乙二醇、丙二醇(1,2-丙二醇)、四亞甲二醇(1,4-丁二醇)及新戊二醇;或二醇醚諸如二甘醇單甲醚、三甘醇單甲醚、二甘醇單乙醚、三甘醇單乙醚、乙二醇單丙醚、 乙二醇單丁醚、二甘醇單丁醚、三甘醇單丁醚、丙二醇甲基醚、二丙二醇甲基醚、三丙二醇甲基醚、丙二醇正丙基醚、二丙二醇正丙基醚、三丙二醇正丙基醚、丙二醇正丁基醚、二丙二醇正丁基醚、及三丙二醇正丁基醚。其他適用的溶劑係典型的極性溶劑諸如二甲基乙醯胺、甲醯胺、二甲基甲醯胺、1-甲基-2-吡咯啶酮、二甲亞碸、及其他極性溶劑。此處亦涵蓋兩種或兩種以上之溶劑種類的組合。對於多孔性低k介電材料,有機溶劑種類較佳包括1-丁醇及1,4-丁二醇。用於密實性介電質之最佳的清洗溶液係二元醇、極性溶劑及二醇醚之組合,乙二醇、四亞甲碸及三丙二醇甲基醚或乙二醇、四亞甲碸及二丙二醇正丁醚更佳。
可添加鉗合劑,以降低對下層中之金屬(例如,銅及/或鈷)的侵蝕。此組成物中之鉗合劑/鈍化劑可為任何適當類型,且可包括,但不限於,三唑,諸如1,2,4-三唑,或經諸如C1-C8烷基、胺基、硫醇、巰基、亞胺基、羧基及硝基之取代基取代的三唑,諸如苯并三唑、甲苯三唑、5-苯基-苯并三唑、5-硝基-苯并三唑、3-胺基-5-巰基-1,2,4-三唑、1-胺基-1,2,4-三唑、羥基苯并三唑、2-(5-胺基戊基)苯并三唑、1-胺基-1,2,3-三唑、1-胺基-5-甲基-1,2,3-三唑、3-胺基-1,2,4-三唑、3-巰基-1,2,4-三唑、3-異丙基-1,2,4-三唑、5-苯基硫醇-苯并三唑、鹵基-苯并三唑(鹵基=F、Cl、Br或I)、萘并三唑等等,以及噻唑、四唑、咪唑、磷酸酯、硫醇及類諸如2-巰基苯并咪唑、2-巰基苯并噻唑、4-甲基-2-苯基咪唑、2-巰基噻唑啉、5-胺基四唑、5-胺基-1,3,4-噻二唑-2-硫醇、2,4-二胺基-6-甲基-1,3,5-三 、噻唑、三、甲基四唑、1,3-二甲基-2-咪唑啶酮、1,5-五亞甲基四唑、1-苯基-5-巰基四唑、二胺甲基三、巰基苯并噻唑、咪唑啉硫酮、巰基苯并咪唑、4-甲基-4H-1,2,4-三唑-3-硫醇、5-胺基-1,3,4-噻二唑-2-硫醇、苯并噻唑、磷酸三甲苯酯、吲二唑等等。適當的鉗合劑種類進一步包括甘油、胺基酸、羧酸、醇、醯胺及喹啉諸如鳥嘌呤、腺嘌呤、甘油、硫基甘油、氮基三乙酸、柳醯胺、亞胺二乙酸、苯胍胺、三聚氰胺、三聚硫氰酸、鄰胺苯甲酸、五倍子酸、抗壞血酸、水楊酸、8-羥基喹啉、5-羧酸-苯并三唑、3-巰基丙醇、硼酸、亞胺二乙酸等等。此處亦涵蓋兩種或兩種以上之鉗合劑的組合。鉗合劑可有效用於提高組成物與微電子裝置中所使用之金屬及介電材料的相容性。
在各種較佳具體例中,具體例A之移除組成物係經調配為以下的具體例A1-A7,其中所有百分比係基於配方之總重量以重量計:
<具體例A1>
<具體例A2>
<具體例A3>
<具體例A4>
<具體例A5>
<具體例A6>
<具體例A7>
在各種較佳具體例中,具體例A之移除組成物係經調配為以下的配方AA-AI3,其中所有百分比係基於配方之總重量以重量計: 配方AA:99.5%乙醇;0.245%氟化氫;0.255%水
配方AB:98.5%乙醇;0.735%氟化氫;0.765%水
配方AC:74.5%乙醇;25.0%二丙二醇甲基醚;0.245%氟化氫;0.255%水
配方AD:73.5%乙醇;25.0%二丙二醇甲基醚;0.735%氟化氫;0.765%水
配方AE:74.5%乙醇;25.0%二甘醇甲基醚;0.245%氟化氫;0.255%水
配方AF:73.5%乙醇;25.0%二甘醇甲基醚;0.735%氟化氫;0.765%水
配方AG:98.0%乙醇;0.98%氟化氫;1.02%水
配方AH:98.5%甲醇;0.735%氟化氫;0.765%水
配方AI:98.5%乙二醇;0.735%氟化氫;0.765%水
配方AJ:98.5%丙二醇;0.735%氟化氫;0.765%水
配方AK:98.5%二甘醇丁基醚;0.735%氟化氫;0.765%水
配方AL:98.5% 2-丙醇;0.735%氟化氫;0.765%水
配方AM:98.5% 1,4-丁二醇;0.735%氟化氫;0.765%水
配方AN:98.5% 1-丙醇;0.735%氟化氫;0.765%水
配方AO:98.5% 1-戊醇;0.735%氟化氫;0.765%水
配方AP:25.0%乙二醇;73.5% 1-丁醇;0.735%氟化氫;0.765% 水
配方AQ:98.5% 1-丁醇;0.735%氟化氫;0.765%水
配方AR:50.0%乙二醇;48.5% 1-丁醇;0.735%氟化氫;0.765%水
配方AS:75.0%乙二醇;23.5% 1-丁醇;0.735%氟化氫;0.765%水
配方AT:25.0%乙二醇;73.5%乙醇;0.735%氟化氫;0.765%水
配方AU:50.0%乙二醇;48.5%乙醇;0.735%氟化氫;0.765%水
配方AV:75.0%乙二醇;23.5%乙醇;0.735%氟化氫;0.765%水
配方AW:70.0%乙二醇;28.5% 1-丁醇;0.735%氟化氫;0.765%水
配方AX:50.0%乙二醇;48.5% 1-丁醇;0.735%氟化氫;0.765%水
配方AY:75.0%乙二醇;23.5% 1,4-丁二醇;0.735%氟化氫;0.765%水
配方AZ:60.0%乙二醇;38.5% 1-戊醇;0.735%氟化氫;0.765%水
配方AA 2 :50.0%乙二醇;48.5% 1-戊醇;0.735%氟化氫;0.765%水
配方AB 2 :80.0%乙二醇;18.5% 1-戊醇;0.735%氟化氫;0.765%水
配方AC 2 :90.0%乙二醇;8.5% 1-戊醇;0.735%氟化氫;0.765% 水
配方AD 2 :99.25% 1,4-丁二醇;0.3675%氟化氫;0.3825%水
配方AE 2 :90.0%乙二醇;9.25% 1-戊醇;0.3675%氟化氫;0.3825%水
配方AF 2 :99.51% 1,4-丁二醇;0.49%氟化氫
配方AG 2 :99.265% 1,4-丁二醇;0.735%氟化氫
配方AH 2 :89.25% 1,4-丁二醇;10.0% 1-丁醇;0.3675%氟化氫;0.3825%水
配方AI 2 :80.0% 1,4-丁二醇;19.25% 1-丁醇;0.3675%氟化氫;0.3825%水
配方AJ 2 :70.0% 1,4-丁二醇;29.25% 1-丁醇;0.3675%氟化氫;0.3825%水
配方AK 2 :60.0% 1,4-丁二醇;39.25% 1-丁醇;0.3675%氟化氫;0.3825%
配方AL 2 :50.0% 1,4-丁二醇;49.25% 1-丁醇;0.3675%氟化氫;0.3825%水
配方AM 2 :98.875% 1,4-丁二醇;0.55125%氟化氫;0.57375%水
配方AY 2 :79.25%乙醇;20% 1-甲基-2-吡咯啶酮;0.3675%氟化氫;0.3825%水
配方AZ 2 :79.25%乙二醇;20%二甲基乙醯胺;0.3675%氟化氫;0.3825%水
配方AA 3 :99.25%四亞甲碸;0.3675%氟化氫;0.3825%水
配方AB 3 :50%乙二醇;49.25%四亞甲碸;0.3675%氟化氫;0.3825%水
配方AC 3 :50%乙二醇;49.625%四亞甲碸;0.375%氟化氫
配方AD 3 :45%乙二醇;39.625%四亞甲碸;15%三丙二醇甲基醚;0.375%氟化氫
配方AE 3 :45%乙二醇;34.625%四亞甲碸;20%三丙二醇甲基醚;0.375%氟化氫
配方AF 3 :45%乙二醇;39.625%四亞甲碸;15%二丙二醇正丁基醚;0.375%氟化氫
配方AG 3 :45%乙二醇;39.625%四亞甲碸;15%二丙二醇甲基醚; 0.375%氟化氫
配方AH 3 :70.0% 1,4-丁二醇;29.25% 1-丁醇;0.3675%氟化氫;0.3825%水
配方AI 3 :44.882%乙二醇;15%二(丙二醇)丁基醚;39.64%四氫噻吩碸;0.375% HF;0.1%聚四級銨鹽(polyquaternium)2;0.003%氫氧化四甲銨矽酸鹽
具體例A之液體移除組成物包含1,4-丁二醇及1-丁醇以獲得最大的多孔性低k介電質相容性較佳。此液體移除組成物係對應於配方AL2、AM2、AH3、及AI3最佳。
具體例A之組成物對於自微電子裝置基板移除SARC層及蝕刻後殘留物,而對金屬互連物種及/或低k介電材料造成最少損傷尤其有效。相關的金屬包括,但不限於,銅、鎢、鈷、鋁、鉭及釕。此外,此處涵蓋可以諸如水之溶劑稀釋具體例A之組成物,及將其使用作為化學機械拋光(CMP)後組成物,以移除包括,但不限於,來自拋光漿液之顆粒、富含碳之顆粒、拋光墊顆粒、刷的脫落顆粒、設備構造材料的顆粒、銅、銅之氧化物、及任何其他作為CMP製程副產物之材料的CMP後殘留物。
在另一具體例中,具體例A之液體移除組成物包含乙二醇、四亞甲碸及二醇醚以獲得最大的密實性介電質相容性。此液體移除組成物係對應於配方AS2或AV2最佳。
在又另一具體例中,具體例A之液體移除組成物包含至少一含氟化物之化合物、供殘留物質移除用之至少一有機溶劑、視需要之 水、及視需要之至少一鉗合劑/鈍化劑,其中該殘留物質包括SARC及/或蝕刻後殘留物。應注意殘留物質可溶解及/或懸浮於本發明之液體移除組成物中。
本發明之液體移除組成物係藉由簡單地添加各別成分及混合至均勻狀態而容易地調配得。此外,液體移除組成物可容易地調配為單包裝配方或在使用時或使用前再混合的多份配方,例如,多份配方的個別份可於工具或於工具上游的儲槽中混合。在本發明之廣泛實行中,各別成份之濃度可在液體移除組成物之特定倍數內寬廣地改變,即更稀或更濃,且當明瞭本發明之液體移除組成物可變化及替代地包含與本文之揭示一致之成分的任何組合,由其所組成,或基本上由其所組成。
因此,本發明之另一態樣係關於一種套組,其包括容納於一或多個容器中之一種或一種以上之適於形成本發明之組成物的成分。舉例來說,套組可包括容納於一或多個容器中用於在製造點或使用點與視需要之水結合之至少一含氟化物之化合物、至少一有機溶劑、及視需要之至少一鉗合劑/鈍化劑。或者,套組可包括容納於一或多個容器中用於在製造點或使用點與至少一溶劑及視需要之水結合之至少一含氟化物之化合物、及視需要之至少一鉗合劑/鈍化劑。在又另一具體例中,套組可包括容納於一或多個容器中用於傳送至製造點或使用點的至少一含氟化物之化合物、至少一有機溶劑、及水。套組之容器必需適用於儲存及運送該液體移除組成物,例如,NOWPak®容器(Advanced Technology Materials,Inc.,Danbury, Conn.,USA)。
<具體例B>
在另一態樣中,本發明之配方包含至少一含氟化物之化合物、視需要之至少一有機溶劑、視需要之酸-鹼緩衝劑、視需要之至少一鉗合劑/鈍化劑、及視需要之水,其係基於組成物之總重量以下列範圍存在:
在本發明之廣泛實行中,具體例B之液體移除組成物可包含至少一含氟化物之化合物、視需要之至少一有機溶劑、視需要之酸-鹼緩衝劑、及視需要之至少一鉗合劑/鈍化劑、及視需要之水,由其所組成,或基本上由其所組成。一般而言,可適當地改變含氟化物之化合物、視需要之水、視需要之有機溶劑、視需要之酸-鹼緩衝劑、及視需要之鉗合劑/鈍化劑相對於彼此的明確比例及量,以提供液體組成物對於SARC層物種及/或製程設備之期望的移除作用,此係可於技藝技能內無需過多心力而容易地決定。
本發明之組成物可以如更完整說明於後文之相當多樣的明確配 方具體實施。
具體例B之組成物之Ph值範圍係約4至約9較佳,在約5至約7之範圍內更佳。
此種組成物可視需要包含額外的成分,包括活性以及非活性成分,例如,表面活性劑、安定劑、分散劑、抗氧化劑、滲透劑、佐劑、添加劑、填料、賦形劑等等。此外,此處亦涵蓋可將具體例B之組成物調配為泡沫、霧、次臨界或超臨界流體。舉例來說,可將具體例B之組成物以約100份SCF對1份具體例B至約6:1(較佳為約20:1)之比添加至超臨界流體諸如二氧化碳。
由於需要氟化物存在以於酸性溶液中蝕刻矽酸鹽材料,因而需要氟化物離子之來源。基於製備及操作的安全性,中性氟化物鹽為較佳。為避免由於胺蒸發所致之pH的變化,含有非揮發性胺的胺-氫氟化物鹽為最佳。含氟化物之化合物的適當來源包括,但不限於,氟化銨、三氫氟化三乙胺((C2H5)3N3HF)、甲基二乙醇胺-氫氟酸鹽、三乙醇胺-氫氟酸鹽、及羥乙基啉-氫氟酸鹽。或者,可使用酸式氟化物之鹽,包括酸式氟化銨((NH4)HF2)及酸式氟化四烷基銨((R)4NHF2,其中R為甲基、乙基、丁基、苯基或氟化C1-C4烷基)。此處亦涵蓋兩種或兩種以上之含氟化物之化合物的組合。在一較佳具體例中,含氟化物之化合物為氟化銨或甲基二乙醇胺-氫氟酸鹽。
有機溶劑種類係作為溶劑,且其可促進可能存在於SARC中之有機殘留物的溶解。供此組成物用之適當的溶劑種類包括,但不限於:二元醇及二醇醚諸如前文關於具體例A所列舉者;二甲亞碸 (DMSO);二甲基乙醯胺;及胺諸如單乙醇胺、三乙醇胺、三伸乙二胺、甲基乙醇胺、甲基二乙醇胺、五甲基二伸乙三胺、二甲基二甘醇胺、1,8-二吖雙環[5.4.0]十一烯、胺丙基啉、羥乙基啉、胺乙基啉、羥丙基啉、二甘醇胺、N-甲基吡咯啶酮(NMP)、N-辛基吡咯啶酮、N-苯基吡咯啶酮、環己基吡咯啶酮、咪唑啶酮、及乙烯基吡咯啶酮。此處亦涵蓋兩種或兩種以上之有機溶劑的組合。有機溶劑較佳包括二甘醇、二甲基二甘醇胺、二甘醇甲基醚及NMP。
酸/鹼緩衝劑可穩定pH及控制溶液對於SARC、其他殘留物、及下層材料諸如介電質及互連金屬的蝕刻速率選擇性。適用於本發明之緩衝系統的有機酸包括,但不限於:甲酸、三氟乙酸、丙酸、丁酸、戊酸、庚酸、乳酸、草酸、蘋果酸、丙二酸、琥珀酸、反丁烯二酸、己二酸、苯甲酸、酞酸及檸檬酸。適用於本發明之緩衝系統的共軛鹼包括,但不限於:有機酸之鹽、及前文於有機溶劑列示中所列的胺。此處亦涵蓋兩種或兩種以上之酸/鹼緩衝劑的組合。在一較佳具體例中,本發明之緩衝系統包含丙二酸及二甲基二甘醇胺。
可添加鉗合劑,以降低對下層中之金屬(例如,銅及/或鈷)的侵蝕。此組成物中之鉗合劑/鈍化劑可為任何適當類型,例如前文關於具體例A所列示者。
此處涵蓋儘管未故意添加水,但可於具體例B之移除組成物中存在水。
在各種較佳具體例中,具體例B之移除組成物係經調配為以下的 配方BA-BJ2,其中所有百分比係基於配方之總重量以重量計: 配方BA:0.5%氟化銨;2.58%丙二酸;68.08%二甘醇;3%二甲基二甘醇胺;25.64%水;0.2% 3-胺基-5-巰基-1,2,4-三唑
配方BB:0.5%氟化銨;2.58%丙二酸;68.08%二甘醇;3%二甲基二甘醇胺;25.64%水;0.2% 4-甲基-2-苯基咪唑
配方BC:0.5%氟化銨;2.58%丙二酸;68.08%二甘醇;3%二甲基二甘醇胺;25.64%水;0.2% 5-胺基-1,3,4-噻二唑-2-硫醇
配方BR:2.0%氟化銨;2.58%丙二酸;89.52%二甘醇;3%二甲基 二甘醇胺;2.9%檸檬酸
配方BS:1.0%氟化銨;5.16%丙二酸;87.84%二甘醇;3%二甲基二甘醇胺;3%順丁烯二酸
配方BT:75%甲基二乙醇胺-氫氟酸鹽;25%水
配方BU:67.5%甲基二乙醇胺-氫氟酸鹽;22.5%水;10%二甘醇甲基醚
配方BV:60%甲基二乙醇胺-氫氟酸鹽;20%水;20%二甘醇甲基醚
配方BW:67.5%甲基二乙醇胺-氫氟酸鹽;22.5%水;10%咪唑啶酮
配方BX:60%甲基二乙醇胺-氫氟酸鹽;20%水;20% NMP
配方BY:74.85%甲基二乙醇胺-氫氟酸鹽;24.95%水;0.2% 3-胺基-5-巰基-1,2,4-三唑
配方BZ:74.85%甲基二乙醇胺-氫氟酸鹽;24.95%水;0.2% 5-胺基-1,3,4-噻二唑-2-硫醇
配方BA 2 :74.85%甲基二乙醇胺-氫氟酸鹽;24.95%水;0.2% 4-甲基-4H-1,2,4-三唑-3-硫醇
配方BB 2 :60%甲基二乙醇胺-氫氟酸鹽;20%水;20%五甲基二伸乙三胺
配方BC 2 :59.88%甲基二乙醇胺-氫氟酸鹽;19.96%水;19.96% NMP;0.2% 5-胺基-1,3,4-噻二唑-2-硫醇
配方BD 2 :59.88%甲基二乙醇胺-氫氟酸鹽;19.96%水;19.96% NMP;0.2% 4-甲基-4H-1,2,4-三唑-3-硫醇
配方BE 2 :37.5%甲基二乙醇胺-氫氟酸鹽;18.75%三乙醇胺-氫氟酸鹽;22.1%羥乙基啉-氫氟酸鹽;21.65%水
配方BF 2 :60%甲基二乙醇胺-氫氟酸鹽;7.5%三乙醇胺-氫氟酸鹽;8.84%羥乙基啉-氫氟酸鹽;23.66%水
配方BG 2 :45%甲基二乙醇胺-氫氟酸鹽;29.88%甲基二乙醇胺-磷酸鹽;25.12%水
配方BH 2 :40.55%甲基二乙醇胺-氫氟酸鹽;26.89%甲基二乙醇胺-磷酸鹽;10%二甲基乙醯胺;22.6%水
配方BI 2 :40.55%甲基二乙醇胺-氫氟酸鹽;26.89%甲基二乙醇胺-磷酸鹽;10% NMP;22.6%水
配方BJ 2 :40.55%甲基二乙醇胺-氫氟酸鹽;26.89%甲基二乙醇胺-磷酸鹽;10% DMSO;22.6%水
此外,此處涵蓋具體例B之組成物可以溶劑諸如水稀釋,及將其使用作為化學機械拋光(CMP)後組成物,以移除包括,但不限於,來自拋光漿液之顆粒、富含碳之顆粒、拋光墊顆粒、刷的脫落顆粒、設備構造材料的顆粒、銅、銅之氧化物、及任何其他作為CMP製程副產物之材料的CMP後殘留物。
本發明之液體移除組成物係藉由簡單地添加各別成分及混合至均勻狀態而容易地調配得。此外,液體移除組成物可容易地調配為單包裝配方或在使用時或使用前再混合的多份配方,例如,多份配方的個別份可於工具或於工具上游的儲槽中混合。在本發明之廣泛實行中,各別成份之濃度可在液體移除組成物之特定倍數內寬廣地 改變,即更稀或更濃,且當明瞭本發明之液體移除組成物可變化及替代地包含與本文之揭示一致之成分的任何組合,由其所組成,或基本上由其所組成。
因此,本發明之另一態樣係關於一種套組,其包括容納於一或多個容器中之一種或一種以上之適於形成本發明之組成物的成分。舉例來說,套組可包括容納於一或多個容器中用於在製造點或使用點與視需要之水結合之至少一含氟化物之化合物、視需要之至少一有機溶劑、視需要之酸-鹼緩衝劑、視需要之至少一鉗合劑/鈍化劑。或者,套組可包括容納於一或多個容器中用於在製造點或使用點與視需要之水及視需要之至少一有機溶劑結合之至少一含氟化物之化合物、視需要之酸-鹼緩衝劑、視需要之至少一鉗合劑/鈍化劑。套組之容器必需適用於儲存及運送該液體移除組成物,例如,NOWPak®容器(Advanced Technology Materials,Inc.,Danbury,Conn.,USA)。
在又另一具體例中,具體例B之液體移除組成物包含供殘留物質移除用之至少一含氟化物之化合物、視需要之至少一有機溶劑、視需要之酸-鹼緩衝劑、視需要之至少一鉗合劑/鈍化劑、及視需要之水,其中該殘留物質包括SARC及/或蝕刻後殘留物。應注意殘留物質可溶解及/或懸浮於本發明之液體移除組成物中。
(液體移除組成物之使用方法)
本發明之移除組成物係藉由簡單地添加各別成分及混合至均勻狀態而容易地調配得。
在移除應用中,移除組成物係以任何適當的方式施用至待清洗之微電子裝置,例如,經由將移除組成物噴塗於微電子裝置之表面上,經由將微電子裝置浸泡於大量的清洗組成物中,經由使待清洗之微電子裝置與經移除組成物飽和之另一材料(例如,墊、或纖維吸收性塗布器元件)接觸,經由使微電子裝置與循環的移除組成物接觸,或藉由任何其他藉以使移除組成物與待清洗之微電子裝置進行移除接觸之適當的手段、方式或技術。
當應用至半導體製造操作時,本發明之清洗組成物可有效用於自具有SARC及/或蝕刻後殘留物質之微電子裝置結構上將此等材料移除。
本發明之組成物由於其對於此等SARC材料相對於其他可能存在於微電子裝置上及暴露至移除組成物之材料(諸如ILD結構、金屬化、障壁層等等)的選擇性,而以高度有效率的方式達成SARC及/或蝕刻後殘留物質的至少部分移除。
本發明之組成物應具有低量的水,例如,低於約1重量百分比,尤其係具體例A之組成物,因此,其可與金屬互連層諸如銅、鋁及鈷相容。在本發明組成物存在下之銅及/或鈷蝕刻速率係低於5埃(Å)/分鐘較佳,低於2埃/分鐘更佳,低於1埃/分鐘最佳。
在使用本發明之組成物於自具有SARC材料之微電子裝置基板上移除該材料時,典型上使清洗組成物與裝置基板在溫度自約20℃至約80℃範圍內接觸自約1至約60分鐘之時間(以約20至約30分鐘較佳)。此等接觸時間及溫度係為說明性,在本發明之廣泛實行中, 可使用任何其他可有效地自裝置基板至少部分移除SARC材料的適當時間及溫度條件。此處所定義之「至少部分移除」係相當於移除至少50%的SARC材料,移除至少80%的SARC材料較佳。使用本發明之組成物移除至少90%的SARC材料最佳。
於達成期望的清洗作用後,視在本發明組成物之指定最終應用中所期望及效力,可將清洗組成物自其先前經施用之裝置容易地移除,例如,藉由沖洗、洗滌、或其他移除步驟。舉例來說,可以去離子水沖洗裝置。
本發明之又再一具體例係關於製造包含微電子裝置之物件之方法,該方法包括使微電子裝置與液體移除組成物接觸足夠的時間,以自其上具有SARC及/或蝕刻後殘留物質之微電子裝置移除該材料,及將該微電子裝置併入該物件中,其中該液體移除組成物包含至少一含氟化物之化合物、至少一有機溶劑、視需要之水、及視需要之至少一鉗合劑/鈍化劑。
本發明之另一具體例係關於製造包含微電子裝置之物件之方法,該方法包括使微電子裝置與液體移除組成物接觸足夠的時間,以自其上具有SARC及/或蝕刻後殘留物質之微電子裝置移除該材料,及將該微電子裝置併入該物件中,其中該液體移除組成物包含至少一含氟化物之化合物、視需要之至少一有機溶劑、視需要之酸-鹼緩衝劑、視需要之至少一鉗合劑/鈍化劑、及視需要之水。
本發明之特徵及優點由論述於下的說明性實施例作更完整展示。
(實施例1)
於包含SARC層之圖案化低k介電基板的樣品上進行SARC移除。將樣品於具體例A移除溶液中在40℃下浸泡30分鐘(表1及2),或於20℃下浸泡15分鐘(表3),然後再以大量的去離子水沖洗。使用掃描電子顯微術估算SARC材料自圖案化晶圓的移除百分比。結果列表於下表1-3。
除了估算SARC層自圖案化晶圓的移除外,亦測定銅及/或鈷金屬於移除溶液中之蝕刻速率。將銅及/或鈷之毯覆金屬晶圓浸泡於移除溶液中,及使用四點探針測量基於電阻率測定各別金屬的蝕刻速率。結果列表於下表1。
(實施例2)
經實驗測定銅及/或鈷金屬於具體例B之移除溶液中之蝕刻速率。將銅及/或鈷之毯覆金屬晶圓浸泡於移除溶液中,及使用伏打電池(galvanic cell)測定各別金屬的蝕刻速率。結果列表於下表4。
(實施例3)
於將毯覆介電質、銅及鈷晶圓在配方AL2及AM2中在40℃下靜 態浸泡30分鐘後,測定介電材料、銅及鈷蝕刻速率。使用由電化學方式得到的塔菲爾圖(Tafel plot)測定蝕刻速率。
於配方AL2中,經測得介電材料、鈷、及銅之蝕刻速率分別為1.7埃/分鐘、0.043埃/分鐘、及0.07埃/分鐘。於配方AM2中,經測得介電材料、鈷、及銅之蝕刻速率分別為0.6埃/分鐘、0.028埃/分鐘、及0.055埃/分鐘。
因此,雖然本發明已參照本發明之特定態樣、特徵及說明具體例說明於文中,但當明瞭本發明之效用並不因此受限,而係可延伸至涵蓋許多其他的態樣、特徵及具體例。因此,應將記述於後文之申請專利範圍作相應地廣義地解釋為包括所有在其精神及範疇內的此等態樣、特徵及具體例。

Claims (6)

  1. 一種液體移除組成物,以該組成物的總重量計,其係由至少一含氟化物之化合物自0.01重量%至25重量%、至少一醇自50重量%至95重量%、至少一二醇醚自5重量%至40重量%、及水自0.01重量%至5重量%所組成,其中該至少一醇係選自由甲醇、乙醇、1-丙醇、2-丙醇、1-丁醇、2-丁醇、第三丁醇、1-戊醇及其之組合所組成之群,及其中該液體移除組成物係適用於自具有犧牲抗反射塗層(SARC)材料及蝕刻後殘留物之微電子裝置上將此等材料及殘留物移除。
  2. 如申請專利範圍第1項之液體移除組成物,其中,該至少一含氟化物之化合物包括選自由氟化氫、氟化銨、三乙醇胺氫氟酸鹽、酸式氟化銨((NH4)HF2)及具有式(R)4NHF2之酸式氟化四烷基銨所組成之群之種類,其中R為甲基、乙基、丙基、丁基、苯基、苄基、或氟化C1-C4烷基。
  3. 如申請專利範圍第1項之液體移除組成物,其中,該至少一含氟化物之化合物包括氟化氫。
  4. 如申請專利範圍第1項之液體移除組成物,其中,該至少一二醇醚包括選自由二甘醇單甲醚、三甘醇單甲醚、二甘醇單乙醚、三甘醇單乙醚、乙二醇單丙醚、乙二醇單丁醚、二甘醇單丁醚、三甘醇單丁醚、丙二醇甲基醚、二丙二醇甲基醚、三丙二醇甲基醚、丙二醇正丙基醚、二丙二醇正丙基醚、三丙二醇正丙基醚、丙二醇正丁基醚、二丙二醇正丁基醚、三丙二醇正丁基醚及其之組合所組成 之群之種類。
  5. 如申請專利範圍第1項之液體移除組成物,其中,該組成物之pH係在1至5之範圍內。
  6. 一種自具有SARC材料及蝕刻後殘留物之微電子裝置上將該材料及/或殘留物移除的方法,該方法包括使微電子裝置與申請專利範圍第1至5項中任一項之液體移除組成物接觸足夠的時間,以自微電子裝置至少部分移除該材料及殘留物。
TW102108988A 2005-06-07 2006-06-07 金屬及介電相容犠牲抗反射塗層清洗及移除組成物 TWI516574B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US68820405P 2005-06-07 2005-06-07

Publications (2)

Publication Number Publication Date
TW201336973A TW201336973A (zh) 2013-09-16
TWI516574B true TWI516574B (zh) 2016-01-11

Family

ID=37498777

Family Applications (3)

Application Number Title Priority Date Filing Date
TW095120181A TWI408212B (zh) 2005-06-07 2006-06-07 金屬及介電相容犧牲抗反射塗層清洗及移除組成物
TW102108988A TWI516574B (zh) 2005-06-07 2006-06-07 金屬及介電相容犠牲抗反射塗層清洗及移除組成物
TW104133732A TWI622639B (zh) 2005-06-07 2006-06-07 金屬及介電相容犠牲抗反射塗層清洗及移除組成物

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW095120181A TWI408212B (zh) 2005-06-07 2006-06-07 金屬及介電相容犧牲抗反射塗層清洗及移除組成物

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW104133732A TWI622639B (zh) 2005-06-07 2006-06-07 金屬及介電相容犠牲抗反射塗層清洗及移除組成物

Country Status (9)

Country Link
US (2) US8951948B2 (zh)
EP (2) EP1891482B1 (zh)
JP (1) JP2008546036A (zh)
KR (3) KR101332501B1 (zh)
CN (2) CN102981377B (zh)
IL (1) IL187956A0 (zh)
SG (2) SG162757A1 (zh)
TW (3) TWI408212B (zh)
WO (1) WO2006133253A1 (zh)

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008546036A (ja) 2005-06-07 2008-12-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 金属および誘電体相溶性の犠牲反射防止コーティング浄化および除去組成物
KR20080015027A (ko) * 2005-06-13 2008-02-15 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 규화물 형성 후 금속 또는 금속 합금의 선택적인제거를 위한 조성물 및 방법
TWI339780B (en) 2005-07-28 2011-04-01 Rohm & Haas Elect Mat Stripper
US20090032766A1 (en) * 2005-10-05 2009-02-05 Advanced Technology Materials, Inc. Composition and method for selectively etching gate spacer oxide material
EP1932174A4 (en) 2005-10-05 2009-09-23 Advanced Tech Materials AQUEOUS OXIDIZING CLEANER FOR REMOVING RESIDUES AFTER A PLASMA ATTACK
WO2007120259A2 (en) * 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
KR20080072905A (ko) 2005-11-09 2008-08-07 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 표면에 저유전 물질이 있는 반도체 웨이퍼를 재생하기 위한조성물 및 방법
TWI449784B (zh) * 2006-12-21 2014-08-21 Advanced Tech Materials 用以移除蝕刻後殘餘物之液體清洗劑
TWI516573B (zh) * 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
CN101827928B (zh) * 2007-08-08 2012-10-03 荒川化学工业株式会社 用于除去无铅助焊剂的清洁剂组合物以及用于除去无铅助焊剂的方法
TWI460557B (zh) * 2008-03-07 2014-11-11 Wako Pure Chem Ind Ltd 半導體表面用處理劑組成物及使用半導體表面用處理劑組成物之半導體表面處理方法
KR20100123757A (ko) * 2008-03-07 2010-11-24 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 비-선택적 산화물 에칭용 습윤 세정 조성물 및 사용 방법
KR101752684B1 (ko) * 2008-10-21 2017-07-04 엔테그리스, 아이엔씨. 구리 세척 및 보호 조성물
JP5498768B2 (ja) * 2009-12-02 2014-05-21 東京応化工業株式会社 リソグラフィー用洗浄液及び配線形成方法
SG10201505535VA (en) * 2010-07-16 2015-09-29 Entegris Inc Aqueous cleaner for the removal of post-etch residues
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
CN105274338A (zh) 2010-08-20 2016-01-27 安格斯公司 从电子垃圾回收贵金属和贱金属的可持续方法
CN103154321B (zh) 2010-10-06 2015-11-25 安格斯公司 选择性蚀刻金属氮化物的组合物及方法
KR20140010002A (ko) * 2010-12-16 2014-01-23 카이젠 코포레이션 땜납 플럭스의 제거를 위한 세척제
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
MY172099A (en) 2011-10-05 2019-11-13 Avantor Performance Mat Llc Microelectronic substrate cleaning compositions having copper/azole polymer inhibition
JP6329909B2 (ja) 2011-12-28 2018-05-23 インテグリス・インコーポレーテッド 窒化チタンを選択的にエッチングするための組成物および方法
JP2015512971A (ja) 2012-02-15 2015-04-30 インテグリス,インコーポレイテッド 組成物を使用したcmp後除去及び使用方法
KR20150016574A (ko) 2012-05-18 2015-02-12 인티그리스, 인코포레이티드 티타늄 나이트라이드를 포함한 표면에서 포토레지스트를 제거하는 조성물 및 방법
US9536730B2 (en) * 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations
KR102118964B1 (ko) 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Iii-v 반도체 물질을 세척하기 위한 조성물 및 이를 사용하는 방법
WO2014138064A1 (en) 2013-03-04 2014-09-12 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
US9256128B2 (en) * 2013-03-12 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing semiconductor device
US9245751B2 (en) 2013-03-12 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-reflective layer and method
US9543147B2 (en) 2013-03-12 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist and method of manufacture
US9502231B2 (en) 2013-03-12 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist layer and method
US20140308618A1 (en) * 2013-04-10 2014-10-16 Cheil Industries Inc. Organic Solution for Surface Treatment of Induim Zinc Oxide Substrate and Method of Preparing Display Substrate Using the Same
SG10201708364XA (en) 2013-06-06 2017-11-29 Entegris Inc Compositions and methods for selectively etching titanium nitride
CN112442374A (zh) 2013-07-31 2021-03-05 恩特格里斯公司 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂
SG11201601158VA (en) 2013-08-30 2016-03-30 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
US20160322232A1 (en) 2013-12-20 2016-11-03 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
KR20150077076A (ko) 2013-12-27 2015-07-07 삼성디스플레이 주식회사 표시 패널, 이를 포함하는 표시 장치 및 이의 제조 방법
WO2015103146A1 (en) 2013-12-31 2015-07-09 Advanced Technology Materials, Inc. Formulations to selectively etch silicon and germanium
TWI659098B (zh) 2014-01-29 2019-05-11 美商恩特葛瑞斯股份有限公司 化學機械研磨後配方及其使用方法
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
JP2017519862A (ja) * 2014-06-04 2017-07-20 インテグリス・インコーポレーテッド 金属、誘電体および窒化物適合性を有する、反射防止コーティング洗浄およびエッチング後残留物除去組成物
WO2016003729A1 (en) * 2014-06-30 2016-01-07 Entegris, Inc. Aqueous and semi-aqueous cleaners for the removal of post-etch residues with tungsten and cobalt compatibility
JP6849595B2 (ja) * 2014-12-22 2021-03-24 ビーエイエスエフ・ソシエタス・エウロパエアBasf Se コバルト及び/又はコバルト合金含有の基板の研磨のための化学機械研磨(cmp)組成物の使用
JP6504911B2 (ja) * 2015-05-19 2019-04-24 キヤノン株式会社 液体吐出ヘッドの製造方法
TWI818893B (zh) * 2015-07-14 2023-10-21 美商富士軟片電子材料美國股份有限公司 清潔組成物及其使用方法
KR102384908B1 (ko) * 2015-11-25 2022-04-08 삼성전자주식회사 자성 패턴 세정 조성물, 자성 패턴 형성 방법 및 자기 메모리 장치의 제조 방법
WO2017165637A1 (en) * 2016-03-24 2017-09-28 Avantor Performance Materials, Llc Non-aqueous tungsten compatible metal nitride selective etchants and cleaners
MX2018013643A (es) * 2016-05-10 2019-04-01 Atotech Deutschland Gmbh Composicion de desprendimiento no acuosa y metodo de desprendimiento de un recubrimiento organico de un sustrato.
WO2018061582A1 (ja) * 2016-09-29 2018-04-05 富士フイルム株式会社 処理液および積層体の処理方法
KR20190094426A (ko) * 2017-01-18 2019-08-13 엔테그리스, 아이엔씨. 표면으로부터 세리아 입자를 제거하기 위한 조성물 및 방법
JP7235336B2 (ja) * 2017-08-22 2023-03-08 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 洗浄組成物
US20190103282A1 (en) * 2017-09-29 2019-04-04 Versum Materials Us, Llc Etching Solution for Simultaneously Removing Silicon and Silicon-Germanium Alloy From a Silicon-Germanium/Silicon Stack During Manufacture of a Semiconductor Device
WO2019151141A1 (ja) * 2018-02-05 2019-08-08 富士フイルム株式会社 処理液、及び、処理方法
CA3039238A1 (en) * 2019-04-05 2020-10-05 Fluid Energy Group Ltd. Novel inhibited hydrofluoric acid composition
CN110714213A (zh) * 2019-10-31 2020-01-21 武汉奥邦表面技术有限公司 一种无氰碱性亚铜电镀铜络合剂
CN113430065A (zh) * 2020-03-23 2021-09-24 上海新阳半导体材料股份有限公司 抗反射涂层清洗及刻蚀后残留物去除组合物、制备方法及用途
CA3083522A1 (en) * 2020-06-12 2021-12-12 Fluid Energy Group Ltd. Process to manufacture novel inhibited hydrofluoric acid composition
CN112859552B (zh) * 2021-02-04 2024-01-05 上海新阳半导体材料股份有限公司 一种氧化钒缓蚀含氟剥离液的应用
CN115466651A (zh) * 2022-10-09 2022-12-13 福建省腾达洁环保工程有限公司 一种电力电子设备带电清洗剂及其制备方法

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4343677A (en) 1981-03-23 1982-08-10 Bell Telephone Laboratories, Incorporated Method for patterning films using reactive ion etching thereof
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
JP3074634B2 (ja) 1994-03-28 2000-08-07 三菱瓦斯化学株式会社 フォトレジスト用剥離液及び配線パターンの形成方法
US5571447A (en) * 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
JP3236220B2 (ja) 1995-11-13 2001-12-10 東京応化工業株式会社 レジスト用剥離液組成物
US5855811A (en) * 1996-10-03 1999-01-05 Micron Technology, Inc. Cleaning composition containing tetraalkylammonium salt and use thereof in semiconductor fabrication
US5698503A (en) * 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
US6224785B1 (en) * 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6755989B2 (en) * 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
KR100234541B1 (ko) * 1997-03-07 1999-12-15 윤종용 반도체장치 제조용 웨이퍼의 세정을 위한 세정조성물 및 그를 이용한 세정방법
JPH1167632A (ja) 1997-08-18 1999-03-09 Mitsubishi Gas Chem Co Inc 半導体装置用洗浄剤
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
JPH11323394A (ja) 1998-05-14 1999-11-26 Texas Instr Japan Ltd 半導体素子製造用洗浄剤及びそれを用いた半導体素子の製造方法
WO1999060447A1 (en) 1998-05-18 1999-11-25 Advanced Technology Materials, Inc. Stripping compositions for semiconductor substrates
US7547669B2 (en) 1998-07-06 2009-06-16 Ekc Technology, Inc. Remover compositions for dual damascene system
US6395194B1 (en) 1998-12-18 2002-05-28 Intersurface Dynamics Inc. Chemical mechanical polishing compositions, and process for the CMP removal of iridium thin using same
JP4224652B2 (ja) 1999-03-08 2009-02-18 三菱瓦斯化学株式会社 レジスト剥離液およびそれを用いたレジストの剥離方法
JP3626100B2 (ja) * 1999-04-27 2005-03-02 博 三輪 ガラスエッチング組成物およびこれを用いたガラス表面のフロスト加工法
US6248704B1 (en) 1999-05-03 2001-06-19 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductors devices
US6268457B1 (en) 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
US6329118B1 (en) 1999-06-21 2001-12-11 Intel Corporation Method for patterning dual damascene interconnects using a sacrificial light absorbing material
US6235693B1 (en) 1999-07-16 2001-05-22 Ekc Technology, Inc. Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
JP3389166B2 (ja) 1999-09-10 2003-03-24 日本電気株式会社 レジスト用剥離液組成物
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6492308B1 (en) 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6723691B2 (en) 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
WO2001081525A1 (fr) * 2000-04-26 2001-11-01 Daikin Industries, Ltd. Composition de detergent
KR20010113396A (ko) * 2000-06-19 2001-12-28 주식회사 동진쎄미켐 암모늄 플로라이드를 함유하는 포토레지스트 리무버 조성물
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
EP1357092A1 (en) * 2000-12-27 2003-10-29 Hiroshi Miwa Method for preparing decorative glass using glass etching composition
US6627587B2 (en) * 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US6645867B2 (en) 2001-05-24 2003-11-11 International Business Machines Corporation Structure and method to preserve STI during etching
US20030022800A1 (en) 2001-06-14 2003-01-30 Peters Darryl W. Aqueous buffered fluoride-containing etch residue removers and cleaners
MY143399A (en) 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
TWI297102B (en) 2001-08-03 2008-05-21 Nec Electronics Corp Removing composition
US20030171239A1 (en) * 2002-01-28 2003-09-11 Patel Bakul P. Methods and compositions for chemically treating a substrate using foam technology
US6773873B2 (en) * 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US8906838B2 (en) 2002-06-07 2014-12-09 Avantor Performance Materials, Inc. Microelectronic cleaning and arc remover compositions
EP1520211A2 (en) * 2002-06-07 2005-04-06 Mallinckrodt Baker, Inc. Microelectronic cleaning compositions containing oxidizers and organic solvents
JP2004029276A (ja) * 2002-06-25 2004-01-29 Mitsubishi Gas Chem Co Inc 銅配線基板向け含フッ素レジスト剥離液
US20040050406A1 (en) 2002-07-17 2004-03-18 Akshey Sehgal Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
KR20050024432A (ko) * 2002-07-23 2005-03-10 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 희생 항반사 물질의 웨트 스트리핑 제거를 위한 조성물 및방법
US6849200B2 (en) * 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
CN1678961B (zh) 2002-08-22 2010-05-05 大金工业株式会社 剥离液
US7267727B2 (en) * 2002-09-24 2007-09-11 Air Products And Chemicals, Inc. Processing of semiconductor components with dense processing fluids and ultrasonic energy
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
WO2004094581A1 (en) * 2003-04-18 2004-11-04 Ekc Technology, Inc. Aqueous fluoride compositions for cleaning semiconductor devices
US7309448B2 (en) 2003-08-08 2007-12-18 Applied Materials, Inc. Selective etch process of a sacrificial light absorbing material (SLAM) over a dielectric material
US20050079803A1 (en) * 2003-10-10 2005-04-14 Siddiqui Junaid Ahmed Chemical-mechanical planarization composition having PVNO and associated method for use
CA2590325A1 (en) 2003-12-02 2005-06-23 Advanced Technology Materials, Inc. Resist, barc and gap fill material stripping chemical and method
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US7122484B2 (en) 2004-04-28 2006-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Process for removing organic materials during formation of a metal interconnect
US7497959B2 (en) 2004-05-11 2009-03-03 International Business Machines Corporation Methods and structures for protecting one area while processing another area on a chip
US8030263B2 (en) * 2004-07-01 2011-10-04 Air Products And Chemicals, Inc. Composition for stripping and cleaning and use thereof
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
CN101163776A (zh) * 2004-11-19 2008-04-16 霍尼韦尔国际公司 用于半导体应用的选择性去除化学物质,其制备方法和用途
US20060255315A1 (en) * 2004-11-19 2006-11-16 Yellowaga Deborah L Selective removal chemistries for semiconductor applications, methods of production and uses thereof
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7923423B2 (en) 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
US7365045B2 (en) 2005-03-30 2008-04-29 Advanced Tehnology Materials, Inc. Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
JP2008546036A (ja) 2005-06-07 2008-12-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 金属および誘電体相溶性の犠牲反射防止コーティング浄化および除去組成物
US20070179072A1 (en) * 2006-01-30 2007-08-02 Rao Madhukar B Cleaning formulations
KR20090076938A (ko) * 2006-09-25 2009-07-13 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 웨이퍼 재작업 적용을 위한 포토레지스트의 제거를 위한 조성물 및 방법

Also Published As

Publication number Publication date
TWI408212B (zh) 2013-09-11
US9422513B2 (en) 2016-08-23
US8951948B2 (en) 2015-02-10
KR101477455B1 (ko) 2014-12-29
US20080242574A1 (en) 2008-10-02
TW201610104A (zh) 2016-03-16
KR101332501B1 (ko) 2013-11-27
TW200708597A (en) 2007-03-01
SG162757A1 (en) 2010-07-29
SG10201504423QA (en) 2015-07-30
KR20080019049A (ko) 2008-02-29
EP1891482A1 (en) 2008-02-27
EP2759881A1 (en) 2014-07-30
TWI622639B (zh) 2018-05-01
IL187956A0 (en) 2008-03-20
CN101233456A (zh) 2008-07-30
CN101233456B (zh) 2013-01-02
JP2008546036A (ja) 2008-12-18
KR101431406B1 (ko) 2014-08-18
EP1891482A4 (en) 2013-01-09
KR20140007020A (ko) 2014-01-16
TW201336973A (zh) 2013-09-16
CN102981377A (zh) 2013-03-20
CN102981377B (zh) 2014-11-12
KR20130069825A (ko) 2013-06-26
US20150094248A1 (en) 2015-04-02
WO2006133253A1 (en) 2006-12-14
EP1891482B1 (en) 2014-04-30

Similar Documents

Publication Publication Date Title
TWI516574B (zh) 金屬及介電相容犠牲抗反射塗層清洗及移除組成物
US8058219B2 (en) Metals compatible post-etch photoresist remover and/or sacrificial antireflective coating etchant
TWI428442B (zh) 移除蝕刻後殘餘之氧化水性清潔劑
JP5237300B2 (ja) エッチング後残留物を除去するための液体洗浄剤
US6825156B2 (en) Semiconductor process residue removal composition and process
TWI408728B (zh) 對於沈積於基板上光阻及/或抗反射犧牲材料進行後蝕刻移除之組成物及方法
JP2007519942A (ja) レジスト、barc、およびギャップフィル材料を剥離する化学物質ならびに方法
TW201610102A (zh) 具有金屬、電介質及氮化物相容性之抗反射塗層清洗及蝕刻後殘留物移除組成物
KR20160097201A (ko) 표면 잔류물 제거용 세정 제형