CN1659480A - 用于微电子基底的清洁组合物 - Google Patents

用于微电子基底的清洁组合物 Download PDF

Info

Publication number
CN1659480A
CN1659480A CN038130262A CN03813026A CN1659480A CN 1659480 A CN1659480 A CN 1659480A CN 038130262 A CN038130262 A CN 038130262A CN 03813026 A CN03813026 A CN 03813026A CN 1659480 A CN1659480 A CN 1659480A
Authority
CN
China
Prior art keywords
cleasing compositions
residue
substrate cleaning
composition
cleaning photoresist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN038130262A
Other languages
English (en)
Inventor
许建斌
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Publication of CN1659480A publication Critical patent/CN1659480A/zh
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/04Cleaning or pickling metallic material with solutions or molten salts with acid solutions using inhibitors
    • C23G1/06Cleaning or pickling metallic material with solutions or molten salts with acid solutions using inhibitors organic inhibitors
    • C23G1/061Cleaning or pickling metallic material with solutions or molten salts with acid solutions using inhibitors organic inhibitors nitrogen-containing compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/22Secondary treatment of printed circuits
    • H05K3/26Cleaning or polishing of the conductive pattern
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/07Treatments involving liquids, e.g. plating, rinsing
    • H05K2203/0779Treatments involving liquids, e.g. plating, rinsing characterised by the specific liquids involved
    • H05K2203/0783Using solvent, e.g. for cleaning; Regulating solvent content of pastes or coatings for adjusting the viscosity
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/12Using specific substances
    • H05K2203/121Metallo-organic compounds
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/12Using specific substances
    • H05K2203/122Organic non-polymeric compounds, e.g. oil, wax or thiol

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Detergent Compositions (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

适用于清洁具有二氧化硅、低κ或高κ电介质和铜或铝金属化的微电子结构的清洁组合物包含强碱和选自酰胺、砜、环丁烯砜、硒代砜和饱和醇的极性有机溶剂。

Description

用于微电子基底的清洁组合物
技术领域
本发明涉及用于清洁微电子基底的方法和清洁组合物,以及具体涉及对以二氧化硅、敏感的低κ或高κ电介质和铜金属化(copper metallization)为特征的微电子基底以及Al或Al(Cu)金属化的基底有用并具有改进相容性的清洁组合物。本发明也涉及该清洁组合物用于剥离光致抗蚀剂(photoresist)、清洁来自等离子过程生成的有机化合物、有机金属化合物和无机化合物的残留物、清洁从如化学机械抛光的平整过程(planarizationprocess)中产生的残留物,以及在平整浆料残留物中用作添加剂的用途。
背景技术
在微电子领域中,已经建议使用许多光致抗蚀剂剥离剂和残留物去除剂作为生产线下游或后端(back end)的清洁剂。在制造过程中光致抗蚀剂薄膜沉积在晶片基底上,然后在薄膜上成像电路图案。烘焙后,未聚合的抗蚀剂用光致抗蚀剂展开剂(developer)除去。然后通过反应性等离子体蚀刻气体或化学蚀刻剂溶液将所得到的图像转印至底层材料,该材料通常是电介质或金属。蚀刻气体或化学蚀刻剂溶液选择性蚀刻基底上未被光致抗蚀剂保护的区域。等离子体蚀刻过程的结果使得光致抗蚀剂、蚀刻气体和被蚀刻的材料副产物作为残留物沉积在基底上的蚀刻开口的侧壁或周围。
另外,在蚀刻步骤终止后,抗蚀剂掩膜(mask)必须从晶片的保护区除去,从而可以进行最终的抛光操作。这可以在等离子体灰化步骤中通过采用合适的等离子体灰化(ashing)气体或湿法化学剥离剂完成。然而,寻找用于除去该抗蚀剂掩膜材料而对金属电路没有如腐蚀、溶解或钝化等负面影响的合适清洁组合物,已证实是有问题的。
随着微电子制造集成水平的提高以及图案化微电子器件尺寸的减小,在本领域中使用铜金属化、低κ和高κ电介质变得越来越普遍。这些材料对寻找可接受的清洁剂组合物提出了另外的挑战。先前开发的用于“传统”或“常规”半导体器件(含有Al/SiO2或Al(Cu)SiO2结构)的许多工艺技术组合物不能用于铜金属化的低κ或高κ电介质结构。例如,羟胺类剥离剂或残留物除去剂组合物成功地用于清洁由铝金属化的器件,但对那些由铜金属化的器件实际上不合适。同样,如果不在组合物中作出重大调整,许多铜金属化的/低κ剥离剂不适合铝金属化的器件。
已经证实在等离子蚀刻和/或灰化过程后这些蚀刻和/或灰化残留物的去除是有问题的。无法完全除去或抵消这些残留物会导致湿气的吸收和对金属结构引起腐蚀的不希望物质的形成。电路材料被这些不希望的物质腐蚀,并在电路线路(circuitry wiring)中产生不连续性以及不希望地增加电阻。
目前的后端清洁剂显示对某些敏感电介质和金属化大范围的相容性,从完全不能接受到勉强满意。现有剥离剂和残留物清洁剂中大多数不适用于高级互连材料(advanced interconnect materials),例如多孔和低κ电介质和铜金属化。另外,所使用的典型的碱性清洁溶液对多孔和低κ和高κ电介质和/或铜金属化过度侵蚀。而且,这些碱性清洁组合物中大多数包含有机溶剂,该有机溶剂显示出差的产品稳定性,特别是在较高的pH范围内和较高的处理温度下。
迄今为止,氧化剂使用在主要为水溶液形式的清洁组合物中。已知氧化剂如普通使用的过氧化氢和过酸容易发生反应或易于分解,特别是在有机溶剂基质(其通常使用在剥离剂组合物中)中。在这些例子中,氧化剂被消耗掉,对所期望的应用变得无法得到。另外,含有氧化剂的微电子清洁组合物经常显示出差的产品稳定性,特别在存在大量的(10重量%或更多的)有机溶剂,以及在较高的pH范围内和高的处理温度下。而且,在许多组合物中,稳定剂和溶剂的使用经常会妨碍氧化剂,导致在清洁过程中进行有效氧化/还原反应的能力降低。
发明内容
因此,需要有机溶剂基的微电子清洁组合物,其在pH>9的强碱性条件下,在60℃或更高的高温下,以及在强氧化剂如过氧化氢等存在下是稳定的,并且其适合后端清洁操作,其中该组合物是有效的清洁剂,且可适用于剥离光致抗蚀剂和清洁来自等离子过程生成的有机化合物、有机金属化合物和无机化合物的残留物,清洁如CMP的平整过程产生的残留物,和在平整浆料/液中用作添加剂,以及该组合物可用于采用铜金属化和多孔或无孔低κ(即κ值为3或更小)或高κ(即κ值为20或更大)的电介质的高级互连材料,以及适用于清洁常规器件,例如那些含有二氧化硅、低κ或高κ电介质的由铝或铝(铜)金属化的器件。本发明也涉及能与氧化剂结合的清洁组合物,以提供用于清洁所有这些器件的有效清洁剂。
已经发现含有强碱和某些极性有机溶剂的不含硅酸盐的配制剂能提供普遍可接受的清洁组合物,所述极性有机溶剂与氧化剂发生最小程度的反应或不发生反应,以及特别是有助于稳定该氧化剂的那些溶剂。还已发现具有良好氢键键合能力的溶剂可提供这样的配制剂。本发明的清洁组合物通常具有大约0.1-30重量%的强碱和大约1-99.9重量%具有良好氢键键合能力的极性有机溶剂。本发明的清洁组合物也可任选包含水和/或相容的酸或碱(alkaline base)、螯合剂、共溶剂、氧化剂稳定剂、金属腐蚀抑制剂(metalcorrosion inhibitor)、表面活性剂和氟化物。在本说明书中提供的重量百分数基于清洁组合物的总重量。
具体实施方式
本发明的新型后端清洁组合物包含一种或多种任意的强碱和某些极性有机溶剂。该清洁组合物可配制为高含水性、半含水性或有机溶剂基的配制剂。清洁组合物可以只和其它溶剂单独使用,或与酸和碱结合使用。本发明的清洁组合物可用于大范围的pH和温度的加工/操作条件,及可用于有效除去光致抗蚀剂、后等离子体(post plasma)蚀刻/灰化残留物、牺牲(sacrificial)光吸收材料和防反射涂层(ARC)。另外,发现用本发明的清洁组合物容易清洁难以清洁的样品,例如高度交联或硬化的光致抗蚀剂和含有含钛物质(如钛、氧化钛和氮化钛)或含钽物质(如钽、氧化钽和氮化钽)的结构。
碱存在的量可以为0.1至大约30重量%,优选为大约0.1-10重量%,最优选为大约0.1-5重量%。在清洁组合物中可以使用任何合适的碱。该碱优选为氢氧化铵或氨或非氨衍生碱(non-ammonia derived base)。正如下文公开的,当组合物是打算用来清洁铜金属化结构时,碱优选为非氨衍生碱,而当组合物是打算用来清洁含铝结构时,该碱优选为氢氧化铵、氨或与腐蚀抑制共溶剂(corrosion-inhibiting co-solvent)和/或腐蚀抑制剂(corrosion-inhibiting agent)结合的非氨衍生碱。作为合适的非氨衍生碱的例子,可提及氢氧化四烷基铵,例如分子式为R4N+OH-的那些,其中每个R独立为取代的或未取代的烷基,该烷基优选具有1-22个碳原子,且更优选具有1-4个碳原子。在适用于组合物的非氨衍生碱中,可提及例如,氢氧化四甲基铵、氢氧化四丁基铵、胆碱氢氧化物(choline hydroxide)等。无机碱如氢氧化钾、氢氧化钠等也可以用作所述碱。
所述有机溶剂是具有氢键键合能力以及与氧化剂发生最小程度的反应或不发生反应的极性有机溶剂。此类有机溶剂包括酰胺、砜、环丁烯砜(sulfolene)、硒代砜(selenone)和饱和醇。在优选的溶剂中,可提及环丁砜(四氢噻吩-1,1-二氧化物)、3-甲基环丁砜、正丙基砜、正丁基砜;环丁烯砜(2,5-二氢噻吩-1,1-二氧化物)、3-甲基环丁烯砜;酰胺如1-(2-羟乙基)-2-吡咯烷酮(HEP)、二甲基哌啶酮(DMPD)、N-甲基吡咯烷酮(NMP)、二甲基乙酰胺(DMAc)、二甲基甲酰胺(DMF);和饱和醇如乙醇、丙醇、丁醇、己醇、乙二醇、丙二醇、丙三醇和六氟异丙醇。有机溶剂组分可以包括一种或多种该溶剂,并且在组合物中存在的量通常为大约1-99.9重量%,优选为大约10-90重量%,最优选为大约30-80重量%。这些溶剂对酸性和碱性条件具有抵抗力并且不会和氧化剂结合得太牢固。另外,当本发明的配制剂和氧化剂结合时,它们能够通过相互作用如氢键键合形成稳定的络合物来稳定氧化剂,如过氧化氢。
水可存在于清洁组合物中,并且当水存在时,存在的量为大约0.1-98重量%,优选为大约10-60重量%,最优选为大约15-50重量%。水可作为其它组分的水性部分和/或作为另外添加的水而存在。
如前面提到的,本发明的清洁组合物也可以在酸性pH条件下使用,并且可以使用必需量的任何合适的酸组分(例如HCI或HF)以足够给予组合物酸性pH。
清洁组合物也可以任选包括一种或多种腐蚀抑制共溶剂。在本发明的组合物中使用的优选腐蚀抑制共溶剂具有下述通式:
                        W-[CR1R2]n-Y
其中R1和R2各自独立选自H、烷基,优选具有1-6个碳原子的烷基、芳基,优选具有3-14个碳原子的芳基、OR3和SO2R4;n为2-6的数,优选2或3;W和Y各自独立选自OR3和SO2R4;及R3和R4各自独立选自H、烷基,优选具有1-6个碳原子的烷基、芳基,优选具有3-14个碳原子的芳基。作为该腐蚀抑制共溶剂的例子,可提及例如,乙二醇、丙二醇和丙三醇等。如果清洁组合物所要求的极性有机溶剂不是上述通式内的饱和醇,则此饱和醇可作为共溶剂存在。组合物中存在的共溶剂的量为0至大约80重量%,优选为大约1-50重量%,最优选为大约1-30重量%。
本发明的组合物也可以包含其它腐蚀抑制剂,优选为包含与芳香环直接键合的两个或多个OH、OR5和/或SO2R6基团的芳基化合物,其中R5和R6各自独立为烷基,优选具有1-6个碳原子的烷基,或芳基,优选具有6-14个碳原子的芳基。这些优选的腐蚀抑制剂的例子,可提及邻苯二酚、焦酚、没食子酸、间苯二酚等。其它腐蚀抑制剂存在的量为0至大约15重量%,优选为大约0.1-10重量%,最优选为大约0.5-5重量%。
有机或无机螯合剂或者金属络合剂不是必需的,但它们能提供实质的益处,例如提高的产品稳定性。合适的螯合剂或络合剂的例子包括但不限于反-1,2-环己烷二胺四乙酸(CyDTA)、乙二胺四乙酸(EDTA)、锡酸盐、焦膦酸盐、亚烷基-二膦酸衍生物(如乙烷-1-羟基-1,1-二膦酸盐)、包含乙二胺、二亚乙基三胺或三亚乙基四胺官能部分的膦酸盐[如乙二胺四(亚甲基膦酸)(EDTMP)、二亚乙基三胺五(亚甲基膦酸)、三亚乙基四胺六(亚甲基膦酸)]。组合物中螯合剂的量为0至大约5重量%,优选为大约0.1-2重量%。各种膦酸盐的金属螯合剂或络合剂如乙二胺四(亚甲基膦酸)(EDTMP)当在酸性和碱性条件下与氧化剂结合时给本发明的清洁组合物提供了更加改进的稳定性,因而通常是优选的。
任选使用其它金属腐蚀抑制剂,如苯并三唑,其含量为0至大约5重量%,优选为大约0.1-2重量%。
清洁组合物也可以任选包含表面活性剂,例如二甲基己炔醇(Surfynol-61)、乙氧化四甲基癸炔二醇(Surfynol-465)、聚四氟亚乙基十六烷氧基丙基甜菜碱(Zonyl FSK),Zonyl FSH等。表面活性剂存在的量通常为0至大约5重量%,优选大约0.1-3重量%。
清洁组合物也可以在清洁组合物中任选包含氟化物,例如,氟化四甲基铵、氟化四丁基铵和氟化铵。其它合适的氟化物包括,例如氟硼酸盐、氟硼酸四丁基铵、六氟化铝、氟化锑等。氟化物组分存在的量为0至大约10重量%,优选为大约0.1-5重量%。
正如前面提到的,本发明的组合物可以与氧化剂结合使用(如下文讨论的)以形成另外的清洁和剥离组合物。该组合物可以含有适合用于微电子清洁组合物的任何氧化剂。这些氧化剂的例子,可提及例如,过氧化物,特别是过氧化氢,来自过氧化氢和含氧酸、乙酸氧锆和偶氮化合物的过氧水合物(peroxyhydrates)的分子加合物,例如过碳酸钠、高硼酸钠,以及高碘酸盐(IO4 -)、高硼酸盐、高锰酸盐(MnO4 -)、过硫酸氢盐(hydrogen persulfates)、过硫酸盐和烷氧基卤化物如t-BuOCl。也可以采用从H2O2和有机分子的取代反应得到的其它过氧化合物,但较不优选。实例包括烷基过氧化物、过氧酸、二酰基过氧化物和酮过氧化物。也可以采用H2O2和无机分子的相似取代产物如过氧硫酸。当本发明的清洁组合物与氧化剂结合时,在所得的清洁组合物中的氧化剂的量为大约0.1-30重量%,优选为大约0.1-5重量%,最优选为大约0.5-5重量%。优选的氧化剂是过氧化氢(H2O2),优选采用3-30%的水溶液。
在下表1-4中列出了本发明的清洁组合物的例子。
在下表中所用的缩写词如下。
TMAH=25%的氢氧化四甲基铵
HEP=1-(2-羟乙基)-2-吡咯烷酮
CyDTA=反-1,2-环己烷二胺四乙酸
DMPD=二甲基哌啶酮
SFL=环丁砜
EG=乙二醇
CAT=邻苯二酚
EDTMP=乙二胺四(亚甲基膦酸)
NH4OH=氢氧化铵
CH=胆碱氢氧化物
水=来自组分水溶液的水之外加入的水
表1
组合物/重量份数
  组分   A   B     C   D   E   F
 25%TMAH   25   17.5     10   2.5   2   9
 HEP
 SFL   300   150   30   50
 CyDTA   2.3     0.9   0.23   0.19   1.15
 EDTMP   1.8
 DMPD     120
 EG   30   15   200
 CAT   3
 29%NH4OH
 20%CH
 H2O   75   60     60   7.5   12.5
表2
组合物/重量份数
 组分   G   H   I   J   K   L
 25%TMAH   25   25   20   25   17.5   17.5
 HEP
 SFL   300   300   300   300   150   150
 CyDTA   3   3   2.5   1.5
 EDTMP   1.8   1.8
 DMPD
 EG   5   30   40   20
 CAT
 29%NH4OH
 20%CH
 H2O   35   45   45   45   50   50
表3
组合物/重量份数
 组分   M   N   O     P   Q   R
 25%TMAH   2.5   3.1
 HEP
 SFL   30   50   50     50   50   74
 CyDTA   0.23   0.39     0.39   0.28
 EDTMP   0.6   0.6
 DMPD
 EG   15
 CAT   3   3.5   3.5
 29%NH4OH   1.4   1.9     1.4   1.9
 20%CH
 H2O   7.5   12.5   20     12.5   20   40
表4
组合物/重量份数
 组分   S     T     U     V
 25%TMAH   7.5     17.5     6.25     7.5
 HEP     75
 SFL     75
 CyDTA   1.2     0.8     0.6     1.2
 EDTMP
 DMPD   75
 EG
 CAT
 29%NH4OH
 20%CH     12
 H2O   25     25     25     25
通过下表5中的蚀刻速率数据说明本发明清洁组合物对铜和铝的蚀刻速率。使用下述测试程序确定表1的组合物A、B(改性的)和E的蚀刻速率。
采用大约13×50mm的铜箔薄片。测量箔片的重量。在用2-丙醇、蒸馏水和丙酮清洁箔片后,将箔片放入干燥箱干燥。然后将清洁和干燥过的箔片放入盖子松开的瓶中,瓶中有预热过的本发明清洁组合物,并将其置于指定温度的真空箱中2到24个小时。在进行处理和从箱和瓶中取出后,用大量的蒸馏水冲洗清洁过的箔片,并放入干燥箱中干燥大约1小时,然后使其冷却至室温,再根据重量损失或重量变化来确定蚀刻速率。
表5
 表1的组合物 55℃下Cu的蚀刻速率(/min) 65℃下Cu的蚀刻速率(/min)
 A  6  6
 B+25%TMAH(62.5∶5)  3
 E  4  3
通过下面的测试程序评估本发明的组合物A和B(表1)对各种电介质的层间电介质(ILD)蚀刻速率。
采用Rudolph干涉仪测量晶片的膜厚度。在指定温度下将晶片(硅晶片上沉积有ILD材料)浸入指定的清洁组合物中30分钟,接着用去离子水冲洗并在氮气流动/气流下干燥。然后在处理之后再次测量厚度,并根据膜厚的变化计算指定处理方法产生的蚀刻速率。
组合物A的IDL蚀刻速率列于表6中,组合物B的IDL蚀刻速率列于表7中。
表6
    电介质     蚀刻速率@70℃(/min)
    掺杂碳的氧化物(CDO)     5
    氮化硅(SiN)     3
    原硅酸四乙酯(pTEOS)     1.5
    SiLKTM有机聚合物     ---
    氟化硅酸盐玻璃(FSG)     <1
    CoralTM掺杂碳的氧化物     18
表7
    电介质     蚀刻速率@70℃(/min)
    掺杂碳的氧化物(CDO)     1.5
    氮化硅(SiN)     4
    原硅酸四乙酯(pTEOS)     1
    SiLKTM有机聚合物     <1
    氟化硅酸盐玻璃(FSG)     <1
    CoralTM掺杂碳的氧化物     3
本发明的组合物的清洁能力也可以通过下面的测试进行说明,其中将包含下列结构的晶片,即光致抗蚀剂的后槽蚀刻样品/防反射涂层(ARC)/多孔掺杂碳的氧化物的晶片的微电子结构在50℃放入表1的组合物A的清洁溶液中浸泡20分钟,然后用水冲洗、干燥,并通过SEM检查测定清洁度。结果为:该组合物清洁掉大部分ARC而对多孔掺杂碳的氧化物只有少量侵蚀。
尽管已经参考本发明的具体实施方式描述了本发明,但应理解在不偏离在此公开的创造性概念的实质和范围内,可以进行变化、修改和变更。因此,旨在包含落入所附权利要求书的范围和实质内的所有变化、修改和变更。

Claims (31)

1.一种用于从微电子基底清洁光致抗蚀剂和残留物的不含硅酸盐的清洁组合物,所述清洁组合物包含:
选自酰胺、砜、硒代砜和饱和醇的极性有机溶剂;和
强碱;
和任选的一种或多种以下组分:
酸、腐蚀抑制共溶剂、螯合剂或金属络合剂、氧化剂稳定剂、腐蚀抑制剂、金属腐蚀抑制剂、氟化物、表面活性剂和水。
2.权利要求1所述的清洁组合物,其中所述极性有机溶剂选自1-(2-羟乙基)-2-吡咯烷酮(HEP)、二甲基哌啶酮(DMPD)、N-甲基吡咯烷酮(NMP)、二甲基乙酰胺(DMAc)、二甲基甲酰胺(DMF)、环丁砜、3-甲基环丁砜、正丙基砜、正丁基砜、甲基砜、环丁烯砜、3-甲基环丁烯砜、乙二醇、丙二醇、丙三醇和六氟异丙醇。
3.权利要求1所述的清洁组合物,其中所述碱选自氢氧化铵、氢氧化四烷基铵和胆碱氢氧化物。
4.权利要求2所述的清洁组合物,其中所述碱选自氢氧化铵和氢氧化四烷基铵。
5.权利要求1所述的清洁组合物,其中所述极性有机溶剂是环丁砜。
6.权利要求2所述的清洁组合物,其中所述极性有机溶剂是环丁砜。
7.权利要求3所述的清洁组合物,其中所述极性有机溶剂是环丁砜。
8.权利要求4所述的清洁组合物,其中所述极性有机溶剂是环丁砜。
9.权利要求4所述的清洁组合物,其中所述极性有机溶剂是乙二醇。
10.权利要求4所述的清洁组合物,其中所述极性有机溶剂是二甲基哌啶酮。
11.权利要求8所述的清洁组合物,其中该组合物也包含存在于组合物中的作为金属螯合剂的反-1,2-环己烷二胺四乙酸。
12.权利要求8所述的清洁组合物,其中该组合物也包含存在于组合物中的乙二胺四(亚甲基膦酸)。
13.权利要求1所述的清洁组合物,其包含环丁砜、氢氧化四甲基铵、反-1,2-环己烷二胺四乙酸和水。
14.权利要求1所述的清洁组合物,其包含环丁砜、氢氧化四甲基铵、乙二胺四(亚甲基膦酸)、乙二醇和水。
15.权利要求1所述的清洁组合物,其包含环丁砜、氢氧化四甲基铵、反-1,2-环己烷二胺四乙酸、乙二醇、邻苯二酚和水。
16.权利要求1所述的清洁组合物,其包含二甲基哌啶酮、反-1,2-环己烷二胺四乙酸、氢氧化四甲基铵和水。
17.权利要求1所述的清洁组合物,其包含氢氧化四甲基铵、反-1,2-环己烷二胺四乙酸和乙二醇。
18.一种用于从微电子基底清洁光致抗蚀剂或残留物的方法,该方法包含将基底与清洁组合物接触足够的时间以从基底清洁光致抗蚀剂和残留物,其中所述清洁组合物包含权利要求1的组合物。
19.一种用于从微电子基底清洁光致抗蚀剂或残留物的方法,该方法包含将基底与清洁组合物接触足够的时间以从基底清洁光致抗蚀剂和残留物,其中所述清洁组合物包含权利要求2的组合物。
20.一种用于从微电子基底清洁光致抗蚀剂或残留物的方法,该方法包含将基底与清洁组合物接触足够的时间以从基底清洁光致抗蚀剂和残留物,其中所述清洁组合物包含权利要求3的组合物。
21.一种用于从微电子基底清洁光致抗蚀剂或残留物的方法,该方法包含将基底与清洁组合物接触足够的时间以从基底清洁光致抗蚀剂和残留物,其中所述清洁组合物包含权利要求4的组合物。
22.一种用于从微电子基底清洁光致抗蚀剂或残留物的方法,该方法包含将基底与清洁组合物接触足够的时间以从基底清洁光致抗蚀剂和残留物,其中所述清洁组合物包含权利要求5的组合物。
23.一种用于从微电子基底清洁光致抗蚀剂或残留物的方法,该方法包含将基底与清洁组合物接触足够的时间以从基底清洁光致抗蚀剂和残留物,其中所述清洁组合物包含权利要求6的组合物。
24.一种用于从微电子基底清洁光致抗蚀剂或残留物的方法,该方法包含将基底与清洁组合物接触足够的时间以从基底清洁光致抗蚀剂和残留物,其中所述清洁组合物包含权利要求7的组合物。
25.一种用于从微电子基底清洁光致抗蚀剂或残留物的方法,该方法包含将基底与清洁组合物接触足够的时间以从基底清洁光致抗蚀剂和残留物,其中所述清洁组合物包含权利要求8的组合物。
26.一种用于从微电子基底清洁光致抗蚀剂或残留物的方法,该方法包含将基底与清洁组合物接触足够的时间以从基底清洁光致抗蚀剂和残留物,其中所述清洁组合物包含权利要求9的组合物。
27.一种用于从微电子基底清洁光致抗蚀剂或残留物的方法,该方法包含将基底与清洁组合物接触足够的时间以从基底清洁光致抗蚀剂和残留物,其中所述清洁组合物包含权利要求10的组合物。
28.一种用于从微电子基底清洁光致抗蚀剂或残留物的方法,该方法包含将基底与清洁组合物接触足够的时间以从基底清洁光致抗蚀剂和残留物,其中所述清洁组合物包含权利要求11的组合物。
29.一种用于从微电子基底清洁光致抗蚀剂或残留物的方法,该方法包含将基底与清洁组合物接触足够的时间以从基底清洁光致抗蚀剂和残留物,其中所述清洁组合物包含权利要求12的组合物。
30.一种用于从微电子基底清洁光致抗蚀剂或残留物的方法,该方法包含将基底与清洁组合物接触足够的时间以从基底清洁光致抗蚀剂和残留物,其中所述清洁组合物包含权利要求13的组合物。
31.一种用于从微电子基底清洁光致抗蚀剂或残留物的方法,该方法包含将基底与清洁组合物接触足够的时间以从基底清洁光致抗蚀剂和残留物,其中所述清洁组合物包含权利要求14的组合物。
CN038130262A 2002-06-07 2003-05-27 用于微电子基底的清洁组合物 Pending CN1659480A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US38680002P 2002-06-07 2002-06-07
US60/386,800 2002-06-07
US40168802P 2002-08-07 2002-08-07
US60/401,688 2002-08-07

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN2011100761494A Division CN102135735A (zh) 2002-06-07 2003-05-27 用于微电子基底的清洁组合物

Publications (1)

Publication Number Publication Date
CN1659480A true CN1659480A (zh) 2005-08-24

Family

ID=29739917

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2011100761494A Pending CN102135735A (zh) 2002-06-07 2003-05-27 用于微电子基底的清洁组合物
CN038130262A Pending CN1659480A (zh) 2002-06-07 2003-05-27 用于微电子基底的清洁组合物

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN2011100761494A Pending CN102135735A (zh) 2002-06-07 2003-05-27 用于微电子基底的清洁组合物

Country Status (17)

Country Link
US (1) US8906838B2 (zh)
EP (1) EP1512050A2 (zh)
JP (1) JP4330529B2 (zh)
KR (1) KR100958068B1 (zh)
CN (2) CN102135735A (zh)
AU (1) AU2003240827A1 (zh)
BR (1) BR0311830A (zh)
CA (1) CA2488737A1 (zh)
IL (1) IL165581A (zh)
IN (2) IN2004CH02744A (zh)
MY (1) MY142745A (zh)
NO (1) NO20050075L (zh)
PL (1) PL207297B1 (zh)
RS (1) RS106104A (zh)
TW (1) TWI330766B (zh)
WO (1) WO2003104901A2 (zh)
ZA (1) ZA200409622B (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009092292A1 (zh) * 2008-01-18 2009-07-30 Anji Microelectronics (Shanghai) Co., Ltd 一种厚膜光刻胶清洗剂
CN101901782A (zh) * 2010-07-21 2010-12-01 河北工业大学 极大规模集成电路多层布线碱性抛光后防氧化方法
CN101901784A (zh) * 2010-07-21 2010-12-01 河北工业大学 钽化学机械抛光工序中的表面清洗方法
CN101959977A (zh) * 2008-02-29 2011-01-26 马林克罗特贝克公司 微电子基底清洁组合物
CN101359189B (zh) * 2008-09-17 2011-04-27 电子科技大学 正性光敏聚酰亚胺光刻胶用显影液
CN101372089B (zh) * 2006-12-21 2011-07-06 杜邦纳米材料气体产品有限公司 在化学机械抛光期间调节低k对铜除去速率的方法和浆料
CN102282682A (zh) * 2009-01-14 2011-12-14 安万托特性材料有限公司 增加晶片薄层电阻和/或光电池功率密度水平的溶液
CN103809394A (zh) * 2012-11-12 2014-05-21 安集微电子科技(上海)有限公司 一种去除光阻蚀刻残留物的清洗液

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7208049B2 (en) * 2003-10-20 2007-04-24 Air Products And Chemicals, Inc. Process solutions containing surfactants used as post-chemical mechanical planarization treatment
CN1954267B (zh) * 2004-02-11 2010-12-08 马林克罗特贝克公司 含有卤素含氧酸、其盐及其衍生物的微电子清洗组合物
US8338087B2 (en) * 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US7867779B2 (en) 2005-02-03 2011-01-11 Air Products And Chemicals, Inc. System and method comprising same for measurement and/or analysis of particles in gas stream
US7923424B2 (en) * 2005-02-14 2011-04-12 Advanced Process Technologies, Llc Semiconductor cleaning using superacids
CA2603393A1 (en) * 2005-04-04 2006-10-12 Mallinckrodt Baker, Inc. Compositions for cleaning ion implanted photoresist in front end of line applications
CN102981377B (zh) * 2005-06-07 2014-11-12 高级技术材料公司 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
KR101152139B1 (ko) 2005-12-06 2012-06-15 삼성전자주식회사 표시 장치용 세정제 및 이를 사용하는 박막 트랜지스터표시판의 제조 방법
EP1965618B1 (en) * 2005-12-20 2012-11-14 Mitsubishi Gas Chemical Company, Inc. Composition for removing residue from wiring board and cleaning method
JP5237300B2 (ja) * 2006-12-21 2013-07-17 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド エッチング後残留物を除去するための液体洗浄剤
US8110508B2 (en) 2007-11-22 2012-02-07 Samsung Electronics Co., Ltd. Method of forming a bump structure using an etching composition for an under bump metallurgy layer
JP2009231354A (ja) * 2008-03-19 2009-10-08 Fujifilm Corp 半導体デバイス用洗浄液、および洗浄方法
KR101831452B1 (ko) * 2009-02-25 2018-02-22 아반토 퍼포먼스 머티리얼즈, 엘엘씨 다목적 산성, 유기 용매 기반의 마이크로전자 세정 조성물
US8497233B2 (en) * 2009-02-25 2013-07-30 Avantor Performance Materials, Inc. Stripping compositions for cleaning ion implanted photoresist from semiconductor device wafers
US8754021B2 (en) 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
CN105869997A (zh) * 2011-10-21 2016-08-17 安格斯公司 无胺cmp后组合物及其使用方法
US9460934B2 (en) * 2013-03-15 2016-10-04 Globalfoundries Inc. Wet strip process for an antireflective coating layer
EP3060642B1 (en) 2013-10-21 2019-11-06 FujiFilm Electronic Materials USA, Inc. Cleaning formulations for removing residues on surfaces
JP2015108041A (ja) * 2013-12-03 2015-06-11 ダイキン工業株式会社 洗浄用組成物
CN105873691B (zh) 2013-12-06 2018-04-20 富士胶片电子材料美国有限公司 用于去除表面上的残余物的清洗调配物
CN105210176B (zh) 2014-04-10 2016-09-28 三菱瓦斯化学株式会社 半导体元件的清洗用液体组合物、和半导体元件的清洗方法
US9570285B2 (en) * 2015-04-17 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning composition and methods thereof
TWI705132B (zh) 2015-10-08 2020-09-21 日商三菱瓦斯化學股份有限公司 半導體元件之洗淨用液體組成物、半導體元件之洗淨方法及半導體元件之製造方法
TWI816635B (zh) 2015-10-15 2023-10-01 日商三菱瓦斯化學股份有限公司 半導體元件之洗淨用液體組成物、半導體元件之洗淨方法及半導體元件之製造方法
WO2017156304A1 (en) 2016-03-09 2017-09-14 Entegris, Inc. Tungsten post-cmp cleaning compositions
CN107313055A (zh) * 2017-07-19 2017-11-03 马爱连 一种金属油污清洗剂及其制备方法和使用方法
JP6458913B1 (ja) * 2018-03-26 2019-01-30 三菱瓦斯化学株式会社 エッチング液
US10752867B2 (en) 2018-03-28 2020-08-25 Fujifilm Electronic Materials U.S.A., Inc. Cleaning compositions
JPWO2022163350A1 (zh) * 2021-01-29 2022-08-04

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3673099A (en) 1970-10-19 1972-06-27 Bell Telephone Labor Inc Process and composition for stripping cured resins from substrates
US4744834A (en) 1986-04-30 1988-05-17 Noor Haq Photoresist stripper comprising a pyrrolidinone, a diethylene glycol ether, a polyglycol and a quaternary ammonium hydroxide
US5037724A (en) 1988-02-25 1991-08-06 Hoya Corporation Peeling solution for photo- or electron beam-sensitive resin
US6492311B2 (en) * 1990-11-05 2002-12-10 Ekc Technology, Inc. Ethyenediaminetetraacetic acid or its ammonium salt semiconductor process residue removal composition and process
US5308745A (en) 1992-11-06 1994-05-03 J. T. Baker Inc. Alkaline-containing photoresist stripping compositions producing reduced metal corrosion with cross-linked or hardened resist resins
US5561105A (en) * 1995-05-08 1996-10-01 Ocg Microelectronic Materials, Inc. Chelating reagent containing photoresist stripper composition
JPH1055993A (ja) * 1996-08-09 1998-02-24 Hitachi Ltd 半導体素子製造用洗浄液及びそれを用いた半導体素子の製造方法
JPH1116882A (ja) * 1997-06-19 1999-01-22 Toray Fine Chem Co Ltd フォトレジスト剥離用組成物
JPH1167632A (ja) * 1997-08-18 1999-03-09 Mitsubishi Gas Chem Co Inc 半導体装置用洗浄剤
JPH11323394A (ja) * 1998-05-14 1999-11-26 Texas Instr Japan Ltd 半導体素子製造用洗浄剤及びそれを用いた半導体素子の製造方法
JP3606738B2 (ja) * 1998-06-05 2005-01-05 東京応化工業株式会社 アッシング後の処理液およびこれを用いた処理方法
JP4224652B2 (ja) * 1999-03-08 2009-02-18 三菱瓦斯化学株式会社 レジスト剥離液およびそれを用いたレジストの剥離方法
US6475966B1 (en) * 2000-02-25 2002-11-05 Shipley Company, L.L.C. Plasma etching residue removal
US6531436B1 (en) * 2000-02-25 2003-03-11 Shipley Company, L.L.C. Polymer removal
MY129673A (en) * 2000-03-20 2007-04-30 Avantor Performance Mat Inc Method and composition for removing sodium-containing material from microcircuit substrates
US6274296B1 (en) * 2000-06-08 2001-08-14 Shipley Company, L.L.C. Stripper pretreatment
US6455479B1 (en) * 2000-08-03 2002-09-24 Shipley Company, L.L.C. Stripping composition
US6599370B2 (en) 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6773873B2 (en) * 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101372089B (zh) * 2006-12-21 2011-07-06 杜邦纳米材料气体产品有限公司 在化学机械抛光期间调节低k对铜除去速率的方法和浆料
WO2009092292A1 (zh) * 2008-01-18 2009-07-30 Anji Microelectronics (Shanghai) Co., Ltd 一种厚膜光刻胶清洗剂
CN101959977B (zh) * 2008-02-29 2013-12-04 安万托特性材料股份有限公司 微电子基底清洁组合物
CN101959977A (zh) * 2008-02-29 2011-01-26 马林克罗特贝克公司 微电子基底清洁组合物
CN101359189B (zh) * 2008-09-17 2011-04-27 电子科技大学 正性光敏聚酰亚胺光刻胶用显影液
CN102282682A (zh) * 2009-01-14 2011-12-14 安万托特性材料有限公司 增加晶片薄层电阻和/或光电池功率密度水平的溶液
CN102282682B (zh) * 2009-01-14 2016-07-06 安万托特性材料有限公司 增加晶片薄层电阻和/或光电池功率密度水平的溶液
CN101901784A (zh) * 2010-07-21 2010-12-01 河北工业大学 钽化学机械抛光工序中的表面清洗方法
CN101901782B (zh) * 2010-07-21 2011-12-14 河北工业大学 极大规模集成电路多层布线碱性抛光后防氧化方法
CN101901784B (zh) * 2010-07-21 2012-05-30 河北工业大学 钽化学机械抛光工序中的表面清洗方法
CN101901782A (zh) * 2010-07-21 2010-12-01 河北工业大学 极大规模集成电路多层布线碱性抛光后防氧化方法
CN103809394A (zh) * 2012-11-12 2014-05-21 安集微电子科技(上海)有限公司 一种去除光阻蚀刻残留物的清洗液
CN103809394B (zh) * 2012-11-12 2019-12-31 安集微电子科技(上海)股份有限公司 一种去除光阻蚀刻残留物的清洗液

Also Published As

Publication number Publication date
CA2488737A1 (en) 2003-12-18
AU2003240827A1 (en) 2003-12-22
AU2003240827A8 (en) 2003-12-22
IN2004CH02744A (zh) 2006-02-10
IN2004CH02762A (zh) 2006-02-10
US8906838B2 (en) 2014-12-09
KR20050012770A (ko) 2005-02-02
KR100958068B1 (ko) 2010-05-14
EP1512050A2 (en) 2005-03-09
CN102135735A (zh) 2011-07-27
BR0311830A (pt) 2005-03-29
NO20050075L (no) 2005-01-06
IL165581A0 (en) 2006-01-15
MY142745A (en) 2010-12-31
WO2003104901A3 (en) 2004-03-18
US20050176602A1 (en) 2005-08-11
ZA200409622B (en) 2006-05-31
JP2005529363A (ja) 2005-09-29
TW200401958A (en) 2004-02-01
PL207297B1 (pl) 2010-11-30
WO2003104901A2 (en) 2003-12-18
IL165581A (en) 2009-06-15
RS106104A (en) 2007-04-10
TWI330766B (en) 2010-09-21
JP4330529B2 (ja) 2009-09-16
PL374021A1 (en) 2005-09-19

Similar Documents

Publication Publication Date Title
CN1659480A (zh) 用于微电子基底的清洁组合物
CN102061228B (zh) 包含氧化剂和有机溶剂的微电子清洁组合物
JP4393553B2 (ja) ハロゲン酸素酸、その塩及び誘導体含有、マイクロエレクトロニクス洗浄組成物
JP4208924B2 (ja) 非水性、非腐食性マイクロエレクトロニクス洗浄組成物
KR100744223B1 (ko) 중합체성 부식 억제제를 함유하는 비수성 비부식성 마이크로전자 세정 조성물
KR100642185B1 (ko) 프럭토스를 함유하는 비-수성 마이크로전자 세정 조성물
US7393819B2 (en) Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Open date: 20050824