KR20080019049A - 금속 및 유전체 상용성 희생 반사 방지 코팅 세정 및 제거조성물 - Google Patents

금속 및 유전체 상용성 희생 반사 방지 코팅 세정 및 제거조성물 Download PDF

Info

Publication number
KR20080019049A
KR20080019049A KR1020087000228A KR20087000228A KR20080019049A KR 20080019049 A KR20080019049 A KR 20080019049A KR 1020087000228 A KR1020087000228 A KR 1020087000228A KR 20087000228 A KR20087000228 A KR 20087000228A KR 20080019049 A KR20080019049 A KR 20080019049A
Authority
KR
South Korea
Prior art keywords
formulation
water
hydrogen fluoride
glycol
ethylene glycol
Prior art date
Application number
KR1020087000228A
Other languages
English (en)
Other versions
KR101332501B1 (ko
Inventor
멜리사 케이 래스
데이빗 디 버나드
데이빗 더블유 민섹
토머스 에이치 바움
Original Assignee
어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 filed Critical 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드
Publication of KR20080019049A publication Critical patent/KR20080019049A/ko
Application granted granted Critical
Publication of KR101332501B1 publication Critical patent/KR101332501B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/261Alcohols; Phenols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/263Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/28Organic compounds containing halogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • C11D2111/22

Abstract

희생 반사 방지 코팅(SARC) 재료를 그 위에 갖는 기판으로부터 상기 재료를 제거하기 위한 액체 제거 조성물 및 방법이 제공된다. 상기 액체 제거 조성물은 1 이상의 플루오르화물 함유 화합물, 1 이상의 유기 용매, 임의로 물 및 임의로 1 이상의 킬레이트제를 포함한다. 상기 조성물은 알루미늄, 구리 및 코발트 합금과 같은 기판 상의 금속 화학종을 최소로 에칭하면서, 그리고 반도체 구조물에서 사용되는 저 k 유전 재료를 손상시키지 않으면서, 집적 회로의 제조에서 SARC 재료를 적어도 부분적으로 제거 가능하게 한다.

Description

금속 및 유전체 상용성 희생 반사 방지 코팅 세정 및 제거 조성물{METAL AND DIELECTRIC COMPATIBLE SACRIFICIAL ANTI-REFLECTIVE COATING CLEANING AND REMOVAL COMPOSITION}
본 발명은 실리케이트 스트리핑, 예컨대 그 위에 희생 반사 방지 실리케이트 재료 및/또는 에칭 후 잔류물이 침착된 마이크로전자 소자로부터 이러한 재료의 액체를 제거하는 데에 유용한 액체 제거 조성물 및 방법으로서, 특히 상기 희생 반사 방지 실리케이트 재료가 액체 제거 조성물에 의해 영향을 받지 않는 것이 바람직한 하지(underlying) 실리케이트 재료, 및 상호 연결 금속, 예컨대 알루미늄, 구리 및 코발트 합금과 함께 존재하는 액체 제거 조성물 및 방법에 관한 것이다.
최근, 포토리소그래피 산업에서 사용되는 방사선에는 4가지 현상 파장, 즉 436 nm, 365 nm, 248 nm 및 193 nm이 존재하며, 최근에는 157 nm의 리소그래피 공정에 노력이 집중되어 있다. 이론적으로, 각각의 파장이 감소하면서, 반도체 칩 상에 더 작은 피쳐가 형성될 수 있다. 그러나, 마이크로전자 소자 기판의 반사성은 포토리소그래피 파장에 반비례하기 때문에, 계면 및 불균일하게 노광된 포토레지스트는 마이크로전자 소자의 임계 치수의 일관성을 한정하였다.
예컨대, DUV 방사선에 노광시, DUV 파장에 대한 기판의 고반사성과 조합된 포토레지스트의 투과성으로 인해 포토레지스트에 DUV 방사선이 역반사되어, 포토레지스트 층에 정상파(standing wave)가 생성된다는 것은 잘 알려져 있다. 정상파는 선폭, 간격 및 다른 임계 치수에서 변화를 일으키는 방사선에 노광시키려고 의도하지 않았던 마스킹된 부분을 비롯하여 포토레지스트의 불균일한 노광을 초래하는 포토레지스트 내의 추가의 광 화학 반응을 유도한다.
투과성 및 반사성 문제를 해결하기 위해, 이층 및 삼층 포토레지스트, 바닥 반사 방지 코팅(BARC, bottom anti-reflective coating) 및 희생 반사 방지 코팅(SARC, sacrificial anti-reflective coating)을 개발해 왔는데, 이들 코팅은 포토레지스트 도포 전에 기판에 도포한다. 이들 반사 방지 코팅 모두는 통상적인 이중 다마센 집적에서 일어나는 웨이퍼 표면에 대한 편광 효과가 있으며, 모두는 투사 UV 방사선을 흡수할 수 있는 스핀-온 중합체 매트릭스에 UV 발색단을 혼입한다.
SiOC계 유전체와 함께 사용될 경우, SARC는 2가지 중요한 이점을 가진다. 즉, SARC는 TEOS계이기 때문에, SiOC 유전체와 동일한 속도로 에칭하는데, 이로써 트렌치 에칭 정지가 제거될 수 있고 에칭 정지를 통해 두께가 50%까지 감소할 수 있도록 에칭 균일성 및 제어가 크게 증대되고, 또한 에칭된 포토레지스트 및 에칭된 BARC에 비해 에칭된 SARC에 에칭 후 가교가 유의적으로 증가하지 않기 때문에 액체 제거 조성물을 사용하여 에칭된 SARC를 제거할 수 있다.
SARC 재료를 마이크로전자 소자 웨이퍼로부터 세정 제거하는 것은 어렵고 및/또는 비용이 많이 드는 것으로 밝혀졌다. 제거되지 않으면, 층들은 후속의 규화(silicidation) 또는 접촉 형성을 방해할 수 있다. 통상적으로, 산화 또는 환원 플라즈마 애싱 또는 습윤 세정에 의해 층들을 제거한다. 그러나, 산화 또는 환원 플라즈마 에칭에 기판을 노광시키는 플라즈마 애싱은 피쳐의 형상 및 치수를 변화시키거나 또는 유전 상수를 증가시킴으로써 유전 재료에 손상을 일으킬 수 있다. 유기 실리케이트 유리(OSG) 또는 탄소로 도핑된 산화물 유리와 같은 저 k 유전 재료가 하지 유전 재료일 경우 마지막 문제가 더 잘 일어난다. 그래서, SARC 층의 제거에 플라즈마 애싱의 이용을 피하는 것이 종종 바람직하다.
저 커패시턴스(저 k) 절연 재료 또는 유전체에 의해 분리된 알루미늄, 구리, 코발트 합금 또는 다른 상호 연결 금속 또는 상호 연결 장벽을 처리하기 위해, 세정제/에칭제 조성물을 백-엔드-오브-라인(BEOL, back-end-of-line)에 사용하는 경우, SARC의 제거에 사용되는 조성물은 우수한 금속 상용성, 예컨대 구리, 알루미늄, 코발트 등에 대한 낮은 에칭 속도를 갖는 것, 및 하지 실리케이트 재료가 세정제 조성물에 의해 영향을 받지 않은 채로 남아 있는 것이 중요하다. 처분 기술이 더 간단해서 수성 제거 용액이 바람직하지만, 수성 제거 용액은 금속 상호 연결부를 에칭하거나 부식시키는 것으로 공지되어 있다.
따라서, 광범위하게 존재하는 유전 재료 및/또는 상호 연결 금속에 대한 손상을 동시에 최소화하면서, 마이크로전자 소자의 표면으로부터 SARC 층을 완벽하고도 효율적으로 제거할 수 있는 함수량이 낮은 제거 조성물에 대한 수요가 당업계에 존재한다.
발명의 개요
본 발명은 그 위에 희생 반사 방지 실리케이트 재료가 침착된 마이크로전자 소자의 표면으로부터 상기 재료를 제거하는 데 유용한 액체 제거 조성물 및 방법으로서, 특히 상기 희생 반사 방지 실리케이트 재료가 액체 제거 조성물에 의해 영향을 받지 않는 것이 바람직한 하지 실리케이트 재료 및 상호 연결 금속과 함께 존재하는 액체 제거 조성물 및 방법에 관한 것이다. 특정하게는, 본 발명은 SARC 제거 조성물과 상용성이 있는 알루미늄, 구리 및 코발트에 관한 것이다.
일측면에서, 본 발명은 1 이상의 플루오르화물 함유 화합물, 1 이상의 유기 용매, 임의로 물 및 임의로 1 이상의 킬레이트제를 포함하는 액체 제거 조성물로서, 그 위에 희생 반사 방지 코팅(SARC) 재료 및 에칭 후 잔류물을 갖는 마이크로전자 소자로부터 이러한 재료 및/또는 잔류물을 제거하는 데 유용한 액체 제거 조성물에 관한 것이다.
다른 측면에서, 본 발명은 1 이상의 용기 내에 액체 제거 조성물 시약을 포함하는 키트로서, 상기 액체 제거 조성물은 1 이상의 플루오르화물 함유 화합물, 1 이상의 유기 용매, 임의로 물 및 임의로 1 이상의 킬레이트제를 포함하며, 키트는 그 위에 SARC 재료 및 에칭 후 잔류물을 갖는 마이크로전자 소자로부터 상기 재료 및/또는 잔류물을 제거하는 데 적절한 액체 제거 조성물을 형성하도록 적합화되는 키트에 관한 것이다.
추가의 측면에서, 본 발명은 그 위에 SARC 재료 및 에칭 후 잔류물을 갖는 마이크로전자 소자로부터 상기 재료 및/또는 잔류물을 제거하는 방법으로서, 상기 방법은 마이크로전자 소자로부터 상기 재료 및 잔류물을 적어도 부분적으로 제거하기에 충분한 시간 동안 마이크로전자 소자를 액체 제거 조성물과 접촉시키는 단계를 포함하며, 상기 액체 제거 조성물은 1 이상의 플루오르화물 함유 화합물, 1 이상의 유기 용매, 임의로 물 및 임의로 1 이상의 킬레이트제를 포함하는 방법에 관한 것이다.
또 다른 측면에서, 본 발명은 1 이상의 플루오르화물 함유 화합물, 임의로 1 이상의 유기 용매, 임의로 산-염기 완충액, 임의로 1 이상의 킬레이트제/부동화제(passivation agent) 및 임의로 물을 포함하는 액체 제거 조성물로서, 상기 액체 제거 조성물은 그 위에 희생 반사 방지 코팅(SARC) 재료 및 잔류물을 갖는 마이크로전자 소자로부터 이러한 재료를 제거하는 데 유용한 액체 제거 조성물에 관한 것이다.
다른 측면에서, 본 발명은 1 이상의 용기 내에 액체 제거 조성물 시약을 포함하는 키트로서, 상기 액체 제거 조성물은 1 이상의 플루오르화물 함유 화합물, 임의로 1 이상의 유기 용매, 임의로 산-염기 완충액, 임의로 1 이상의 킬레이트제/부동화제 및 임의로 물을 포함하고, 키트는 그 위에 SARC 재료를 갖는 마이크로전자 소자로부터 상기 재료를 제거하는 데 적절한 액체 제거 조성물을 형성하도록 적합화되는 키트에 관한 것이다.
추가의 구체예에서, 본 발명은 그 위에 SARC 재료를 갖는 마이크로전자 소자로부터 상기 재료를 제거하는 방법으로서, 상기 방법은 마이크로전자 소자로부터 상기 재료를 적어도 부분적으로 제거하기에 충분한 시간 동안 마이크로전자 소자를 액체 제거 조성물과 접촉시키는 단계를 포함하며, 상기 액체 제거 조성물은 1 이상의 플루오르화물 함유 화합물, 임의로 1 이상의 유기 용매, 임의로 산-염기 완충액, 임의로 1 이상의 킬레이트제/부동화제 및 임의로 물을 포함하는 방법에 관한 것이다.
다른 측면에서, 본 발명은 마이크로전자 소자의 제조 방법으로서, 상기 방법은 그 위에 SARC 재료 및 에칭 후 잔류물을 갖는 마이크로전자 소자로부터 상기 재료 및/또는 잔류물을 적어도 부분적으로 제거하기에 충분한 시간 동안 마이크로전자 소자를 액체 제거 조성물과 접촉시키는 단계를 포함하며, 상기 액체 제거 조성물은 1 이상의 플루오르화물 함유 화합물, 1 이상의 유기 용매, 임의로 물 및 임의로 1 이상의 킬레이트제를 포함하는 방법에 관한 것이다.
본 발명의 또 다른 측면은 그 위에 SARC 재료 및 에칭 후 잔류물을 갖는 마이크로전자 소자로부터 상기 재료(들)를 적어도 부분적으로 제거하는 단계를 포함하는 본 발명의 방법을 이용하고, 본 명세서에서 설명하는 방법 및/조성물을 이용하며, 그리고 임의로 제품에 상기 마이크로전자 소자를 삽입하여 제조된, 개선된 마이크로전자 소자 및 이를 삽입한 제품에 관한 것이다.
본 발명의 다른 측면, 특징 및 구체예는 하기의 개시 내용 및 청구 범위로부터 보다 완전히 명백해질 것이다.
발명의 상세한 설명 및 이의 바람직한 구체예
본 발명은 희생 반사 방지 코팅(SARC) 재료 및 에칭 후 잔류물을 그 위에 갖는 마이크로전자 소자의 표면으로부터 상기 재료(들)를 제거하는 데 유용한 액체 제거 조성물에 관한 것이다.
참고 편의를 위해, "마이크로전자 소자"는 마이크로전자, 집적 회로 또는 컴퓨터 칩 용도에서 사용하기 위해 제조된 반도체 기판, 평판 표시 장치 및 마이크로전자 기계 시스템(MEMS)에 해당한다. 용어 "마이크로전자 소자"는 임의의 방식으로 한정되어서는 안 되며, 최종적으로 마이크로전자 소자 또는 마이크로전자 어셈블리가 될 임의의 기판을 포함하는 것으로 이해해야 한다.
본 명세서에서 정의된 바의 "저 k 유전 재료"는 층상 마이크로전자 소자 내 유전 재료로서 사용되는 임의의 재료에 해당하며, 여기서 상기 재료의 유전 상수는 약 3.5 미만이다. 바람직하게는, 저 k 유전 재료는 규소 함유 유기 중합체, 규소 함유 하이브리드 유기/무기 재료, 유기 실리케이트 유리(OSG), TEOS, 플루오르화 실리케이트 유리(FSG), 이산화규소 및 탄소 도핑된 산화물(CDO) 유리와 같은 저극성 재료를 포함한다. 저 k 유전 재료는 밀도 및 다공성이 변화할 수 있음을 이해해야 한다.
본 명세서에서 사용된 바의 "약"은 기재한 값의 ±5%에 상당하는 것으로 한다.
본 명세서에서 사용된 바의, SARC 재료 및/또는 에칭 후 잔류물을 그 위에 갖는 마이크로전자 소자로부터 상기 재료(들)를 제거하기 위한 "적절성"은 마이크로전자 소자로부터 상기 SARC 재료 및/또는 에칭 후 잔류물(들)을 적어도 부분적으로 제거하는 것에 상당한다. 본 발명의 조성물을 사용하여, 바람직하게는 약 90% 이상의 재료(들), 더욱 바람직하게는 95% 이상의 재료(들), 가장 바람직하게는 99% 이상의 재료(들)가 마이크로전자 소자로부터 제거된다.
본 명세서에서 사용된 바의 "에칭 후 잔류물"은 가스상 플라즈마 에칭 공정, 예컨대 BEOL 이중 다마센 공정 후에 남는 재료에 해당한다. 에칭 후 잔류물은 성질상 유기, 유기 금속, 유기 규산 또는 무기일 수 있으며, 예컨대 규소 함유 재료, 탄소계 유기 재료, 및 염소 및 불소를 포함하나 이에 한정되지 않는 에칭 가스 잔류물일 수 있다.
본 명세서에서 정의된 바의 "SARC 재료"는 2층 및 3층 포토레지스트, 바닥 반사 방지 코팅(BARC) 및 희생 반사 방지 코팅(SARC)에 해당하며, 성질상 유기 및/또는 무기일 수 있다. 또한, SARC 재료는 SARC 층 및/또는 SARC 함유 잔류물을 포함할 수 있다.
본 발명의 조성물은 하기에서 더욱 완전히 설명하는 바와 같이 매우 다양한 특정 제제에 포함될 수 있다.
조성물의 특정 성분이 0의 하한치를 포함하는 중량% 범위로 기재되는 이러한 모든 조성물에서, 이러한 성분은 조성물의 다양한 특정 구체예에 존재 또는 부재할 수 있으며, 이러한 성분이 존재하는 경우, 이는 이러한 성분이 사용되는 조성물의 총 중량을 기준으로 0.001 중량%만큼 낮은 농도로 존재할 수 있음을 이해할 것이다.
구체예 A
일측면에서, 본 발명은 마이크로전자 소자로부터 SARC 층 및/또는 에칭 후 잔류물을 제거하는 데 유용한 액체 제거 조성물에 관한 것이다. 본 발명의 광의의 설명에서 이하 SARC 층에 대한 특정 지칭은 본 발명의 예시적인 예를 제공하기 위한 것으로서, 어떠한 방식으로든 본 발명을 한정하려 하는 것이 아니다. 구체예 A의 제제는 조성물의 총 중량을 기준으로 하기 범위로 존재하는, 1 이상의 플루오르화물 함유 화합물, 1 이상의 유기 용매, 임의로 물 및 임의로 1 이상의 킬레이트제/부동화제를 포함한다:
성분 중량%
플루오르화물 함유 화합물(들) 약 0.01% 내지 약 25.0%
유기 용매(들) 약 0.01% 내지 약 99.9%
킬레이트제/부동화제(들) 0% 내지 약 10.0%
0% 내지 약 10.0%
본 발명의 광의의 실시에서, 구체예 A의 액체 제거 조성물은 1 이상의 플루오르화물 함유 화합물, 1 이상의 유기 용매, 임의로 물 및 임의로 1 이상의 킬레이트제/부동화제를 포함하거나, 이로 이루어지거나 또는 실질적으로 이로 이루어질 수 있다. 일반적으로, 서로에 대한 플루오르화물 함유 화합물(들), 유기 용매(들), 임의의 물 및 임의의 킬레이트제/부동화제(들)의 특정 비율 및 양은 과도한 노력 없이 당업계의 기술 내에서 용이하게 결정 가능한 바의 SARC 층 화학종 및/또는 처리 장비에 대해 액체 조성물의 소정의 제거 작용을 제공하도록 적절하게 변화시킬 수 있다.
플루오르화물 함유 화합물(들)에 대한 유기 용매(들)의 몰 비의 범위는 약 1:1 내지 약 120:1, 바람직하게는 약 20:1 내지 약 80:1, 가장 바람직하게는 약 30:1 내지 약 65:1이고; (존재하는 경우) 물에 대한 유기 용매(들)의 몰 비의 범위는 약 1:1 내지 약 150:1, 바람직하게는 약 20:1 내지 약 80:1, 가장 바람직하게는 약 30:1 내지 약 60:1이며; (존재하는 경우) 킬레이트제(들)에 대한 유기 용매(들)의 몰 비의 범위는 약 1:1 내지 약 300:1이다.
구체예 A의 조성물의 pH 값은 바람직하게는 약 1 내지 약 5, 더욱 바람직하게는 약 4 미만의 범위이다.
이러한 조성물은 활성 성분 뿐 아니라 비활성 성분을 비롯한 추가의 성분, 예컨대 계면 활성제, 안정화제, 분산제, 산화 방지제, 침투제, 보조제, 첨가제, 충전제, 부형제 등을 임의로 포함할 수 있다. 또한, 구체예 A의 조성물은 거품, 연무, 아임계 또는 초임계 유체로서 제제화될 수 있음도 본 명세서에서 고려한다. 예컨대, 구체예 A의 조성물은 약 100 부의 SCF 대 1 부의 구체예 A의 비율로 이산화탄소와 같은 초임계 유체에 약 6:1, 바람직하게는 약 20:1로 첨가할 수 있다.
바람직하게는, 구체예 A의 세정 조성물은 하기 성분을 포함한다:
성분 중량%
플루오르화물 함유 화합물(들) 약 0.01% 내지 약 5.0%
유기 용매(들) 약 90.0% 내지 약 99.9%
액 0% 내지 약 5.0%
여기서 성분들의 %는 조성물의 총 중량을 기준으로 한 중량%이며, 조성물의 이러한 성분들의 중량%의 합은 100 중량%를 초과하지 않는다. 특히 바람직한 구체예에서, 물은 약 0.01 중량% 내지 약 1 중량% 범위의 양으로 존재하며, 탈이온화 또는 비오존화되어 있고, 조성물에 첨가되거나 또는 다른 성분 중 하나에 잔류하여 존재한다. 또한, 바람직하게는, 조성물은 실질적으로 과산화수소와 같은 산화제 및 콜린 화합물을 함유하지 않는다.
플루오르화물의 존재가 산성 용액 중 실리케이트 재료를 에칭하는 데 필요하기 때문에 플루오르화물 이온의 공급원이 필요하다. 적절한 플루오르화물 함유 화합물의 공급원은 플루오르화수소, 플루오르화암모늄 및 트리에탄올아민 플루오르화수소산 염을 포함하나, 이에 한정되지 않는다. 대안적으로, 이플루오르화암모늄((NH4)HF2) 및 이플루오르화테트라알킬암모늄((R)4NHF2)(여기서 R은 메틸, 에틸, 프로필, 부틸, 페닐, 벤질 또는 플루오르화 C1-C4 알킬기임)을 비롯한 이플루오르화물의 염을 사용할 수 있다. 2 이상의 플루오르화물 화학종의 배합물도 본 발명에서 고려한다. 바람직한 구체예에서, 플루오르화물 함유 화합물은 플루오르화수소를 포함한다. 그 중에서도, 플루오르화수소는 통상적으로 잔여량의 물과 함께 운송하기 때문에, 물을 의도적으로 나중에 첨가하지 않더라도 물이 제거 조성물 내에 존재할 수 있다. 대안적으로, 제제 내에 존재하는 물만이 용매에서 나오는 미량의 물이 되도록 기체 무수 플루오르화수소를 사용할 수 있다. 무수 플루오르화수소 함유 제제는 통상적으로 물 함유 제제보다 양호한 금속 및 유전체 상용성을 나타낸다.
유기 용매 화학종은 용매로서 작용하며, SARC 및/또는 에칭 후 잔류물에 존재할 수 있는 유기 잔류물의 용해를 보조한다. 이러한 조성물에 대한 적절한 용매 화학종은 테트라메틸렌 설폰; 메탄올, 에탄올, 1-프로판올, 2-프로판올, 1-부탄올, 2-부탄올, t-부탄올, 1-펜탄올 및 헥산올을 포함하지만 이에 한정되지 않는 직쇄형 또는 분지쇄형 C1-C6 알콜; 에틸렌 글리콜, 프로필렌 글리콜(1,2-프로판디올), 테트라메틸렌 글리콜(1,4-부탄디올) 및 네오펜틸 글리콜과 같은 글리콜; 또는 디에틸렌 글리콜 모노메틸 에테르, 트리에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 모노에틸 에테르, 트리에틸렌 글리콜 모노에틸 에테르, 에틸렌 글리콜 모노프로필 에테르, 에틸렌 글리콜 모노부틸 에테르, 디에틸렌 글리콜 모노부틸 에테르, 트리에틸렌 글리콜 모노부틸 에테르, 프로필렌 글리콜 메틸 에테르, 디프로필렌 글리콜 메틸 에테르, 트리프로필렌 글리콜 메틸 에테르, 프로필렌 글리콜 n-프로필 에테르, 디프로필렌 글리콜 n-프로필 에테르, 트리프로필렌 글리콜 n-프로필 에테르, 프로필렌 글리콜 n-부틸 에테르, 디프로필렌 글리콜 n-부틸 에테르 및 트리프로필렌 글리콜 n-부틸 에테르와 같은 글리콜 에테르를 포함하지만, 이에 한정되지 않는다. 사용 가능한 다른 용매에는 통상적인 극성 용매, 예컨대 디메틸아세트아미드, 포름아미드, 디메틸포름아미드, 1-메틸-2-피롤리디논, 디메틸 설폭시드 및 다른 극성 용매가 있다. 2 이상의 용매 화학종의 배합물도 본 명세서에서 고려한다. 다공성 저 k 유전 재료에 대해, 유기 용매 화학종은 바람직하게는 1-부탄올 및 1,4-부탄디올을 포함한다. 고밀도(dense) 유전체에 대한 가장 바람직한 세정 용액은 글리콜, 극성 용매 및 글리콜 에테르, 더욱 바람직하게는 에틸렌 글리콜, 테트라메틸렌 설폰 및 트리프로필렌 글리콜 메틸 에테르 또는 에틸렌 글리콜, 테트라메틸렌 설폰 및 디프로필렌 글리콜 n-부틸 에테르의 배합물이다.
하지 층 내의 금속, 예컨대 구리 및/또는 코발트에 대한 공격을 감소시키기 위해 킬레이트제(들)를 첨가할 수 있다. 이러한 조성물 내 킬레이트제/부동화제는 임의의 적절한 유형일 수 있으며, 트리아졸, 예컨대 1,2,4-트리아졸 또는 C1-C8 알킬, 아미노, 티올, 머캅토, 이미노, 카르복시 및 니트로 기와 같은 치환체로 치환된 트리아졸, 예컨대 벤조트리아졸, 톨일트리아졸, 5-페닐-벤조트리아졸, 5-니트로-벤조트리아졸, 3-아미노-5-머캅토-1,2,4-트리아졸, 1-아미노-1,2,4-트리아졸, 히드록시벤조트리아졸, 2-(5-아미노-펜틸)-벤조트리아졸, 1-아미노-1,2,3-트리아졸, 1-아미노-5-메틸-1,2,3-트리아졸, 3-아미노-1,2,4-트리아졸, 3-머캅토-1,2,4-트리아졸, 3-이소프로필-1,2,4-트리아졸, 5-페닐티올-벤조트리아졸, 할로-벤조트리아졸(할로 = F, Cl, Br 또는 I), 나프토트리아졸 등 뿐 아니라, 티아졸, 테트라졸, 이미다졸, 포스페이트, 티올 및 아진, 예컨대 2-머캅토벤조이미다졸, 2-머캅토벤조티아졸, 4-메틸-2-페닐이미다졸, 2-머캅토티아졸린, 5-아미노테트라졸, 5-아미노-1,3,4-티아디아졸-2-티올, 2,4-디아미노-6-메틸-1,3,5-트리아진, 티아졸, 트리아진, 메틸테트라졸, l,3-디메틸-2-이미다졸리디논, 1,5-펜타메틸렌테트라졸, 1-페닐-5-머캅토테트라졸, 디아미노메틸트리아진, 머캅토벤조티아졸, 이미다졸린 티온, 머캅토벤즈이미다졸, 4-메틸-4H-1,2,4-트리아졸-3-티올, 5-아미노-1,3,4-티아디아졸-2-티올, 벤조티아졸, 트리톨일 포스페이트, 인디아졸 등을 포함하지만, 이에 한정되지 않는다. 적절한 킬레이트제 화학종은 글리세롤, 아미노산, 카르복실산, 알콜, 아미드 및 퀴놀린, 예컨대 구아닌, 아데닌, 글리세롤, 티오글리세롤, 니트릴로트리아세트산, 살리실아미드, 이미노디아세트산, 벤조구아나민, 멜라민, 티오시아누르산, 안트라닐산, 갈산, 아스코르브산, 살리실산, 8-히드록시퀴놀린, 5-카르복실산-벤조트리아졸, 3-머캅토프로판올, 붕산, 이미노디아세트산 등을 더 포함한다. 2 이상의 킬레이트제의 배합물도 본 명세서에서 고려한다. 킬레이트제는 마이크로전자 소자에 사용되는 금속 및 유전 재료와 조성물의 상용성을 증가시키는 데에 유용하게 이용된다.
다양한 바람직한 구체예에서, 구체예 A의 제거 조성물을 하기 구체예 A1-A7로 제제화하는데, 여기서 모든 %는 제제의 총 중량을 기준으로 중량으로 나타낸 것이다:
구체예 A1
성분 중량% 바람직하게는(중량%) 가장 바람직하게는(중량%)
플루오르화물 함유 화합물 약 0.01% 내지 약 25% 약 0.01% 내지 약 5% 약 0.25% 내지 약 1%
알콜(들) 약 0.01% 내지 약 99.9% 약 50% 내지 약 99.9% 약 98% 내지 약 99%
약 0.01% 내지 약 10% 약 0.01% 내지 약 5% 약 0.25% 내지 약 1%
구체예 A2
성분 중량% 바람직하게는(중량%) 가장 바람직하게는(중량%)
플루오르화물 함유 화합물(들) 약 0.01% 내지 약 25% 약 0.01% 내지 약 5% 약 0.25% 내지 약 0.75%
알콜(들) 약 0.01% 내지 약 99% 약 50% 내지 약 95% 약 73.5% 내지 약 74.5%
글리콜 에테르(들) 약 0.01% 내지 약 99% 약 5% 내지 약 40% 약 25%
약 0.01% 내지 약 10% 약 0.01% 내지 약 5% 약 0.25% 내지 약 0.75%
구체예 A3
성분 중량% 바람직하게는(중량%) 가장 바람직하게는(중량%)
플루오르화물 함유 화합물(들) 약 0.01% 내지 약 25% 약 0.01% 내지 약 5% 약 0.35% 내지 약 0.75%
알콜(들) 약 0.01% 내지 약 99% 약 5% 내지 약 90% 약 8.5% 내지 약 73.5%
글리콜 에테르(들) 약 0.01% 내지 약 99% 약 10% 내지 약 99% 약 25% 내지 약 90%
약 0.01% 내지 약 10% 약 0.01% 내지 약 5% 약 0.35% 내지 약 0.8%
구체예 A4
성분 중량% 바람직하게는(중량%) 가장 바람직하게는(중량%)
플루오르화물 함유 화합물(들) 약 0.01% 내지 약 25% 약 0.01% 내지 약 5% 약 0.35% 내지 약 0.4%
알콜(들) 약 0.01% 내지 약 99% 약 5% 내지 약 60% 약 10% 내지 약 49.5%
1,4-부탄디올 약 0.01% 내지 약 99% 약 30% 내지 약 99% 약 50% 내지 약 89.5%
약 0.01% 내지 약 10% 약 0.01% 내지 약 5% 약 0.35% 내지 약 0.4%
구체예 A5
성분 중량% 바람직하게는(중량%) 가장 바람직하게는(중량%)
플루오르화물 함유 화합물(들) 약 0.01% 내지 약 25% 약 0.01% 내지 약 5% 약 0.25% 내지 약 1%
글리콜(들) 약 0.01% 내지 약 99.9% 약 50% 내지 약 99.9% 약 98% 내지 약 99.5%
약 0.01% 내지 약 10% 약 0.01% 내지 약 5% 약 0.25% 내지 약 1%
구체예 A6
성분 중량% 바람직하게는(중량%) 가장 바람직하게는(중량%)
플루오르화물 함유 화합물(들) 약 0.01% 내지 약 25% 약 0.01% 내지 약 5% 약 0.35% 내지 약 0.65%
테트라메틸렌 설폰 약 0.01% 내지 약 99% 약 20% 내지 약 70% 약 35% 내지 약 50%
글리콜(들) 약 0.01% 내지 약 99% 약 30% 내지 약 99% 약 49% 내지 약 64.5%
약 0.01% 내지 약 10% 약 0.01% 내지 약 5% 약 0.35% 내지 약 0.65%
구체예 A7
성분 중량% 바람직하게는(중량%) 가장 바람직하게는(중량%)
플루오르화물 함유 화합물(들) 약 0.01% 내지 약 25% 약 0.01% 내지 약 5% 약 0.35% 내지 약 0.4%
테트라메틸렌 설폰 약 0.01% 내지 약 99% 약 20% 내지 약 60% 약 35% 내지 약 40%
글리콜(들) 약 0.01% 내지 약 99% 약 20% 내지 약 70% 약 40% 내지 약 50%
글리콜 에테르(들) 약 0.01% 내지 약 99% 약 5% 내지 약 30% 약 15% 내지 약 20%
추가 성분 0 내지 약 5% 0 내지 약 1% 0 내지 약 0.2%
다양한 바람직한 구체예에서, 구체예 A의 제거 조성물은 하기 제제 AA-AI3(여기서 모든 %는 제제의 총 중량을 기준으로 중량%로 나타낸 것임)으로 제제화한다:
제제 AA: 99.5% 에탄올; 0.245% 플루오르화수소; 0.255% 물
제제 AB: 98.5% 에탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AC: 74.5% 에탄올; 25.0% 디프로필렌 글리콜 메틸 에테르; 0.245% 플루오르화수소; 0.255% 물
제제 AD: 73.5% 에탄올; 25.0% 디프로필렌 글리콜 메틸 에테르; 0.735% 플루오르화수소; 0.765% 물
제제 AE: 74.5% 에탄올; 25.0% 디에틸렌 글리콜 메틸 에테르; 0.245% 플루오르화수소; 0.255% 물
제제 AF: 73.5% 에탄올; 25.0% 디에틸렌 글리콜 메틸 에테르; 0.735% 플루오르화수소; 0.765% 물
제제 AG: 98.0% 에탄올; 0.98% 플루오르화수소; 1.02% 물
제제 AH: 98.5% 메탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AI: 98.5% 에틸렌 글리콜; 0.735% 플루오르화수소; 0.765% 물
제제 AJ: 98.5% 프로필렌 글리콜; 0.735% 플루오르화수소; 0.765% 물
제제 AK: 98.5% 디에틸렌 글리콜 부틸 에테르; 0.735% 플루오르화수소; 0.765% 물
제제 AL: 98.5% 2-프로판올; 0.735% 플루오르화수소; 0.765% 물
제제 AM: 98.5% 1,4-부탄디올; 0.735% 플루오르화수소; 0.765% 물
제제 AN: 98.5% 1-프로판올; 0.735% 플루오르화수소; 0.765% 물
제제 AO: 98.5% 1-펜탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AP: 25.0% 에틸렌 글리콜; 73.5% 1-부탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AQ: 98.5% 1-부탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AR: 50.0% 에틸렌 글리콜; 48.5% 1-부탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AS: 75.0% 에틸렌 글리콜; 23.5% 1-부탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AT: 25.0% 에틸렌 글리콜; 73.5% 에탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AU: 50.0% 에틸렌 글리콜; 48.5% 에탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AV: 75.0% 에틸렌 글리콜; 23.5% 에탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AW: 70.0% 에틸렌 글리콜; 28.5% 1-부탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AX: 50.0% 에틸렌 글리콜; 48.5% 1-부탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AY: 75.0% 에틸렌 글리콜; 23.5% 1,4-부탄디올; 0.735% 플루오르화수소; 0.765% 물
제제 AZ: 60.0% 에틸렌 글리콜; 38.5% 1-펜탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AA 2 : 50.0% 에틸렌 글리콜; 48.5% 1-펜탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AB 2 : 80.0% 에틸렌 글리콜; 18.5% 1-펜탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AC 2 : 90.0% 에틸렌 글리콜; 8.5% 1-펜탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AD 2 : 99.25% 1,4-부탄디올; 0.3675% 플루오르화수소; 0.3825% 물
제제 AE 2 : 90.0% 에틸렌 글리콜; 9.25% 1-펜탄올; 0.3675% 플루오르화수소; 0.3825% 물
제제 AF 2 : 99.51% 1,4-부탄디올; 0.49% 플루오르화수소
제제 AG 2 : 99.265% 1,4-부탄디올; 0.735% 플루오르화수소
제제 AH 2 : 89.25% 1,4-부탄디올; 10.0% 1-부탄올; 0.3675% 플루오르화수소; 0.3825% 물
제제 AI 2 : 80.0% 1,4-부탄디올; 19.25% 1-부탄올; 0.3675% 플루오르화수소; 0.3825% 물
제제 AJ 2 : 70.0% 1,4-부탄디올; 29.25% 1-부탄올; 0.3675% 플루오르화수소; 0.3825% 물
제제 AK 2 : 60.0% 1,4-부탄디올; 39.25% 1-부탄올; 0.3675% 플루오르화수소; 0.3825% 물
제제 AL 2 : 50.0% 1,4-부탄디올; 49.25% 1-부탄올; 0.3675% 플루오르화수소; 0.3825% 물
제제 AM 2 : 98.875% 1,4-부탄디올; 0.55125% 플루오르화수소; 0.57375% 물
Figure 112008000684381-PCT00001
제제 AY 2 : 79.25% 에탄올; 20% 1-메틸-2-피롤리디논; 0.3675% 플루오르화수소; 0.3825% 물
제제 AZ 2 : 79.25% 에틸렌 글리콜; 20% 디메틸아세트아미드; 0.3675% 플루오르화수소; 0.3825% 물
제제 AA 3 : 99.25% 테트라메틸렌 설폰; 0.3675% 플루오르화수소; 0.3825% 물
제제 AB 3 : 50% 에틸렌 글리콜; 49.25% 테트라메틸렌 설폰; 0.3675% 플루오르화수소; 0.3825% 물
제제 AC 3 : 50% 에틸렌 글리콜; 49.625% 테트라메틸렌 설폰; 0.375% 플루오르화수소
제제 AD 3 : 45% 에틸렌 글리콜; 39.625% 테트라메틸렌 설폰; 15% 트리프로필렌 글리콜 메틸 에테르; 0.375% 플루오르화수소
제제 AE 3 : 45% 에틸렌 글리콜; 34.625% 테트라메틸렌 설폰; 20% 트리프로필렌 글리콜 메틸 에테르; 0.375% 플루오르화수소
제제 AF 3 : 45% 에틸렌 글리콜; 39.625% 테트라메틸렌 설폰; 15% 디프로필렌 글리콜 n-부틸 에테르; 0.375% 플루오르화수소
제제 AG 3 : 45% 에틸렌 글리콜; 39.625% 테트라메틸렌 설폰; 15% 디프로필렌 글리콜 메틸 에테르; 0.375% 플루오르화수소
제제 AH 3 : 70.0% 1,4-부탄디올; 29.25% 1-부탄올; 0.3675% 플루오르화수소; 0.3825% 물
제제 AI 3 : 44.882% 에틸렌 글리콜; 15% 디(프로필렌 글리콜) 부틸 에테르; 39.64% 설폴란; 0.375% HF; 0.1% 폴리쿼터늄 2; 0.003% 테트라메틸암모늄 히드록시드 실리케이트
바람직하게는, 구체예 A의 액체 제거 조성물은 다공성의 저 k 유전체 상용성을 최대화하기 위해 1,4-부탄디올 및 1-부탄올을 포함한다. 가장 바람직하게는, 액체 제거 조성물은 제제 AL2, AM2, AH3 및 AI3에 해당한다.
구체예 A의 조성물은 화학종 및/또는 저 k 유전 재료를 상호 연결하는 금속을 최소로 손상시키면서 마이크로전자 소자 기판으로부터 SARC 층 및 에칭 후 잔류물을 제거하는 데에 특히 효과적이다. 해당 금속은 구리, 텅스텐, 코발트, 알루미늄, 탄탈륨 및 루테늄을 포함하지만, 이에 한정되지 않는다. 또한, 구체예 A의 조성물은 물과 같은 용매로 희석할 수 있으며, 연마 슬러리로부터의 입자, 탄소 풍부 입자, 연마 패드 입자, 브러쉬 디로딩(deloading) 입자, 구성 입자의 장비 재료, 구리, 산화구리, 및 화학 기계 연마(CMP) 공정의 부산물인 임의의 다른 재료를 포함하지만 이에 한정되지 않는 CMP 후 잔류물을 제거하기 위한 CMP 후 조성물로서 사용될 수 있음을 본 발명에서 고려한다.
다른 구체예에서, 구체예 A의 액체 제거 조성물은 고밀도 유전체 상용성을 최대화하기 위해 에틸렌 글리콜, 테트라메틸렌 설폰 및 글리콜 에테르를 포함한다. 가장 바람직하게는, 액체 제거 조성물은 제제 AS2 또는 AV2에 해당한다.
또 다른 구체예에서, 구체예 A의 액체 제거 조성물은 1 이상의 플루오르화물 함유 화합물, 잔류물 재료를 제거하기 위한 1 이상의 유기 용매, 임의로 물 및 임의로 1 이상의 킬레이트제/부동화제를 포함하는데, 여기서 잔류물 재료는 SARC 및/또는 에칭 후 잔류물을 포함한다. 중요하게는, 잔류물 재료를 본 발명의 액체 제거 조성물에 용해 및/또는 현탁시킬 수 있다.
본 발명의 액체 제거 조성물은 단순히 각각의 성분을 첨가하고, 균질 상태로 혼합함으로써 용이하게 제제화할 수 있다. 또한, 액체 제거 조성물은 사용 시점에 또는 사용 시점 전에 혼합하는 단일 포장 제제 또는 복수(multi-part) 제제로서 용이하게 제제화할 수 있으며, 예컨대 복수 제제의 개별 부분을 기구에서 또는 기구의 저장 탱크 상류에서 혼합할 수 있다. 각각의 성분의 농도는 특정 다수의 액체 제거 조성물에서 다양하게 변화시킬 수 있다. 즉, 본 발명의 광의의 실시에서 이를 더욱 희석시키거나 또는 더욱 농축시킬 수 있으며, 본 발명의 액체 제거 조성물은 다양하게 그리고 대안적으로 본 명세서의 개시 내용과 일치하는 성분들의 임의의 배합물을 포함하거나, 이로 구성되거나 또는 실질적으로 이로 구성될 수 있음을 이해할 것이다.
따라서, 본 발명의 다른 측면은 1 이상의 용기 내에 본 발명의 조성물을 형성하도록 적합화된 1 이상의 성분을 포함하는 키트에 관한 것이다. 예컨대, 키트는 1 이상의 용기 내에 1 이상의 플루오르화물 함유 화합물, 1 이상의 유기 용매 및 임의로 팹(fab)에서 또는 사용 시점에 임의의 물과 배합하기 위한 1 이상의 킬레이트제/부동화제를 포함할 수 있다. 대안적으로, 키트는 1 이상의 용기 내에 1 이상의 플루오르화물 함유 화합물, 및 임의로 팹에서 또는 사용 시점에 1 이상의 용매 및 임의의 물과 배합하기 위한 1 이상의 킬레이트제/부동화제를 포함할 수 있다. 또 다른 구체예에서, 키트는 1 이상의 용기 내에 1 이상의 플루오르화물 함유 화합물, 1 이상의 유기 용매, 및 팹으로 또는 사용 시점으로 배달하기 위한 물을 포함할 수 있다. 키트 용기는 상기 액체 제거 조성물의 저장 및 운송에 적절해야 하며, 예컨대 NOWPak® 용기(미국 커네티컷주 댄버리 소재의 어드밴스드 테크놀러지 머티리얼즈)가 있다.
구체예 B
다른 측면에서, 본 발명의 제제는 조성물의 총 중량을 기준으로 하기 범위로 존재하는, 1 이상의 플루오르화물 함유 화합물, 임의로 1 이상의 유기 용매, 임의로 산-염기 완충액, 임의로 1 이상의 킬레이트제/부동화제 및 임의로 물을 포함한다:
성분 중량%
플루오르화물 함유 화합물(들) 약 0.01% 내지 약 70.0%
유기 용매(들) 약 0.01% 내지 약 80.0%
산/염기 완충액 0% 내지 약 30%
킬레이트제/부동화제(들) 0% 내지 약 10.0%
0% 내지 약 30.0%
본 발명의 광의의 실시에서, 구체예 B의 액체 제거 조성물은 1 이상의 플루오르화물 함유 화합물, 임의로 1 이상의 유기 용매, 임의로 산-염기 완충액 및 임의로 1 이상의 킬레이트제/부동화제 및 임의로 물을 포함하거나, 이로 이루어지거나, 또는 실질적으로 이로 이루어질 수 있다. 일반적으로, 서로에 대한 플루오르화물 함유 화합물(들), 임의의 물, 임의의 유기 용매(들), 임의의 산-염기 완충액(들) 및 임의의 킬레이트제/부동화제(들)의 특정 비율 및 양은 과도한 노력 없이 당업계의 기술 내에서 용이하게 결정 가능한 바의 SARC 층 화학종 및/또는 처리 장비에 대해 액체 조성물의 소정의 제거 작용을 제공하도록 적절하게 변화시킬 수 있다.
본 발명의 조성물은 하기에 더욱 완전히 설명하는 바와 같이, 매우 다양한 특정 제제에 포함될 수 있다.
구체예 B의 조성물의 pH 값은 바람직하게는 약 4 내지 약 9의 범위, 바람직하게는 약 5 내지 7의 범위이다.
이러한 조성물은 활성 성분 뿐 아니라 비활성 성분을 비롯한 추가의 성분, 예컨대 계면 활성제, 안정화제, 분산제, 산화 방지제, 침투제, 보조제, 첨가제, 충전제, 부형제 등을 임의로 포함할 수 있다. 또한, 구체예 B의 조성물은 거품, 연무, 아임계 또는 초임계 유체로서 제제화될 수 있음도 본 명세서에서 고려한다. 예컨대, 구체예 B의 조성물은 약 100 부의 SCF 대 1 부의 구체예 B의 비율로 이산화탄소와 같은 초임계 유체에 약 6:1, 바람직하게는 약 20:1로 첨가할 수 있다.
플루오르화물의 존재가 산성 용액 중 실리케이트 재료를 에칭하는 데 필요하기 때문에 플루오르화물 이온의 공급원이 필요하다. 제조 및 취급의 안전성을 위해 중성 플루오르화물 염이 바람직하다. 아민의 증발로 인한 pH의 변화를 피하기 위해 비휘발성 아민을 함유하는 아민-히드로플루오르화물 염이 가장 바람직하다. 플루오르화물 함유 화합물의 적절한 공급원은 플루오르화암모늄, 트리에틸아민 트리히드로플루오르화물((C2H5)3N·3HF), 메틸디에탄올아민-플루오르화수소산 염, 트리에탄올아민-플루오르화수소산 염 및 히드록시에틸모르폴린-플루오르화수소산 염을 포함하지만, 이에 한정되지 않는다. 대안적으로, 이플루오르화암모늄((NH4)HF2) 및 이플루오르화테트라알킬암모늄((R)4NHF2)(여기서 R은 메틸, 에틸, 부틸, 페닐 또는 플루오르화 C1-C4 알킬 기임)을 비롯한 이플루오르화물의 염을 사용할 수 있다. 2 이상의 플루오르화물 함유 화합물의 배합물도 본 명세서에서 고려한다. 바람직한 구체예에서, 플루오르화물 함유 화합물은 플루오르화암모늄 또는 메틸디에탄올아민-플루오르화수소산 염이다.
유기 용매 화학종은 용매로서 작용하며, SARC에 존재할 수 있는 유기 잔류물의 용해를 보조한다. 이러한 조성물에 대한 적절한 용매 화학종은 구체예 A에 대해 상기에서 열거한 것들과 같은 글리콜 및 글리콜 에테르; 디메틸설폭시드(DMSO); 디메틸아세트아미드; 및 아민, 예컨대 모노에탄올아민, 트리에탄올아민, 트리에틸렌디아민, 메틸에탄올아민, 메틸디에탄올아민, 펜타메틸디에틸렌트리아민, 디메틸디글리콜아민, 1,8-디아자비시클로[5.4.0]운데센, 아미노프로필모르폴린, 히드록시에틸모르폴린, 아미노에틸모르폴린, 히드록시프로필모르폴린, 디글리콜아민, N-메틸피롤리디논(NMP), N-옥틸피롤리디논, N-페닐피롤리디논, 시클로헥실피롤리디논, 이미다졸리돈 및 비닐 피롤리디논을 포함하지만, 이에 한정되지 않는다. 2 이상의 유기 용매의 배합물도 본 명세서에서 고려한다. 바람직하게는, 유기 용매는 디에틸렌 글리콜, 디메틸디글리콜 아민, 디에틸렌글리콜 메틸 에테르 및 NMP를 포함한다.
산/염기 완충액은 pH를 안정화시키고, SARC, 다른 잔류물 및 하지 재료, 예컨대 유전체 및 상호 연결 금속에 대한 용액의 에칭 속도 선택성을 제어한다. 본 발명의 완충 시스템에 유용한 유기 산은 포름산, 트리플루오로아세트산, 프로피온산, 부티르산, 발레르산, 헵탄산, 락트산, 옥살산, 말산, 말론산, 숙신산, 푸마르산, 아디프산, 벤조산, 프탈산 및 시트르산을 포함하지만, 이에 한정되지 않는다. 본 발명의 완충 시스템에 유용한 컨쥬게이트 염기는 유기 용매(들) 목록에서 상기 기재한 아민 및 유기 산의 염을 포함하지만, 이에 한정되지 않는다. 2 이상의 산/염기 완충액의 배합물도 본 명세서에서 고려한다. 바람직한 구체예에서, 본 발명의 완충 시스템은 말론산 및 디메틸디글리콜아민을 포함한다.
하지 층 내의 금속, 예컨대 구리 및/또는 코발트에 대한 공격을 감소시키기 위해 킬레이트제(들)를 첨가할 수 있다. 이러한 조성물 내 킬레이트제/부동화제는 임의의 적절한 유형일 수 있으며, 예컨대 구체예 A에 대해 상기 기재한 것들이 있다.
물을 의도적으로 첨가하지는 않지만, 구체예 B의 제거 조성물 내에 물이 존재할 수 있음을 본 명세서에서 고려한다.
다양한 바람직한 구체예에서, 구체예 B의 제거 조성물은 하기 제제 BA-BJ2(여기서 모든 %는 제제의 총 중량을 기준으로 중량%로 나타낸 것임)로 제제화한다:
제제 BA: 0.5% 플루오르화암모늄; 2.58% 말론산; 68.08% 디에틸렌 글리콜; 3% 디메틸디글리콜 아민; 25.64% 물; 0.2% 3-아미노-5-머캅토-1,2,4-트리아졸
제제 BB: 0.5% 플루오르화암모늄; 2.58% 말론산; 68.08% 디에틸렌 글리콜; 3% 디메틸디글리콜 아민; 25.64% 물; 0.2% 4-메틸-2-페닐이미다졸
제제 BC: 0.5% 플루오르화암모늄; 2.58% 말론산; 68.08% 디에틸렌 글리콜; 3% 디메틸디글리콜 아민; 25.64% 물; 0.2% 5-아미노-1,3,4-티아디아졸-2-티올
Figure 112008000684381-PCT00002
제제 BR: 2.0% 플루오르화암모늄; 2.58% 말론산; 89.52% 디에틸렌 글리콜; 3% 디메틸디글리콜 아민; 2.9% 시트르산
제제 BS: 1.0% 플루오르화암모늄; 5.16% 말론산; 87.84% 디에틸렌 글리콜; 3% 디메틸디글리콜 아민; 3% 말레산
제제 BT: 75% 메틸디에탄올아민-플루오르화수소산 염; 25% 물
제제 BU: 67.5% 메틸디에탄올아민-플루오르화수소산 염; 22.5% 물; 10% 디에틸렌글리콜 메틸 에테르
제제 BV: 60% 메틸디에탄올아민-플루오르화수소산 염; 20% 물; 20% 디에틸렌글리콜 메틸 에테르
제제 BW: 67.5% 메틸디에탄올아민-플루오르화수소산 염; 22.5% 물; 10% 이미다졸리돈
제제 BX: 60% 메틸디에탄올아민-플루오르화수소산 염; 20% 물; 20% NMP
제제 BY: 74.85% 메틸디에탄올아민-플루오르화수소산 염; 24.95% 물; 0.2% 3-아미노-5-머캅토-1,2,4-트리아졸
제제 BZ: 74.85% 메틸디에탄올아민-플루오르화수소산 염; 24.95% 물; 0.2% 5-아미노-1,3,4-티아디아졸-2-티올
제제 BA 2 : 74.85% 메틸디에탄올아민-플루오르화수소산 염; 24.95% 물; 0.2% 4-메틸-4H-1,2,4-트리아졸-3-티올
제제 BB 2 : 60% 메틸디에탄올아민-플루오르화수소산 염; 20% 물; 20% 펜타메틸디에틸렌트리아민
제제 BC 2 : 59.88% 메틸디에탄올아민-플루오르화수소산 염; 19.96% 물; 19.96% NMP; 0.2% 5-아미노-1,3,4-티아디아졸-2-티올
제제 BD 2 : 59.88% 메틸디에탄올아민-플루오르화수소산 염; 19.96% 물; 19.96% NMP; 0.2% 4-메틸-4H-1,2,4-트리아졸-3-티올
제제 BE 2 : 37.5% 메틸디에탄올아민-플루오르화수소산 염; 18.75% 트리에탄올아민-플루오르화수소산 염; 22.1% 히드록시에틸모르폴린-플루오르화수소산 염; 21.65% 물
제제 BF 2 : 60% 메틸디에탄올아민-플루오르화수소산 염; 7.5% 트리에탄올아민-플루오르화수소산 염; 8.84% 히드록시에틸모르폴린-플루오르화수소산 염; 23.66% 물
제제 BG 2 : 45% 메틸디에탄올아민-플루오르화수소산 염; 29.88% 메틸디에탄올아민-인산 염; 25.12% 물
제제 BH 2 : 40.55% 메틸디에탄올아민-플루오르화수소산 염; 26.89% 메틸디에탄올아민-인산 염; 10% 디메틸아세트아미드; 22.6% 물
제제 BI 2 : 40.55% 메틸디에탄올아민-플루오르화수소산 염; 26.89% 메틸디에탄올아민-인산 염; 10% NMP; 22.6% 물
제제 BJ 2 : 40.55% 메틸디에탄올아민-플루오르화수소산 염; 26.89% 메틸디에탄올아민-인산 염; 10% DMSO; 22.6% 물
또한, 구체예 B의 조성물은 물과 같은 용매로 희석할 수 있으며, 연마 슬러리로부터의 입자, 탄소 풍부 입자, 연마 패드 입자, 브러쉬 디로딩 입자, 구성 입자의 장비 재료, 구리, 산화구리, 및 화학 기계 연마(CMP) 공정의 부산물인 임의의 다른 재료를 포함하지만 이에 한정되지 않는 CMP 후 잔류물을 제거하기 위한 CMP 후 조성물로서 사용될 수 있음을 본 발명에서 고려한다.
본 발명의 액체 제거 조성물은 단순히 각각의 성분을 첨가하고, 균질 상태로 혼합함으로써 용이하게 제제화할 수 있다. 또한, 액체 제거 조성물은 사용 시점에 또는 사용 시점 전에 혼합하는 단일 포장 제제 또는 복수 제제로서 용이하게 제제화할 수 있으며, 예컨대 복수 제제의 개별 부분을 기구에서 또는 기구의 저장 탱크 상류에서 혼합할 수 있다. 각각의 성분의 농도는 특정 다수의 액체 제거 조성물에서 다양하게 변화시킬 수 있다. 즉, 본 발명의 광의의 실시에서 이를 더욱 희석시키거나 또는 더욱 농축시킬 수 있으며, 본 발명의 액체 제거 조성물은 다양하게 그리고 대안적으로 본 명세서의 개시 내용과 일치하는 성분들의 임의의 배합물을 포함하거나, 이로 구성되거나 또는 실질적으로 이로 구성될 수 있음을 이해할 것이다.
따라서, 본 발명의 다른 측면은 1 이상의 용기 내에 본 발명의 조성물을 형성하도록 적합화된 1 이상의 성분을 포함하는 키트에 관한 것이다. 예컨대, 키트는 1 이상의 용기 내에 1 이상의 플루오르화물 함유 화합물, 임의로 1 이상의 유기 용매, 임의로 산-염기 완충액, 및 임의로 팹에서 또는 사용 시점에 임의의 물과 배합하기 위한 1 이상의 킬레이트제/부동화제를 포함할 수 있다. 대안적으로, 키트는 1 이상의 용기 내에 1 이상의 플루오르화물 함유 화합물, 임의로 산-염기 완충액, 및 임의로 팹에서 또는 사용 시점에 임의의 1 이상의 유기 용매 및 임의의 물과 배합하기 위한 1 이상의 킬레이트제/부동화제를 포함할 수 있다. 키트 용기는 상기 액체 제거 조성물의 저장 및 운송에 적절해야 하며, 예컨대 NOWPak® 용기(미국 커네티컷주 댄버리 소재의 어드밴스드 테크놀러지 머티리얼즈)가 있다.
또 다른 구체예에서, 구체예 B의 액체 제거 조성물은 적어도, SARC 및/또는 에칭 후 잔류물을 포함하는 잔류물 재료 제거를 위한 1 이상의 플루오르화물 함유 화합물, 임의로 1 이상의 유기 용매, 임의로 산-염기 완충액, 임의로 1 이상의 킬레이트제/부동화제 및 임의로 물을 포함한다. 중요하게는, 잔류물 재료는 본 발명의 액체 제거 조성물에 용해 및/또는 현탁시킬 수 있다.
액체 제거 조성물의 사용 방법
본 발명의 제거 조성물은 단순히 각각의 성분을 첨가하고, 균질 상태로 혼합함으로써 용이하게 제제화할 수 있다.
제거 용도에서, 예컨대 제거 조성물을 마이크로전자 소자의 표면 상에 분무하여, 마이크로전자 소자를 소정 부피의 세정 조성물에 침지하여, 세정할 마이크로전자 소자를 다른 재료, 예컨대 제거 조성물로 포화되는 패드 또는 섬유 흡착 도포기 부재와 접촉시켜, 마이크로전자 소자를 순환되고 있는 제거 조성물과 접촉시켜 또는 제거 조성물을 세정할 마이크로전자 소자와 접촉시키는 임의의 다른 적절한 수단, 방식 또는 기술에 의해, 제거 조성물을 세정할 마이크로전자 소자에 임의의 적절한 방식으로 도포한다.
반도체 제조 작업에 적용시, 본 발명의 세정 조성물은 SARC 및/또는 에칭 후 잔류물 재료를 그 위에 갖는 마이크로전자 소자 구조물로부터 상기 재료(들)를 제거하는 데 유용하게 사용한다.
마이크로전자 소자 상에 존재할 수 있고 제거 조성물에 노출될 수 있는 다른 재료, 예컨대 ILD 구조물, 금속화물, 장벽층 등에 대한 이러한 SARC 재료에 대한 본 발명의 조성물의 선택성으로 인해, 본 발명의 조성물은 매우 효과적인 방식으로 적어도 부분적으로 SARC 및/또는 에칭 후 잔류물 재료(들)를 제거한다.
중요하게는, 본 발명의 조성물은 함수량이 예컨대 약 1 중량% 미만으로 낮으며, 특히 구체예 A의 조성물은 그 자체로 구리, 알루미늄 및 코발트와 같은 금속 상호 연결 층과 상용성이 있다. 본 발명의 조성물의 존재 하에서의 구리 및/또는 코발트 에칭 속도는 바람직하게는 5 Å/분 미만, 더욱 바람직하게는 2 Å/분 미만, 가장 바람직하게는 1 Å/분이다.
SARC 재료를 그 위에 갖는 마이크로전자 소자 기판으로부터 상기 재료를 제거하기 위한 본 발명의 조성물의 용도에서, 세정 조성물을 통상적으로 약 1 내지 60 분, 바람직하게는 약 20 내지 약 30 분의 시간 동안, 약 20 내지 약 80℃ 범위의 온도에서 소자 기판과 접촉시킨다. 이러한 접촉 시간 및 온도는 예시적인 것이며, 본 발명의 광의의 실시에서 소자 기판으로부터 SARC 재료를 적어도 부분적으로 제거하는 데 효과적인 임의의 다른 적절한 시간 및 온도 조건을 이용할 수 있다. 본 명세서에서 정의된 바의 "적어도 부분적인 제거"는 SARC 재료의 50% 이상의 제거, 바람직하게는 SARC 재료의 80% 이상의 제거에 해당한다. 가장 바람직하게는, 본 발명의 조성물을 사용하여 SARC 재료의 90% 이상이 제거된다.
소정의 세정 작용의 달성 이후에, 예컨대 본 발명이 조성물의 소정의 최종 사용 용도에 바람직하고 효과적일 수 있는 바의 헹굼, 세척 또는 다른 제거 단계(들)에 의해 이것이 미리 도포되어 있는 소자로부터 세정 조성물을 용이하게 제거한다. 예컨대, 소자를 탈이온수로 헹굴 수 있다.
본 발명의 또 다른 구체예는 마이크로전자 소자를 포함하는 물품의 제조 방법으로서, 상기 방법은 SARC 및/또는 에칭 후 잔류물 재료를 그 위에 갖는 마이크로전자 소자로부터 상기 재료를 제거하기에 충분한 시간 동안 마이크로전자 소자를 액체 제거 조성물과 접촉시키는 단계 및 상기 마이크로전자 소자를 상기 물품에 삽입하는 단계를 포함하며, 상기 액체 제거 조성물은 1 이상의 플루오르화물 함유 화합물, 1 이상의 유기 용매, 임의로 물 및 임의로 1 이상의 킬레이트제/부동화제를 포함한다.
본 발명의 다른 구체예는 마이크로전자 소자를 포함하는 물품의 제조 방법으로서, 상기 방법은 SARC 및/또는 에칭 후 잔류물 재료를 그 위에 갖는 마이크로전자 소자로부터 상기 재료를 제거하기에 충분한 시간 동안 마이크로전자 소자를 액체 제거 조성물과 접촉시키는 단계, 및 상기 마이크로전자 소자를 상기 물품에 삽입하는 단계를 포함하며, 상기 액체 제거 조성물은 1 이상의 플루오르화물 함유 화합물, 임의로 1 이상의 유기 용매, 임의로 산-염기 완충액, 임의로 1 이상의 킬레이트제/부동화제 및 임의로 물을 포함하는 방법에 관한 것이다.
본 발명의 특징 및 이점을 하기 논의하는 예시적인 실시예에 의해 더 완전히 설명한다.
실시예 1
SARC 층을 포함하는 패턴화된 저 k 유전체 기판의 샘플 상에서 SARC 제거를 수행하였다. 샘플을 30 분 동안 40℃에서(하기 표 1 및 2) 또는 15 분 동안 20℃에서(하기 표 3) 구체예 A의 제거 용액에 침지한 다음, 다량의 탈이온수로 헹구었다. 주사 전자 현미경을 이용하여 패턴화된 웨이퍼로부터의 SARC 재료의 제거율(%)의 근사치를 구하였다. 결과를 하기 표 1 내기 3에 표로 만들었다.
또한, 패턴화된 웨이퍼로부터의 SARC 층의 제거율의 근사치를 구하기 위해, 제거 용액 중 구리 및/또는 코발트 금속의 에칭 속도를 측정하였다. 구리 및/또는 코발트의 블랭킷 금속 웨이퍼를 제거 용액에 침지하고, 각각의 금속의 에칭 속도를 저항을 기준으로 하여 4 포인트 프로브 측정을 이용하여 측정하였다. 결과를 하기 표 1에 표로 만들었다.
Figure 112008000684381-PCT00003
Figure 112008000684381-PCT00004
Figure 112008000684381-PCT00005
실시예 2
구체예 B의 제거 용액 중 구리 및/또는 코발트 금속의 에칭 속도를 실험으로 측정하였다. 구리 및/또는 코발트의 블랭킷 금속 웨이퍼를 제거 용액에 침지하고, 각각의 금속의 에칭 속도를 갈바니 전지를 이용하여 측정하였다. 결과를 하기 표 4에 표로 만들었다.
Figure 112008000684381-PCT00006
실시예 3
제제 AL2 및 AM2에서 30 분 동안 40℃에서 블랭킷화 유전체, 구리 및 코발트 웨이퍼를 정적 침지한 후 유전 재료, 구리 및 코발트 에칭 속도를 측정하였다. 에칭 속도를 전기화학적으로 유도된 타펠 플롯을 이용하여 측정하였다.
제제 AL2에서, 유전 재료, 코발트 및 구리의 에칭 속도는 각각 1.7 Å/분, 0.043 Å/분 및 0.07 Å/분으로 측정되었다. 제제 AM2에서, 유전 재료, 코발트 및 구리의 에칭 속도는 각각 0.6 Å/분, 0.028 Å/분 및 0.055 Å/분으로 측정되었다.
따라서, 본 발명을 본 발명의 특정 측면, 특징 및 예시적인 구체예를 참고로 하여 본 명세서에서 설명하였지만, 본 발명의 유용성은 이에 따라 한정되지 않으며, 오히려 다수의 다른 측면, 특징 및 구체예에 확장되며 이들을 포함함을 이해할 것이다. 따라서, 하기 기재하는 청구 범위는 이의 사상 및 범위 내에 있는 모든 이러한 측면, 특징 및 구체예를 포함하는 것으로 이에 상응하게 광의로 해석되어야 한다.

Claims (35)

1 이상의 플루오르화물 함유 화합물, 1 이상의 유기 용매, 임의로 물 및 임의로 1 이상의 킬레이트제를 포함하는 액체 제거 조성물로서, 희생 반사 방지 코팅(SARC, sacrificial anti-reflective coating) 재료 및 에칭 후 잔류물을 그 위에 갖는 마이크로전자 소자로부터 이러한 재료 및 잔류물을 제거하는 데 유용한 액체 제거 조성물.
제1항에 있어서, 플루오르화물 함유 화합물(들)에 대한 유기 용매(들)의 몰 비는 약 1:1 내지 약 120:1의 범위인 것인 액체 제거 조성물.
제1항에 있어서, 플루오르화물 함유 화합물(들)에 대한 유기 용매(들)의 몰 비는 약 30:1 내지 약 65:1의 범위인 것인 액체 제거 조성물.
제1항에 있어서, 1 이상의 플루오르화물 함유 화합물은 플루오르화수소를 포함하는 것인 액체 제거 조성물.
제1항에 있어서, 1 이상의 유기 용매는 테트라메틸렌 설폰, 메탄올, 에탄올, 1-프로판올, 2-프로판올, 1-부탄올, 2-부탄올, t-부탄올, 1-펜탄올, 에틸렌 글리콜, 프로필렌 글리콜, 1,4-부탄디올, 네오펜틸 글리콜, 디에틸렌 글리콜 모노메틸 에테르, 트리에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 모노에틸 에테르, 트리에틸렌 글리콜 모노에틸 에테르, 에틸렌 글리콜 모노프로필 에테르, 에틸렌 글리콜 모노부틸 에테르, 디에틸렌 글리콜 모노부틸 에테르, 트리에틸렌 글리콜 모노부틸 에테르, 프로필렌 글리콜 메틸 에테르, 디프로필렌 글리콜 메틸 에테르, 트리프로필렌 글리콜 메틸 에테르, 프로필렌 글리콜 n-프로필 에테르, 디프로필렌 글리콜 n-프로필 에테르, 트리프로필렌 글리콜 n-프로필 에테르, 프로필렌 글리콜 n-부틸 에테르, 디프로필렌 글리콜 n-부틸 에테르, 트리프로필렌 글리콜 n-부틸 에테르, 디메틸아세트아미드, 포름아미드, 디메틸포름아미드, 1-메틸-2-피롤리디논, 디메틸 설폭시드 및 이의 배합물로 구성된 군에서 선택되는 화합물을 포함하는 것인 액체 제거 조성물.
제1항에 있어서, 1 이상의 유기 용매는 메탄올, 에탄올, 에틸렌 글리콜, 프로필렌 글리콜, 2-프로판올, 1-프로판올, 1-부탄올, 1,4-부탄디올, 1-펜탄올, 디에틸렌글리콜 메틸 에테르, 테트라메틸렌 설폰, 트리프로필렌 글리콜 메틸 에테르, 디프로필렌 글리콜 n-부틸 에테르, 디프로필렌글리콜 메틸 에테르 및 이의 배합물로 구성된 군에서 선택되는 화합물을 포함하는 것인 액체 제거 조성물.
제1항에 있어서, 1 이상의 유기 용매는 1-부탄올, 1,4-부탄디올 및 이의 배합물로 구성된 군에서 선택되는 화합물을 포함하는 것인 액체 제거 조성물.
제1항에 있어서, 1 이상의 유기 용매는 에틸렌 글리콜, 테트라메틸렌 설폰, 트리프로필렌 글리콜 메틸 에테르, 디프로필렌 글리콜 n-부틸 에테르 및 이의 배합물로 구성된 군에서 선택되는 화합물을 포함하는 것인 액체 제거 조성물.
제1항에 있어서, 물에 대한 유기 용매(들)의 몰 비는 약 1:1 내지 약 150:1의 범위인 것인 액체 제거 조성물.
제1항에 있어서, 물에 대한 유기 용매(들)의 몰 비는 약 30:1 내지 약 60:1의 범위인 것인 액체 제거 조성물.
제1항에 있어서, 벤조트리아졸, 톨일트리아졸, 5-페닐-벤조트리아졸, 5-니트로-벤조트리아졸, 3-아미노-5-머캅토-1,2,4-트리아졸, 1-아미노-1,2,4-트리아졸, 히드록시벤조트리아졸, 2-(5-아미노-펜틸)-벤조트리아졸, 1-아미노-1,2,3-트리아졸, 1-아미노-5-메틸-1,2,3-트리아졸, 3-아미노-1,2,4-트리아졸, 3-머캅토-1,2,4-트리아졸, 3-이소프로필-1,2,4-트리아졸, 5-페닐티올-벤조트리아졸, 할로-벤조트리아졸, 나프토트리아졸, 2-머캅토벤조이미다졸, 2-머캅토벤조티아졸, 4-메틸-2-페닐이미다졸, 2-머캅토티아졸린, 5-아미노테트라졸, 5-아미노-1,3,4-티아디아졸-2-티올, 2,4-디아미노-6-메틸-1,3,5-트리아진, 티아졸, 트리아진, 메틸테트라졸, l,3-디메틸-2-이미다졸리디논, 1,5-펜타메틸렌테트라졸, 1-페닐-5-머캅토테트라졸, 디아미노메틸트리아진, 머캅토벤조티아졸, 이미다졸린 티온, 머캅토벤즈이미다졸, 4- 메틸-4H-1,2,4-트리아졸-3-티올, 5-아미노-1,3,4-티아디아졸-2-티올, 벤조티아졸, 트리톨일 포스페이트, 인디아졸, 구아닌, 아데닌, 글리세롤, 티오글리세롤, 니트릴로트리아세트산, 살리실아미드, 이미노디아세트산, 벤조구아나민, 멜라민, 티오시아누르산, 안트라닐산, 갈산, 아스코르브산, 살리실산, 8-히드록시퀴놀린, 5-카르복실산-벤조트리아졸, 3-머캅토프로판올, 붕산, 이미노디아세트산 및 이의 배합물로 구성된 군에서 선택되는 1 이상의 킬레이트제를 포함하는 것인 액체 제거 조성물.
제9항에 있어서, 물은 조성물의 총 중량을 기준으로 약 0.01 내지 약 1 중량%의 양으로 존재하는 것인 액체 제거 조성물.
제1항에 있어서, pH가 약 1 내지 약 5의 범위인 것인 액체 제거 조성물.
제1항에 있어서, 99%를 초과하는 SARC 재료를 제거하며, 구리 에칭 속도가 40℃에서 약 0.1 Å/분 미만인 것인 액체 제거 조성물.
제1항에 있어서, 99%를 초과하는 SARC 재료를 제거하며, 코발트 에칭 속도가 40℃에서 약 0.1 Å/분 미만인 것인 액체 제거 조성물.
제1항에 있어서, 99%를 초과하는 SARC 재료를 제거하며, 유전 재료 에칭 속 도가 40℃에서 약 2 Å/분 미만인 것인 액체 제거 조성물.
제1항에 있어서, SARC 잔류물, 에칭 후 잔류물 및 이의 배합물로 구성된 군에서 선택되는 잔류물 재료를 더 포함하는 것인 액체 제거 조성물.
제1항에 있어서, 1 이상의 산-염기 완충액을 더 포함하는 것인 액체 제거 조성물.
제18항에 있어서, pH가 약 4 내지 약 9의 범위인 것인 액체 제거 조성물.
제1항에 있어서, 하기 제제 AA-AI3 및 BA-BJ2(여기서 모든 %는 제제의 총 중량을 기준으로 중량%로 나타낸 것임)로 구성된 군에서 선택되는 것인 액체 제거 조성물:
제제 AA: 99.5% 에탄올; 0.245% 플루오르화수소; 0.255% 물
제제 AB: 98.5% 에탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AC: 74.5% 에탄올; 25.0% 디프로필렌 글리콜 메틸 에테르; 0.245% 플루오르화수소; 0.255% 물
제제 AD: 73.5% 에탄올; 25.0% 디프로필렌 글리콜 메틸 에테르; 0.735% 플루오르화수소; 0.765% 물
제제 AE: 74.5% 에탄올; 25.0% 디에틸렌 글리콜 메틸 에테르; 0.245% 플루오르화수소; 0.255% 물
제제 AF: 73.5% 에탄올; 25.0% 디에틸렌 글리콜 메틸 에테르; 0.735% 플루오르화수소; 0.765% 물
제제 AG: 98.0% 에탄올; 0.98% 플루오르화수소; 1.02% 물
제제 AH: 98.5% 메탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AI: 98.5% 에틸렌 글리콜; 0.735% 플루오르화수소; 0.765% 물
제제 AJ: 98.5% 프로필렌 글리콜; 0.735% 플루오르화수소; 0.765% 물
제제 AK: 98.5% 디에틸렌 글리콜 부틸 에테르; 0.735% 플루오르화수소; 0.765% 물
제제 AL: 98.5% 2-프로판올; 0.735% 플루오르화수소; 0.765% 물
제제 AM: 98.5% 1,4-부탄디올; 0.735% 플루오르화수소; 0.765% 물
제제 AN: 98.5% 1-프로판올; 0.735% 플루오르화수소; 0.765% 물
제제 AO: 98.5% 1-펜탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AP: 25.0% 에틸렌 글리콜; 73.5% 1-부탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AQ: 98.5% 1-부탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AR: 50.0% 에틸렌 글리콜; 48.5% 1-부탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AS: 75.0% 에틸렌 글리콜; 23.5% 1-부탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AT: 25.0% 에틸렌 글리콜; 73.5% 에탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AU: 50.0% 에틸렌 글리콜; 48.5% 에탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AV: 75.0% 에틸렌 글리콜; 23.5% 에탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AW: 70.0% 에틸렌 글리콜; 28.5% 1-부탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AX: 50.0% 에틸렌 글리콜; 48.5% 1-부탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AY: 75.0% 에틸렌 글리콜; 23.5% 1,4-부탄디올; 0.735% 플루오르화수소; 0.765% 물
제제 AZ: 60.0% 에틸렌 글리콜; 38.5% 1-펜탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AA 2 : 50.0% 에틸렌 글리콜; 48.5% 1-펜탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AB 2 : 80.0% 에틸렌 글리콜; 18.5% 1-펜탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AC 2 : 90.0% 에틸렌 글리콜; 8.5% 1-펜탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AD 2 : 99.25% 1,4-부탄디올; 0.3675% 플루오르화수소; 0.3825% 물
제제 AE 2 : 90.0% 에틸렌 글리콜; 9.25% 1-펜탄올; 0.3675% 플루오르화수소; 0.3825% 물
제제 AF 2 : 99.51% 1,4-부탄디올; 0.49% 플루오르화수소
제제 AG 2 : 99.265% 1,4-부탄디올; 0.735% 플루오르화수소
제제 AH 2 : 89.25% 1,4-부탄디올; 10.0% 1-부탄올; 0.3675% 플루오르화수소; 0.3825% 물
제제 AI 2 : 80.0% 1,4-부탄디올; 19.25% 1-부탄올; 0.3675% 플루오르화수소; 0.3825% 물
제제 AJ 2 : 70.0% 1,4-부탄디올; 29.25% 1-부탄올; 0.3675% 플루오르화수소; 0.3825% 물
제제 AK 2 : 60.0% 1,4-부탄디올; 39.25% 1-부탄올; 0.3675% 플루오르화수소; 0.3825% 물
제제 AL 2 : 50.0% 1,4-부탄디올; 49.25% 1-부탄올; 0.3675% 플루오르화수소; 0.3825% 물
제제 AM 2 : 98.875% 1,4-부탄디올; 0.55125% 플루오르화수소; 0.57375% 물
Figure 112008000684381-PCT00007
제제 AY 2 : 79.25% 에탄올; 20% 1-메틸-2-피롤리디논; 0.3675% 플루오르화수소; 0.3825% 물
제제 AZ 2 : 79.25% 에틸렌 글리콜; 20% 디메틸아세트아미드; 0.3675% 플루오르화수소; 0.3825% 물
제제 AA 3 : 99.25% 테트라메틸렌 설폰; 0.3675% 플루오르화수소; 0.3825% 물
제제 AB 3 : 50% 에틸렌 글리콜; 49.25% 테트라메틸렌 설폰; 0.3675% 플루오르화수소; 0.3825% 물
제제 AC 3 : 50% 에틸렌 글리콜; 49.625% 테트라메틸렌 설폰; 0.375% 플루오르화수소
제제 AD 3 : 45% 에틸렌 글리콜; 39.625% 테트라메틸렌 설폰; 15% 트리프로필렌 글리콜 메틸 에테르; 0.375% 플루오르화수소
제제 AE 3 : 45% 에틸렌 글리콜; 34.625% 테트라메틸렌 설폰; 20% 트리프로필렌 글리콜 메틸 에테르; 0.375% 플루오르화수소
제제 AF 3 : 45% 에틸렌 글리콜; 39.625% 테트라메틸렌 설폰; 15% 디프로필렌 글리콜 n-부틸 에테르; 0.375% 플루오르화수소
제제 AG 3 : 45% 에틸렌 글리콜; 39.625% 테트라메틸렌 설폰; 15% 디프로필렌 글리콜 메틸 에테르; 0.375% 플루오르화수소
제제 AH 3 : 70.0% 1,4-부탄디올; 29.25% 1-부탄올; 0.3675% 플루오르화수소; 0.3825% 물
제제 AI 3 : 44.882% 에틸렌 글리콜; 15% 디(프로필렌 글리콜) 부틸 에테르; 39.64% 설폴란; 0.375% HF; 0.1% 폴리쿼터늄 2; 0.003% 테트라메틸암모늄 히드록시드 실리케이트
제제 BA: 0.5% 플루오르화암모늄; 2.58% 말론산; 68.08% 디에틸렌 글리콜; 3% 디메틸디글리콜 아민; 25.64% 물; 0.2% 3-아미노-5-머캅토-1,2,4-트리아졸
제제 BB: 0.5% 플루오르화암모늄; 2.58% 말론산; 68.08% 디에틸렌 글리콜; 3% 디메틸디글리콜 아민; 25.64% 물; 0.2% 4-메틸-2-페닐이미다졸
제제 BC: 0.5% 플루오르화암모늄; 2.58% 말론산; 68.08% 디에틸렌 글리콜; 3% 디메틸디글리콜 아민; 25.64% 물; 0.2% 5-아미노-1,3,4-티아디아졸-2-티올
Figure 112008000684381-PCT00008
제제 BR: 2.0% 플루오르화암모늄; 2.58% 말론산; 89.52% 디에틸렌 글리콜; 3% 디메틸디글리콜 아민; 2.9% 시트르산
제제 BS: 1.0% 플루오르화암모늄; 5.16% 말론산; 87.84% 디에틸렌 글리콜; 3% 디메틸디글리콜 아민; 3% 말레산
제제 BT: 75% 메틸디에탄올아민-플루오르화수소산 염; 25% 물
제제 BU: 67.5% 메틸디에탄올아민-플루오르화수소산 염; 22.5% 물; 10% 디에틸렌글리콜 메틸 에테르
제제 BV: 60% 메틸디에탄올아민-플루오르화수소산 염; 20% 물; 20% 디에틸렌 글리콜 메틸 에테르
제제 BW: 67.5% 메틸디에탄올아민-플루오르화수소산 염; 22.5% 물; 10% 이미다졸리돈
제제 BX: 60% 메틸디에탄올아민-플루오르화수소산 염; 20% 물; 20% NMP
제제 BY: 74.85% 메틸디에탄올아민-플루오르화수소산 염; 24.95% 물; 0.2% 3-아미노-5-머캅토-1,2,4-트리아졸
제제 BZ: 74.85% 메틸디에탄올아민-플루오르화수소산 염; 24.95% 물; 0.2% 5-아미노-1,3,4-티아디아졸-2-티올
제제 BA 2 : 74.85% 메틸디에탄올아민-플루오르화수소산 염; 24.95% 물; 0.2% 4-메틸-4H-1,2,4-트리아졸-3-티올
제제 BB 2 : 60% 메틸디에탄올아민-플루오르화수소산 염; 20% 물; 20% 펜타메틸디에틸렌트리아민
제제 BC 2 : 59.88% 메틸디에탄올아민-플루오르화수소산 염; 19.96% 물; 19.96% NMP; 0.2% 5-아미노-1,3,4-티아디아졸-2-티올
제제 BD 2 : 59.88% 메틸디에탄올아민-플루오르화수소산 염; 19.96% 물; 19.96% NMP; 0.2% 4-메틸-4H-1,2,4-트리아졸-3-티올
제제 BE 2 : 37.5% 메틸디에탄올아민-플루오르화수소산 염; 18.75% 트리에탄올아민-플루오르화수소산 염; 22.1% 히드록시에틸모르폴린-플루오르화수소산 염; 21.65% 물
제제 BF 2 : 60% 메틸디에탄올아민-플루오르화수소산 염; 7.5% 트리에탄올아민-플루오르화수소산 염; 8.84% 히드록시에틸모르폴린-플루오르화수소산 염; 23.66% 물
제제 BG 2 : 45% 메틸디에탄올아민-플루오르화수소산 염; 29.88% 메틸디에탄올아민-인산 염; 25.12% 물
제제 BH 2 : 40.55% 메틸디에탄올아민-플루오르화수소산 염; 26.89% 메틸디에탄올아민-인산 염; 10% 디메틸아세트아미드; 22.6% 물
제제 BI 2 : 40.55% 메틸디에탄올아민-플루오르화수소산 염; 26.89% 메틸디에탄올아민-인산 염; 10% NMP; 22.6% 물
제제 BJ 2 : 40.55% 메틸디에탄올아민-플루오르화수소산 염; 26.89% 메틸디에탄올아민-인산 염; 10% DMSO; 22.6% 물.
제1항에 있어서, 마이크로전자 소자는 반도체 기판, 평판 표시 장치 및 마이크로전자 기계 시스템(MEMS)으로 구성된 군에서 선택되는 물품을 포함하는 것인 액체 제거 조성물.
1 이상의 용기 내에 액체 제거 조성물 시약을 포함하는 키트로서, 상기 액체 제거 조성물은 1 이상의 플루오르화물 함유 화합물, 1 이상의 유기 용매, 임의로 물 및 임의로 1 이상의 킬레이트제를 포함하며, 키트는 그 위에 SARC 재료 및 에칭 후 잔류물을 갖는 마이크로전자 소자로부터 상기 재료 및/또는 잔류물을 제거하는 데 적절한 액체 제거 조성물을 형성하도록 적합화되는 것인 키트.
그 위에 SARC 재료 및 에칭 후 잔류물을 갖는 마이크로전자 소자로부터 상기 재료 및/또는 잔류물을 제거하는 방법으로서, 상기 방법은 상기 재료 및 잔류물을 마이크로전자 소자로부터 적어도 부분적으로 제거하기에 충분한 시간 동안 마이크로전자 소자를 액체 제거 조성물과 접촉시키는 단계를 포함하며, 상기 액체 제거 조성물은 1 이상의 플루오르화물 함유 화합물, 1 이상의 유기 용매, 임의로 물 및 임의로 1 이상의 킬레이트제를 포함하는 것인 방법.
제23항에 있어서, 액체 제거 조성물은 물을 포함하며, 물에 대한 유기 용매(들)의 몰 비는 약 1:1 내지 약 150:1의 범위인 것인 방법.
제23항에 있어서, 액체 제거 조성물은 물을 포함하며, 물에 대한 유기 용매(들)의 몰 비는 약 30:1 내지 약 60:1의 범위인 것인 방법.
제23항에 있어서, 마이크로전자 소자는 반도체 기판, 평판 표시 장치 및 마이크로전자 기계 시스템(MEMS)으로 구성된 군에서 선택되는 물품인 것인 방법.
제23항에 있어서, 상기 접촉은 약 1 내지 약 60 분의 시간 동안 수행하는 것인 방법.
제23항에 있어서, 상기 접촉은 약 20 내지 약 80℃ 범위의 온도에서 수행하는 것인 방법.
제23항에 있어서, 1 이상의 플루오르화물 함유 화합물은 플루오르화수소를 포함하고;
1 이상의 유기 용매는 테트라메틸렌 설폰, 메탄올, 에탄올, 1-프로판올, 2-프로판올, 1-부탄올, 2-부탄올, t-부탄올, 1-펜탄올, 에틸렌 글리콜, 프로필렌 글리콜, 1,4-부탄디올, 네오펜틸 글리콜, 디에틸렌 글리콜 모노메틸 에테르, 트리에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 모노에틸 에테르, 트리에틸렌 글리콜 모노에틸 에테르, 에틸렌 글리콜 모노프로필 에테르, 에틸렌 글리콜 모노부틸 에테르, 디에틸렌 글리콜 모노부틸 에테르, 트리에틸렌 글리콜 모노부틸 에테르, 프로필렌 글리콜 메틸 에테르, 디프로필렌 글리콜 메틸 에테르, 트리프로필렌 글리콜 메틸 에테르, 프로필렌 글리콜 n-프로필 에테르, 디프로필렌 글리콜 n-프로필 에테르, 트리프로필렌 글리콜 n-프로필 에테르, 프로필렌 글리콜 n-부틸 에테르, 디프로필렌 글리콜 n-부틸 에테르, 트리프로필렌 글리콜 n-부틸 에테르, 디메틸아세트아미드, 포름아미드, 디메틸포름아미드, 1-메틸-2-피롤리디논, 디메틸 설폭시 드 및 이의 배합물로 구성된 군에서 선택되는 화합물을 포함하는 것인 방법.
제23항에 있어서, 플루오르화물 함유 화합물에 대한 유기 용매(들)의 몰 비는 약 1:1 내지 약 120:1의 범위인 것인 방법.
제23항에 있어서, 플루오르화물 함유 화합물에 대한 유기 용매(들)의 몰 비는 약 30:1 내지 약 60:1의 범위인 것인 방법.
제23항에 있어서, 접촉은 마이크로전자 소자 표면 상에 액체 제거 조성물을 분무하는 것; 충분한 부피의 액체 제거 조성물에 마이크로전자 소자를 침지하는 것; 마이크로전자 소자의 표면을 액체 제거 조성물로 포화된 다른 재료와 접촉시키는 것; 및 마이크로전자 소자를 순환되고 있는 액체 제거 조성물과 접촉시키는 것으로 구성되는 군에서 선택되는 방법을 포함하는 것인 방법.
제23항에 있어서, 액체 제거 조성물과 접촉시킨 후 탈이온수로 마이크로전자 소자를 헹구는 단계를 더 포함하는 것인 방법.
제23항에 있어서, 액체 제거 조성물은 1 이상의 산-염기 완충액을 더 포함하는 것인 방법.
제23항에 있어서, 액체 제거 조성물은 하기 제제 AA-AI3 및 BA-BJ2(여기서 모든 %는 제제의 총 중량을 기준으로 중량%로 나타낸 것임)로 구성된 군에서 선택되는 것인 방법:
제제 AA: 99.5% 에탄올; 0.245% 플루오르화수소; 0.255% 물
제제 AB: 98.5% 에탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AC: 74.5% 에탄올; 25.0% 디프로필렌 글리콜 메틸 에테르; 0.245% 플루오르화수소; 0.255% 물
제제 AD: 73.5% 에탄올; 25.0% 디프로필렌 글리콜 메틸 에테르; 0.735% 플루오르화수소; 0.765% 물
제제 AE: 74.5% 에탄올; 25.0% 디에틸렌 글리콜 메틸 에테르; 0.245% 플루오르화수소; 0.255% 물
제제 AF: 73.5% 에탄올; 25.0% 디에틸렌 글리콜 메틸 에테르; 0.735% 플루오르화수소; 0.765% 물
제제 AG: 98.0% 에탄올; 0.98% 플루오르화수소; 1.02% 물
제제 AH: 98.5% 메탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AI: 98.5% 에틸렌 글리콜; 0.735% 플루오르화수소; 0.765% 물
제제 AJ: 98.5% 프로필렌 글리콜; 0.735% 플루오르화수소; 0.765% 물
제제 AK: 98.5% 디에틸렌 글리콜 부틸 에테르; 0.735% 플루오르화수소; 0.765% 물
제제 AL: 98.5% 2-프로판올; 0.735% 플루오르화수소; 0.765% 물
제제 AM: 98.5% 1,4-부탄디올; 0.735% 플루오르화수소; 0.765% 물
제제 AN: 98.5% 1-프로판올; 0.735% 플루오르화수소; 0.765% 물
제제 AO: 98.5% 1-펜탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AP: 25.0% 에틸렌 글리콜; 73.5% 1-부탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AQ: 98.5% 1-부탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AR: 50.0% 에틸렌 글리콜; 48.5% 1-부탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AS: 75.0% 에틸렌 글리콜; 23.5% 1-부탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AT: 25.0% 에틸렌 글리콜; 73.5% 에탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AU: 50.0% 에틸렌 글리콜; 48.5% 에탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AV: 75.0% 에틸렌 글리콜; 23.5% 에탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AW: 70.0% 에틸렌 글리콜; 28.5% 1-부탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AX: 50.0% 에틸렌 글리콜; 48.5% 1-부탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AY: 75.0% 에틸렌 글리콜; 23.5% 1,4-부탄디올; 0.735% 플루오르화수소; 0.765% 물
제제 AZ: 60.0% 에틸렌 글리콜; 38.5% 1-펜탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AA 2 : 50.0% 에틸렌 글리콜; 48.5% 1-펜탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AB 2 : 80.0% 에틸렌 글리콜; 18.5% 1-펜탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AC 2 : 90.0% 에틸렌 글리콜; 8.5% 1-펜탄올; 0.735% 플루오르화수소; 0.765% 물
제제 AD 2 : 99.25% 1,4-부탄디올; 0.3675% 플루오르화수소; 0.3825% 물
제제 AE 2 : 90.0% 에틸렌 글리콜; 9.25% 1-펜탄올; 0.3675% 플루오르화수소; 0.3825% 물
제제 AF 2 : 99.51% 1,4-부탄디올; 0.49% 플루오르화수소
제제 AG 2 : 99.265% 1,4-부탄디올; 0.735% 플루오르화수소
제제 AH 2 : 89.25% 1,4-부탄디올; 10.0% 1-부탄올; 0.3675% 플루오르화수소; 0.3825% 물
제제 AI 2 : 80.0% 1,4-부탄디올; 19.25% 1-부탄올; 0.3675% 플루오르화수소; 0.3825% 물
제제 AJ 2 : 70.0% 1,4-부탄디올; 29.25% 1-부탄올; 0.3675% 플루오르화수소; 0.3825% 물
제제 AK 2 : 60.0% 1,4-부탄디올; 39.25% 1-부탄올; 0.3675% 플루오르화수소; 0.3825% 물
제제 AL 2 : 50.0% 1,4-부탄디올; 49.25% 1-부탄올; 0.3675% 플루오르화수소; 0.3825% 물
제제 AM 2 : 98.875% 1,4-부탄디올; 0.55125% 플루오르화수소; 0.57375% 물
Figure 112008000684381-PCT00009
제제 AY 2 : 79.25% 에탄올; 20% 1-메틸-2-피롤리디논; 0.3675% 플루오르화수소; 0.3825% 물
제제 AZ 2 : 79.25% 에틸렌 글리콜; 20% 디메틸아세트아미드; 0.3675% 플루오르화수소; 0.3825% 물
제제 AA 3 : 99.25% 테트라메틸렌 설폰; 0.3675% 플루오르화수소; 0.3825% 물
제제 AB 3 : 50% 에틸렌 글리콜; 49.25% 테트라메틸렌 설폰; 0.3675% 플루오르화수소; 0.3825% 물
제제 AC 3 : 50% 에틸렌 글리콜; 49.625% 테트라메틸렌 설폰; 0.375% 플루오르화수소
제제 AD 3 : 45% 에틸렌 글리콜; 39.625% 테트라메틸렌 설폰; 15% 트리프로필렌 글리콜 메틸 에테르; 0.375% 플루오르화수소
제제 AE 3 : 45% 에틸렌 글리콜; 34.625% 테트라메틸렌 설폰; 20% 트리프로필렌 글리콜 메틸 에테르; 0.375% 플루오르화수소
제제 AF 3 : 45% 에틸렌 글리콜; 39.625% 테트라메틸렌 설폰; 15% 디프로필렌 글리콜 n-부틸 에테르; 0.375% 플루오르화수소
제제 AG 3 : 45% 에틸렌 글리콜; 39.625% 테트라메틸렌 설폰; 15% 디프로필렌 글리콜 메틸 에테르; 0.375% 플루오르화수소
제제 AH 3 : 70.0% 1,4-부탄디올; 29.25% 1-부탄올; 0.3675% 플루오르화수소; 0.3825% 물
제제 AI 3 : 44.882% 에틸렌 글리콜; 15% 디(프로필렌 글리콜) 부틸 에테르; 39.64% 설폴란; 0.375% HF; 0.1% 폴리쿼터늄 2; 0.003% 테트라메틸암모늄 히드록시드 실리케이트
제제 BA: 0.5% 플루오르화암모늄; 2.58% 말론산; 68.08% 디에틸렌 글리콜; 3% 디메틸디글리콜 아민; 25.64% 물; 0.2% 3-아미노-5~머캅토-1,2,4-트리아졸
제제 BB: 0.5% 플루오르화암모늄; 2.58% 말론산; 68.08% 디에틸렌 글리콜; 3% 디메틸디글리콜 아민; 25.64% 물; 0.2% 4-메틸-2-페닐이미다졸
제제 BC: 0.5% 플루오르화암모늄; 2.58% 말론산; 68.08% 디에틸렌 글리콜; 3% 디메틸디글리콜 아민; 25.64% 물; 0.2% 5-아미노-1,3,4-티아디아졸-2-티올
Figure 112008000684381-PCT00010
제제 BR: 2.0% 플루오르화암모늄; 2.58% 말론산; 89.52% 디에틸렌 글리콜; 3% 디메틸디글리콜 아민; 2.9% 시트르산
제제 BS: 1.0% 플루오르화암모늄; 5.16% 말론산; 87.84% 디에틸렌 글리콜; 3% 디메틸디글리콜 아민; 3% 말레산
제제 BT: 75% 메틸디에탄올아민-플루오르화수소산 염; 25% 물
제제 BU: 67.5% 메틸디에탄올아민-플루오르화수소산 염; 22.5% 물; 10% 디에틸렌글리콜 메틸 에테르
제제 BV: 60% 메틸디에탄올아민-플루오르화수소산 염; 20% 물; 20% 디에틸렌글리콜 메틸 에테르
제제 BW: 67.5% 메틸디에탄올아민-플루오르화수소산 염; 22.5% 물; 10% 이미다졸리돈
제제 BX: 60% 메틸디에탄올아민-플루오르화수소산 염; 20% 물; 20% NMP
제제 BY: 74.85% 메틸디에탄올아민-플루오르화수소산 염; 24.95% 물; 0.2% 3-아미노-5-머캅토-1,2,4-트리아졸
제제 BZ: 74.85% 메틸디에탄올아민-플루오르화수소산 염; 24.95% 물; 0.2% 5-아미노-1,3,4-티아디아졸-2-티올
제제 BA 2 : 74.85% 메틸디에탄올아민-플루오르화수소산 염; 24.95% 물; 0.2% 4-메틸-4H-1,2,4-트리아졸-3-티올
제제 BB 2 : 60% 메틸디에탄올아민-플루오르화수소산 염; 20% 물; 20% 펜타메 틸디에틸렌트리아민
제제 BC 2 : 59.88% 메틸디에탄올아민-플루오르화수소산 염; 19.96% 물; 19.96% NMP; 0.2% 5-아미노-1,3,4-티아디아졸~2-티올
제제 BD 2 : 59.88% 메틸디에탄올아민-플루오르화수소산 염; 19.96% 물; 19.96% NMP; 0.2% 4-메틸-4H-1,2,4-트리아졸-3-티올
제제 BE 2 : 37.5% 메틸디에탄올아민-플루오르화수소산 염; 18.75% 트리에탄올아민-플루오르화수소산 염; 22.1% 히드록시에틸모르폴린-플루오르화수소산 염; 21.65% 물
제제 BF 2 : 60% 메틸디에탄올아민-플루오르화수소산 염; 7.5% 트리에탄올아민-플루오르화수소산 염; 8.84% 히드록시에틸모르폴린-플루오르화수소산 염; 23.66% 물
제제 BG 2 : 45% 메틸디에탄올아민-플루오르화수소산 염; 29.88% 메틸디에탄올아민-인산 염; 25.12% 물
제제 BH 2 : 40.55% 메틸디에탄올아민-플루오르화수소산 염; 26.89% 메틸디에탄올아민-인산 염; 10% 디메틸아세트아미드; 22.6% 물
제제 BI 2 : 40.55% 메틸디에탄올아민-플루오르화수소산 염; 26.89% 메틸디에탄올아민-인산 염; 10% NMP; 22.6% 물
제제 BJ 2 : 40.55% 메틸디에탄올아민-플루오르화수소산 염; 26.89% 메틸디에탄올아민-인산 염; 10% DMSO; 22.6% 물.
KR1020087000228A 2005-06-07 2006-06-07 금속 및 유전체 상용성 희생 반사 방지 코팅 세정 및 제거조성물 KR101332501B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US68820405P 2005-06-07 2005-06-07
US60/688,204 2005-06-07
PCT/US2006/022049 WO2006133253A1 (en) 2005-06-07 2006-06-07 Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020137010705A Division KR101431406B1 (ko) 2005-06-07 2006-06-07 금속 및 유전체 상용성 희생 반사 방지 코팅 세정 및 제거 조성물

Publications (2)

Publication Number Publication Date
KR20080019049A true KR20080019049A (ko) 2008-02-29
KR101332501B1 KR101332501B1 (ko) 2013-11-27

Family

ID=37498777

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020137033788A KR101477455B1 (ko) 2005-06-07 2006-06-07 금속 및 유전체 상용성 희생 반사 방지 코팅 세정 및 제거 조성물
KR1020137010705A KR101431406B1 (ko) 2005-06-07 2006-06-07 금속 및 유전체 상용성 희생 반사 방지 코팅 세정 및 제거 조성물
KR1020087000228A KR101332501B1 (ko) 2005-06-07 2006-06-07 금속 및 유전체 상용성 희생 반사 방지 코팅 세정 및 제거조성물

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020137033788A KR101477455B1 (ko) 2005-06-07 2006-06-07 금속 및 유전체 상용성 희생 반사 방지 코팅 세정 및 제거 조성물
KR1020137010705A KR101431406B1 (ko) 2005-06-07 2006-06-07 금속 및 유전체 상용성 희생 반사 방지 코팅 세정 및 제거 조성물

Country Status (9)

Country Link
US (2) US8951948B2 (ko)
EP (2) EP2759881A1 (ko)
JP (1) JP2008546036A (ko)
KR (3) KR101477455B1 (ko)
CN (2) CN102981377B (ko)
IL (1) IL187956A0 (ko)
SG (2) SG10201504423QA (ko)
TW (3) TWI516574B (ko)
WO (1) WO2006133253A1 (ko)

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102981377B (zh) 2005-06-07 2014-11-12 高级技术材料公司 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
TW200709294A (en) * 2005-06-13 2007-03-01 Advanced Tech Materials Compositions and methods for selective removal of metal or metal alloy after metal silicide formation
TWI339780B (en) * 2005-07-28 2011-04-01 Rohm & Haas Elect Mat Stripper
WO2007044447A2 (en) * 2005-10-05 2007-04-19 Advanced Technology Materials, Inc. Composition and method for selectively etching gate spacer oxide material
US7922824B2 (en) 2005-10-05 2011-04-12 Advanced Technology Materials, Inc. Oxidizing aqueous cleaner for the removal of post-etch residues
US20090301996A1 (en) * 2005-11-08 2009-12-10 Advanced Technology Materials, Inc. Formulations for removing cooper-containing post-etch residue from microelectronic devices
US7960328B2 (en) 2005-11-09 2011-06-14 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
WO2008080097A2 (en) * 2006-12-21 2008-07-03 Advanced Technology Materials, Inc. Liquid cleaner for the removal of post-etch residues
TWI516573B (zh) * 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
TWI443191B (zh) * 2007-08-08 2014-07-01 Arakawa Chem Ind Lead-free solder flux removal detergent composition and lead-free solder flux removal method
TWI460557B (zh) * 2008-03-07 2014-11-11 Wako Pure Chem Ind Ltd 半導體表面用處理劑組成物及使用半導體表面用處理劑組成物之半導體表面處理方法
EP2268765A4 (en) * 2008-03-07 2011-10-26 Advanced Tech Materials UNSELECTIVE OXIDIZE WET CLEANING AGENT AND USE
CN102197124B (zh) * 2008-10-21 2013-12-18 高级技术材料公司 铜清洁及保护调配物
JP5498768B2 (ja) * 2009-12-02 2014-05-21 東京応化工業株式会社 リソグラフィー用洗浄液及び配線形成方法
JP2013533631A (ja) 2010-07-16 2013-08-22 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド エッチング後残渣を除去するための水性洗浄剤
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
TWI558818B (zh) 2010-08-20 2016-11-21 恩特葛瑞斯股份有限公司 從電子廢棄物再生貴金屬和卑金屬之永續製程
KR101827031B1 (ko) 2010-10-06 2018-02-07 엔테그리스, 아이엔씨. 질화 금속을 선택적으로 에칭하기 위한 조성물 및 방법
SG189371A1 (en) * 2010-12-16 2013-05-31 Kyzen Corp Cleaning agent for removal of soldering flux
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
CN103975052B (zh) 2011-10-05 2016-11-09 安万托特性材料股份有限公司 具有铜/唑类聚合物抑制作用的微电子衬底清洁组合物
US9546321B2 (en) 2011-12-28 2017-01-17 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
KR102105381B1 (ko) 2012-02-15 2020-04-29 엔테그리스, 아이엔씨. 조성물을 이용한 cmp-후 제거 방법 및 그의 이용 방법
KR20150016574A (ko) 2012-05-18 2015-02-12 인티그리스, 인코포레이티드 티타늄 나이트라이드를 포함한 표면에서 포토레지스트를 제거하는 조성물 및 방법
US9536730B2 (en) * 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
CN105102584B (zh) 2013-03-04 2018-09-21 恩特格里斯公司 用于选择性蚀刻氮化钛的组合物和方法
US9245751B2 (en) 2013-03-12 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-reflective layer and method
US9256128B2 (en) 2013-03-12 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing semiconductor device
US9543147B2 (en) 2013-03-12 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist and method of manufacture
US9502231B2 (en) 2013-03-12 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist layer and method
CN104102028A (zh) * 2013-04-10 2014-10-15 第一毛织株式会社 表面处理氧化铟锌基板的有机溶液及显示基板制备方法
KR102338550B1 (ko) 2013-06-06 2021-12-14 엔테그리스, 아이엔씨. 질화 티타늄의 선택적인 에칭을 위한 조성물 및 방법
KR102338526B1 (ko) 2013-07-31 2021-12-14 엔테그리스, 아이엔씨. Cu/W 호환성을 갖는, 금속 하드 마스크 및 에칭-후 잔여물을 제거하기 위한 수성 제형
CN105492576B (zh) 2013-08-30 2019-01-04 恩特格里斯公司 选择性蚀刻氮化钛的组合物和方法
TWI654340B (zh) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
TWI662379B (zh) 2013-12-20 2019-06-11 美商恩特葛瑞斯股份有限公司 移除離子植入抗蝕劑之非氧化強酸類之用途
KR20150077076A (ko) 2013-12-27 2015-07-07 삼성디스플레이 주식회사 표시 패널, 이를 포함하는 표시 장치 및 이의 제조 방법
WO2015103146A1 (en) 2013-12-31 2015-07-09 Advanced Technology Materials, Inc. Formulations to selectively etch silicon and germanium
US20160340620A1 (en) 2014-01-29 2016-11-24 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
CN107004575A (zh) * 2014-06-04 2017-08-01 恩特格里斯公司 具有金属、电介质及氮化物兼容性的抗反射涂层清洗及蚀刻后残留物去除组成物
US20170200601A1 (en) * 2014-06-30 2017-07-13 Entegris, Inc. Aqueous and semi-aqueous cleaners for the removal of post-etch residues with tungsten and cobalt compatibility
JP6849595B2 (ja) * 2014-12-22 2021-03-24 ビーエイエスエフ・ソシエタス・エウロパエアBasf Se コバルト及び/又はコバルト合金含有の基板の研磨のための化学機械研磨(cmp)組成物の使用
JP6504911B2 (ja) * 2015-05-19 2019-04-24 キヤノン株式会社 液体吐出ヘッドの製造方法
TWI819694B (zh) * 2015-07-14 2023-10-21 美商富士軟片電子材料美國股份有限公司 清潔組成物及其使用方法
KR102384908B1 (ko) * 2015-11-25 2022-04-08 삼성전자주식회사 자성 패턴 세정 조성물, 자성 패턴 형성 방법 및 자기 메모리 장치의 제조 방법
TW201802238A (zh) * 2016-03-24 2018-01-16 艾萬拓有限責任公司 與鎢相容且具金屬氮化物選擇性之非水性蝕刻劑及清潔劑
MX2018013643A (es) * 2016-05-10 2019-04-01 Atotech Deutschland Gmbh Composicion de desprendimiento no acuosa y metodo de desprendimiento de un recubrimiento organico de un sustrato.
KR102160019B1 (ko) * 2016-09-29 2020-09-28 후지필름 가부시키가이샤 처리액 및 적층체의 처리 방법
JP2020504460A (ja) * 2017-01-18 2020-02-06 インテグリス・インコーポレーテッド セリア粒子を表面から除去するための組成物及び方法
WO2019040394A1 (en) * 2017-08-22 2019-02-28 Fujifilm Electronic Materials U.S.A., Inc. CLEANING COMPOSITIONS
US20190103282A1 (en) * 2017-09-29 2019-04-04 Versum Materials Us, Llc Etching Solution for Simultaneously Removing Silicon and Silicon-Germanium Alloy From a Silicon-Germanium/Silicon Stack During Manufacture of a Semiconductor Device
JP7137586B2 (ja) * 2018-02-05 2022-09-14 富士フイルム株式会社 処理液、及び、処理方法
CA3039238A1 (en) * 2019-04-05 2020-10-05 Fluid Energy Group Ltd. Novel inhibited hydrofluoric acid composition
CN110714213A (zh) * 2019-10-31 2020-01-21 武汉奥邦表面技术有限公司 一种无氰碱性亚铜电镀铜络合剂
CN113430065A (zh) * 2020-03-23 2021-09-24 上海新阳半导体材料股份有限公司 抗反射涂层清洗及刻蚀后残留物去除组合物、制备方法及用途
CA3083522A1 (en) * 2020-06-12 2021-12-12 Fluid Energy Group Ltd. Process to manufacture novel inhibited hydrofluoric acid composition
CN112859552B (zh) * 2021-02-04 2024-01-05 上海新阳半导体材料股份有限公司 一种氧化钒缓蚀含氟剥离液的应用
CN115466651A (zh) * 2022-10-09 2022-12-13 福建省腾达洁环保工程有限公司 一种电力电子设备带电清洗剂及其制备方法

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4343677A (en) 1981-03-23 1982-08-10 Bell Telephone Laboratories, Incorporated Method for patterning films using reactive ion etching thereof
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
JP3074634B2 (ja) 1994-03-28 2000-08-07 三菱瓦斯化学株式会社 フォトレジスト用剥離液及び配線パターンの形成方法
US5571447A (en) * 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
JP3236220B2 (ja) 1995-11-13 2001-12-10 東京応化工業株式会社 レジスト用剥離液組成物
US5855811A (en) * 1996-10-03 1999-01-05 Micron Technology, Inc. Cleaning composition containing tetraalkylammonium salt and use thereof in semiconductor fabrication
US5698503A (en) * 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
US6755989B2 (en) * 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6224785B1 (en) * 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
KR100234541B1 (ko) * 1997-03-07 1999-12-15 윤종용 반도체장치 제조용 웨이퍼의 세정을 위한 세정조성물 및 그를 이용한 세정방법
JPH1167632A (ja) 1997-08-18 1999-03-09 Mitsubishi Gas Chem Co Inc 半導体装置用洗浄剤
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
JPH11323394A (ja) 1998-05-14 1999-11-26 Texas Instr Japan Ltd 半導体素子製造用洗浄剤及びそれを用いた半導体素子の製造方法
US6306807B1 (en) 1998-05-18 2001-10-23 Advanced Technology Materials, Inc. Boric acid containing compositions for stripping residues from semiconductor substrates
US7547669B2 (en) 1998-07-06 2009-06-16 Ekc Technology, Inc. Remover compositions for dual damascene system
US6395194B1 (en) 1998-12-18 2002-05-28 Intersurface Dynamics Inc. Chemical mechanical polishing compositions, and process for the CMP removal of iridium thin using same
JP4224652B2 (ja) 1999-03-08 2009-02-18 三菱瓦斯化学株式会社 レジスト剥離液およびそれを用いたレジストの剥離方法
WO2000064828A1 (fr) * 1999-04-27 2000-11-02 Hiroshi Miwa Composition de gravure du verre et procede de givrage utilisant cette composition
US6248704B1 (en) 1999-05-03 2001-06-19 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductors devices
US6268457B1 (en) 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
US6329118B1 (en) 1999-06-21 2001-12-11 Intel Corporation Method for patterning dual damascene interconnects using a sacrificial light absorbing material
US6235693B1 (en) 1999-07-16 2001-05-22 Ekc Technology, Inc. Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
JP3389166B2 (ja) 1999-09-10 2003-03-24 日本電気株式会社 レジスト用剥離液組成物
US6492308B1 (en) 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6723691B2 (en) 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
TWI288777B (en) * 2000-04-26 2007-10-21 Daikin Ind Ltd Detergent composition
KR20010113396A (ko) * 2000-06-19 2001-12-28 주식회사 동진쎄미켐 암모늄 플로라이드를 함유하는 포토레지스트 리무버 조성물
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
WO2002053508A1 (fr) * 2000-12-27 2002-07-11 Hiroshi Miwa Procede de preparation de verre decoratif au moyen d'une composition de gravure sur verre
US6627587B2 (en) * 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US6645867B2 (en) 2001-05-24 2003-11-11 International Business Machines Corporation Structure and method to preserve STI during etching
US20030022800A1 (en) 2001-06-14 2003-01-30 Peters Darryl W. Aqueous buffered fluoride-containing etch residue removers and cleaners
MY143399A (en) 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
TWI297102B (en) 2001-08-03 2008-05-21 Nec Electronics Corp Removing composition
US20030171239A1 (en) * 2002-01-28 2003-09-11 Patel Bakul P. Methods and compositions for chemically treating a substrate using foam technology
US6773873B2 (en) * 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US7419945B2 (en) * 2002-06-07 2008-09-02 Mallinckrodt Baker, Inc. Microelectronic cleaning compositions containing oxidizers and organic solvents
WO2003104901A2 (en) * 2002-06-07 2003-12-18 Mallinckrodt Baker Inc. Microelectronic cleaning and arc remover compositions
JP2004029276A (ja) * 2002-06-25 2004-01-29 Mitsubishi Gas Chem Co Inc 銅配線基板向け含フッ素レジスト剥離液
US20040050406A1 (en) 2002-07-17 2004-03-18 Akshey Sehgal Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
KR20050024432A (ko) * 2002-07-23 2005-03-10 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 희생 항반사 물질의 웨트 스트리핑 제거를 위한 조성물 및방법
US6849200B2 (en) * 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
EP1536291A4 (en) * 2002-08-22 2008-08-06 Daikin Ind Ltd REMOVING SOLUTION
US7267727B2 (en) * 2002-09-24 2007-09-11 Air Products And Chemicals, Inc. Processing of semiconductor components with dense processing fluids and ultrasonic energy
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
WO2004094581A1 (en) * 2003-04-18 2004-11-04 Ekc Technology, Inc. Aqueous fluoride compositions for cleaning semiconductor devices
US7309448B2 (en) 2003-08-08 2007-12-18 Applied Materials, Inc. Selective etch process of a sacrificial light absorbing material (SLAM) over a dielectric material
US20050079803A1 (en) * 2003-10-10 2005-04-14 Siddiqui Junaid Ahmed Chemical-mechanical planarization composition having PVNO and associated method for use
CA2590325A1 (en) 2003-12-02 2005-06-23 Advanced Technology Materials, Inc. Resist, barc and gap fill material stripping chemical and method
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US7122484B2 (en) 2004-04-28 2006-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Process for removing organic materials during formation of a metal interconnect
US7497959B2 (en) 2004-05-11 2009-03-03 International Business Machines Corporation Methods and structures for protecting one area while processing another area on a chip
US8030263B2 (en) * 2004-07-01 2011-10-04 Air Products And Chemicals, Inc. Composition for stripping and cleaning and use thereof
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060255315A1 (en) * 2004-11-19 2006-11-16 Yellowaga Deborah L Selective removal chemistries for semiconductor applications, methods of production and uses thereof
EP1824945A4 (en) * 2004-11-19 2008-08-06 Honeywell Int Inc CHEMICALS FOR SELECTIVE REMOVAL FOR SEMICONDUCTOR APPLICATIONS, METHODS OF MANUFACTURE AND IDOINE USES
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7923423B2 (en) 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
US7365045B2 (en) 2005-03-30 2008-04-29 Advanced Tehnology Materials, Inc. Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
CN102981377B (zh) 2005-06-07 2014-11-12 高级技术材料公司 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
US20070179072A1 (en) * 2006-01-30 2007-08-02 Rao Madhukar B Cleaning formulations
SG175559A1 (en) * 2006-09-25 2011-11-28 Advanced Tech Materials Compositions and methods for the removal of photoresist for a wafer rework application

Also Published As

Publication number Publication date
US20080242574A1 (en) 2008-10-02
CN101233456B (zh) 2013-01-02
CN102981377B (zh) 2014-11-12
CN102981377A (zh) 2013-03-20
SG162757A1 (en) 2010-07-29
TW201336973A (zh) 2013-09-16
EP1891482A4 (en) 2013-01-09
TWI408212B (zh) 2013-09-11
KR101332501B1 (ko) 2013-11-27
TWI516574B (zh) 2016-01-11
WO2006133253A1 (en) 2006-12-14
TWI622639B (zh) 2018-05-01
EP1891482A1 (en) 2008-02-27
US9422513B2 (en) 2016-08-23
TW201610104A (zh) 2016-03-16
JP2008546036A (ja) 2008-12-18
TW200708597A (en) 2007-03-01
EP2759881A1 (en) 2014-07-30
SG10201504423QA (en) 2015-07-30
KR101477455B1 (ko) 2014-12-29
CN101233456A (zh) 2008-07-30
US8951948B2 (en) 2015-02-10
IL187956A0 (en) 2008-03-20
EP1891482B1 (en) 2014-04-30
KR20130069825A (ko) 2013-06-26
KR20140007020A (ko) 2014-01-16
US20150094248A1 (en) 2015-04-02
KR101431406B1 (ko) 2014-08-18

Similar Documents

Publication Publication Date Title
KR101332501B1 (ko) 금속 및 유전체 상용성 희생 반사 방지 코팅 세정 및 제거조성물
KR101444468B1 (ko) 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
US8058219B2 (en) Metals compatible post-etch photoresist remover and/or sacrificial antireflective coating etchant
US10460954B2 (en) Anti-reflective coating cleaning and post-etch residue removal composition having metal, dielectric and nitride compatibility
KR101449774B1 (ko) 에칭 후 잔류물의 제거를 위한 액체 세정제
US6825156B2 (en) Semiconductor process residue removal composition and process
US7674755B2 (en) Formulation for removal of photoresist, etch residue and BARC
KR20170027787A (ko) 텅스텐 및 코발트 상용성을 갖는 에치후 잔류물을 제거하기 위한 수성 및 반-수성 세정제
JP2007519942A (ja) レジスト、barc、およびギャップフィル材料を剥離する化学物質ならびに方法
EP1610185A2 (en) Composition and method using same for removing residue from a substrate

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161025

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20171025

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20181112

Year of fee payment: 6