CN102981377A - 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物 - Google Patents

金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物 Download PDF

Info

Publication number
CN102981377A
CN102981377A CN2012104528421A CN201210452842A CN102981377A CN 102981377 A CN102981377 A CN 102981377A CN 2012104528421 A CN2012104528421 A CN 2012104528421A CN 201210452842 A CN201210452842 A CN 201210452842A CN 102981377 A CN102981377 A CN 102981377A
Authority
CN
China
Prior art keywords
composition
glycol
liquid
ether
acid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2012104528421A
Other languages
English (en)
Other versions
CN102981377B (zh
Inventor
梅利莎·K·拉斯
大卫·D·伯恩哈德
大卫·W·明赛克
托马斯·H·鲍姆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Advanced Technology Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials Inc filed Critical Advanced Technology Materials Inc
Publication of CN102981377A publication Critical patent/CN102981377A/zh
Application granted granted Critical
Publication of CN102981377B publication Critical patent/CN102981377B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/261Alcohols; Phenols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/263Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/28Organic compounds containing halogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Weting (AREA)

Abstract

本发明公开了金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物。所述清洗及去除组合物包括至少一种含氟化合物、至少一种有机溶剂、任选的水和任选的至少一种螯合剂。所述组合物在集成电路的制造中实现了SARC材料的至少部分的去除,而在基板上的例如铝、铜和钴合金的金属物质的蚀刻最少,并且不破坏在半导体结构中所使用的低-k介电材料。

Description

金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
本申请是申请日为2006年6月7日、申请号为200680028153.0的中国国家专利申请的分案申请。
技术领域
本发明涉及适用于硅酸盐剥离的液体去除组合物及方法,所述硅酸盐剥离为例如从具有在其上沉积的牺牲性抗反射硅酸盐材料和/或蚀刻后残留物的微电子器件上的所述材料的液体去除,特别是当所述牺牲性抗反射硅酸盐材料与之下的硅酸盐材料和例如铝、铜和钴合金的互连金属一起存在时,理想的是所述互连金属不会受到所述液体去除组合物的影响。
背景技术
目前,存在四种在光刻工业中使用的辐射波长——436nm、365nm、248nm和193nm——近期努力的重点则集中于157nm光刻的工艺上。从理论上讲,随着每次的波长减小,可以在半导体芯片上构造出更小的图形。然而,由于微电子器件基板的反射率与光刻波长成反比,干涉及不均匀曝光的光致抗蚀剂已限制了微电子器件临界尺寸的一致性。
例如,当曝光于DUV辐射时,公知的是,光致抗蚀剂的透射率与基板对DUV波长的高反射率的结合将导致DUV辐射被反射回到光致抗蚀剂中,从而在光致抗蚀剂层中产生驻波。该驻波引发在光致抗蚀剂中进一步的光化学反应,造成光致抗蚀剂的不均匀曝光,其中包括不打算暴露于辐射的掩蔽部分,这导致线宽、间距以及其它临界尺寸的变化。
为了解决透射率和反射率的问题,已经开发出二层和三层的光致抗蚀剂、底部抗反射涂层(BARC)以及牺牲性抗反射涂层(SARC);在施加光致抗蚀剂之前将这些涂层施加到基板上。所有这些抗反射涂层都对在典型的双镶嵌集成中所遇到的晶片表面具有平坦化效果,并且均将UV发色团并入到吸收入射UV辐射的旋涂式聚合物基体中。
当与基于SiOC的电介质一起使用时,SARC具有两个重要的优点:SARC是基于TEOS的,并且因而蚀刻速率与SiOC电介质相同,这使得蚀刻的均匀性及控制性要大得多,从而沟槽蚀刻中止可以被消除及,通孔蚀刻中止的厚度的减少可达50%;并且蚀刻的SARC可以用液体去除组合物除去,因为相对于蚀刻的光致抗蚀剂和蚀刻的BARC而言,蚀刻的SARC中的蚀刻后交联没有显着增加。
已证明从微电子器件晶片上清洗去除SARC材料是困难的和/或昂贵的。若不去除的话,所述层可能干扰后续的硅化或触点的形成。通常情况下,所述层通过氧化或还原性等离子体灰化或湿法清洗而被去除。然而,基板暴露于氧化或还原性等离子体蚀刻的等离子体灰化可能会通过改变图形的形状和尺寸或通过增大介电常数而导致对介电材料的破坏。当低-k介电材料,例如有机硅酸盐玻璃(OSG)或碳掺杂氧化物玻璃是所述的之下的介电材料时,后者的问题更为突出。因此,常常希望能避免使用等离子体灰化去除SARC层。
当在后端工序(BEOL)应用中使用清洗剂/蚀刻剂组合物处理铝、铜、钴合金或者被低容抗(低-k)绝缘材料或电介质分开的其它互连金属或互联阻挡物时,重要的是用于去除SARC的组合物具有良好的金属相容性,例如对铜、铝、钴等的蚀刻速率低,以及所述之下的硅酸盐材料不受清洗组合物的影响,水基去除溶液是优选的,因为其处理的技术更简单,然而已知的是,水基去除溶液能蚀刻或腐蚀所述金属互连材料。
因此,本领域中需要一种去除组合物,其具有低水含量,其可以完全和有效地从微电子器件的表面上去除SARC层,同时最大限度地减少对共存的介电材料和/或互连金属的破坏。
发明内容
本发明涉及适用于从具有在其上沉积的牺牲性抗反射硅酸盐材料的微电子器件表面上去除所述材料的液体去除组合物及方法,特别是当所述牺牲性抗反射硅酸盐材料与之下的硅酸盐材料和互连金属一起存在时,理想的是后者不会受到液体去除组合物的影响。具体而言,本发明涉及与铝、铜和钴合金相容的SARC去除组合物。
一方面,本发明涉及一种液体去除组合物,其包含至少一种含氟化合物、至少一种有机溶剂、任选的水和任选的至少一种螯合剂,其中所述液体去除组合物适用于从其上具有牺牲性抗反射涂层(SARC)材料和/或蚀刻后残留物的微电子器件上去除这些材料和/或残留物。
另一方面,本发明涉及一种试剂盒,其在一个或多个容器中包含液体去除组合物的试剂,其中所述液体去除组合物包含至少一种含氟化合物、至少一种有机溶剂、任选的水和任选的至少一种螯合剂,并且其中所述试剂盒适于形成适用于从其上具有SARC材料和/或蚀刻后残留物的微电子器件上去除所述材料和/或残留物的液体去除组合物。
在进一步的一个方面中,本发明涉及一种从其上具有SARC材料和/或蚀刻后残留物的微电子器件上去除所述材料和残留物的方法,所述方法包括使所述微电子器件与液体去除组合物接触足够的时间,从而至少部分地从所述微电子器件上去除所述材料和残留物,其中所述液体去除组合物包含至少一种含氟化合物、至少一种有机溶剂、任选的水和任选的至少一种螯合剂。
在又一方面中,本发明涉及一种液体去除组合物,其包含至少一种含氟化合物、任选的至少一种有机溶剂、任选的酸碱缓冲剂、任选的至少一种螯合剂/钝化剂和任选的水,其中所述液体去除组合物适用于从其上具有牺牲性抗反射涂层(SARC)材料的微电子器件上去除这些材料和残留物。
另一方面,本发明涉及一种试剂盒,其在一个或多个容器中包含液体去除组合物的试剂,其中所述液体去除组合物包含至少一种含氟化合物、任选的至少一种有机溶剂、任选的酸碱缓冲剂、任选的至少一种螯合剂/钝化剂和任选的水,并且其中所述试剂盒适于形成适用于从其上具有SARC材料的微电子器件上去除所述材料的液体去除组合物。
在进一步的方面中,本发明涉及一种从其上具有SARC材料的微电子器件上去除所述材料的方法,所述方法包括使所述微电子器件与液体去除组合物接触足够的时间,从而至少部分地从所述微电子器件上去除所述材料,其中所述液体去除组合物包含至少一种含氟化合物、任选的至少一种有机溶剂、任选的酸碱缓冲剂、任选的至少一种螯合剂/钝化剂和任选的水。
另一方面,本发明涉及微电子器件的制造方法,所述方法包括使所述微电子器件与液体去除组合物接触足够的时间,从而至少部分地从其上具有SARC材料和/或蚀刻后残留物的微电子器件上去除所述材料和/或残留物,其中所述液体去除组合物包括至少一种含氟化合物、至少一种有机溶剂、任选的水和任选的至少一种螯合剂。
本发明的又一方面涉及改进的微电子器件和结合了这种器件的产品,它们是通过采用本发明的方法制造的,本发明的方法包括至少部分地从其上具有SARC和蚀刻后残留物的微电子器件上去除所述材料,使用本文中所述的方法和/或组合物,和任选将所述微电子器件并入到产品中。
本发明的其它方面、特征和实施方案将从随后的公开内容和所附的权利要求而更加显而易见。
发明详述及最佳实施方式
本发明设想的液体去除组合物适用于从其上具有牺牲性抗反射涂层(SARC)材料和/或蚀刻后残留物的微电子器件表面上去除所述材料。
为便于参考,“微电子器件”对应于为微电子、集成电路或计算机芯片应用制造的半导体基板、平板显示器和微机电系统(MEMS)。应所述理解的是,术语“微电子器件”不具有任何方式的限制意义,并包括最终将成为微电子器件或微电子组件的任何基板。
如本文中定义的,“低-k介电材料”对应于在层状微电子器件中用作介电材料的任何材料,其中所述材料具有的介电常数小于约3.5。优选的是,所述低-k介电材料包括低极性材料,例如含硅有机聚合物、含硅混合有机/无机材料、有机硅酸盐玻璃(OSG)、TEOS、氟化硅酸盐玻璃(FSG)、二氧化硅和碳掺杂氧化物(CDO)玻璃。应理解的是,所述低-k介电材料可以具有不同的密度和不同的孔隙度。
如本文中所用的,“约”意指对应于所提及的值的±5%。
如本文中所用的,从其上具有SARC材料和/或蚀刻后残留物的微电子器件上去除所述材料的“适合性”对应于至少部分地从所述微电子器件上去除所述SARC和/或蚀刻后残留物材料。优选的是,采用本发明的组合物从所述微电子器件上去除至少约90%、更优选至少95%、最优选至少99%的所述材料。
如本文中所用的,“蚀刻后残留物”对应于在例如BEOL双镶嵌处理的气相等离子体蚀刻工艺之后残留的材料。所述蚀刻后残留物在本质上可以是有机的、有机金属的、有机硅的或无机的,例如为含硅材料、碳基有机材料以及包括但不限于氯和氟的蚀刻气体残留物。
如本文中所定义的,“SARC材料”对应于二层和三层的光致抗蚀剂、底部抗反射涂层(BARC)以及牺牲性抗反射涂层(SARC),并且在本质上可以是有机的和/或无机的。此外,SARC材料可以包括SARC层和/或包含SARC的残留物。
如下文中更详细描述的那样,本发明的组合物可以具体表现为多种具体的制剂。
在所有的此类组合物中,其中是按照包括零下限的重量百分比范围讨论组合物的具体组分,应该理解的是,在所述组合物的各种具体实施方案中,这种组分可以存在也可以不存在,并且在这些组分存在的情况下,它们的存在浓度可以是基于使用这些组分的组合物总重量计的低至0.001重量百分比。
实施方案A
一方面,本发明涉及适用于从微电子器件上去除SARC层和/或蚀刻后残留物的液体去除组合物。在广泛描述本发明的下文中所具体提及的SARC层旨在提供本发明的说明性实施例,并且不意味着具有任何方式的限制意义。实施方案A的制剂包括基于所述组合物总重量计的按以下范围存在的至少一种含氟化合物、至少一种有机溶剂、任选的水和任选的至少一种螯合剂/钝化剂:
Figure BDA00002394968100061
在本发明的广泛实践中,实施方案A的液体去除组合物可以包含至少一种含氟化合物、至少一种有机溶剂、任选的水和任选的至少一种螯合剂/钝化剂,或者可以由上述物质组成,或基本上由上述物质组成。一般而言,含氟化合物、有机溶剂、任选的水和任选的螯合剂/钝化剂彼此之间的具体比例和量可以作适当的改动,从而使所述液体组合物对SARC层物质和/或处理设备提供理想的去除效果,如本领域技术人员无需进行过多的努力而可以容易地确定的。
有机溶剂相对于含氟化合物的摩尔比范围是约1:1至约120:1,优选为约20:1至约80:1,和最优选为约30:1至约65:1;有机溶剂相对于水(当存在时)的摩尔比范围是约1:1至约150:1,优选为约20:1至约80:1,最优选为约30:1至约60:1;和有机溶剂相对于螯合剂(当存在时)的摩尔比范围是约1:1至约300:1。
实施方案A的组合物优选的pH值范围是约1至约5,更优选低于约4。
这种组合物可任选包括另外的组分,包括活性及非活性成分,例如表面活性剂、稳定剂、分散剂、抗氧化剂、渗透剂、助剂、添加剂、填充剂、赋形剂等。此外,本文中还设想的是,可以将实施方案A的组合物配制成泡沫、雾剂、亚临界或超临界流体。例如,可以将实施方案A的组合物加入到例如二氧化碳的超临界流体中,比例从约100份SCF对1份的实施方案A的制剂物到约6:1,优选为约20:1。
优选的是,实施方案A的清洗组合物包括以下组分:
Figure BDA00002394968100071
其中所述组分的百分比为重量百分比,基于所述组合物的计,并且其中所述组合物的这种组分的重量百分比总计不超过100重量%。在特别优选的实施方案中,水的存在量为约0.01wt.%至约1wt.%,是去离子化及非臭氧化的,并且被添加到所述组合物中,或者残存于其它组分之一当中。此外,优选的是,所述组合物中基本上不含有胆碱类化合物和例如过氧化氢的氧化剂。
需要有氟离子源,因为氟的存在对于在酸性溶液中腐蚀硅酸盐材料是必需的。含氟化合物的合适来源包括但不限于氟化氢、氟化铵和三乙醇胺氢氟酸盐。或者,可以使用二氟化物盐,包括氟化氢铵((NH4)HF2)和四烷基氟化氢铵((R)4NHF2,其中R为甲基、乙基、丙基、丁基、苯基、苄基或氟化的C1-C4烷基)。本文中还可设想两种或更多种氟化物物质的组合。在优选的实施方案中,所述含氟化合物包括氟化氢。值得注意的是,氟化氢的运输中通常带有残余水量,因此即使之后不故意添加水,在所述去除组合物中也可能有水的存在。或者,可以使用气态的无水氟化氢,这样在所述制剂中仅存的水为来自所述溶剂的微量水。包含无水氟化氢的制剂典型地显示出比含水的制剂更好的金属及电介质相容性。
所述有机溶剂物质作为溶剂,并且帮助可能存在于SARC和/或蚀刻后残留物中的有机残留物的溶解。这种组合物的合适溶剂物质包括但不限于:四氢噻吩砜;直链或支链的C1-C6醇,包括但不限于甲醇、乙醇、1-丙醇、2-丙醇、1-丁醇、2-丁醇、叔丁醇、1-戊醇和己醇;二醇类,例如乙二醇、丙二醇(1,2-丙二醇)、四亚甲基二醇(1,4-丁二醇)和新戊二醇;或二醇醚,例如二乙二醇单甲醚、三乙二醇单甲醚、二乙二醇单乙醚、三乙二醇单乙醚、乙二醇单丙醚、乙二醇单丁醚、二乙二醇单丁醚、三乙二醇单丁醚、丙二醇甲醚、二丙二醇甲醚、三丙二醇甲醚、丙二醇正丙醚、二丙二醇正丙醚、三丙二醇正丙醚、丙二醇正丁醚、二丙二醇正丁醚和三丙二醇正丁醚。其它适用的溶剂为典型的极性溶剂,如二甲基乙酰胺、甲酰胺、二甲基甲酰胺、1-甲基-2-吡咯烷酮、二甲亚砜以及其它的极性溶剂。本文中还可设想两种或更多种溶剂物质的组合。对于多孔性低-k介电材料,所述有机溶剂物质优选包括1-丁醇和1,4-丁二醇。对于致密电介质最优选的清洗溶液为二醇、极性溶剂和二醇醚的组合,更优选为乙二醇、四氢噻吩砜和三丙二醇甲醚或者为乙二醇、四氢噻吩砜和二丙二醇正丁醚。
可以加入螯合剂以减少对下层中例如铜和/或钴的金属的侵蚀。在这种组合物中的螯合剂/钝化剂可以为任何适当的类型,并且可以包括但不限于:三唑,例如1,2,4-三唑,或用例如C1-C8烷基、氨基、硫醇、巯基、亚氨基、羧基及硝基的取代基取代的三唑,例如苯并三唑、甲苯基三唑、5-苯基-苯并三唑、5-硝基-苯并三唑、3-氨基-5-巯基-1,2,4-三唑、1-氨基-1,2,4-三唑、羟基苯并三唑、2-(5-氨基-戊基)-苯并三唑、1-氨基-1,2,3-三唑、1-氨基-5-甲基-1,2,3-三唑、3-氨基-1,2,4-三唑、3-巯基-1,2,4-三唑、3-异丙基-1,2,4-三唑、5-苯硫基-苯并三唑、卤代苯并三唑(卤=F、Cl、Br或I)、萘并三唑等,以及噻唑、四唑、咪唑、磷酸酯、硫醇和吖嗪,例如2-巯基苯并咪唑、2-巯基苯并噻唑、4-甲基-2-苯基咪唑、2-巯基噻唑啉、5-氨基四唑、5-氨基-1,3,4-噻二唑-2-硫醇、2,4-二氨基-6-甲基-1,3,5-三嗪、噻唑、三嗪、甲基四唑、1,3-二甲基-2-咪唑啉酮、1,5-五亚甲基四唑、1-苯基-5-巯基四唑、二氨甲基三嗪、巯基苯并噻唑、咪唑啉硫酮、巯基苯并咪唑、4-甲基-4H-1,2,4-三唑-3-硫醇、5-氨基-1,3,4-噻二唑-2-硫醇、苯并噻唑、磷酸三甲苯酯、苯并异二唑(indiazole)等。合适的螯合剂物质还包括甘油、氨基酸、羧酸、醇、酰胺和喹啉,例如鸟嘌呤、腺嘌呤、甘油、硫代甘油、次氮基三乙酸、水杨酰胺、亚氨基二乙酸、苯并胍胺、三聚氰胺、硫氰尿酸、邻氨基苯甲酸、没食子酸、抗坏血酸、水杨酸、8-羟基喹啉、5-羧酸-苯并三唑、3-巯基丙醇、硼酸、亚氨基二乙酸等。本文中还可设想两种或更多种螯合剂的组合。所述螯合剂适用于增加所述组合物与用于微电子器件中的金属和介电材料的相容性。
在各种优选的实施方案中,将实施方案A的去除组合物配制成在如下实施方案A1-A7中,其中所有的百分比均按重量计,基于所述制剂的总重量:
实施方案A1
Figure BDA00002394968100101
实施方案A2
Figure BDA00002394968100102
实施方案A3
实施方案A4
Figure BDA00002394968100104
Figure BDA00002394968100111
实施方案A5
Figure BDA00002394968100112
实施方案A6
实施方案A7
Figure BDA00002394968100114
在各种优选的实施方案中,将实施方案A的去除组合物配制成如下制剂AA-AI3,其中所有的百分比均按重量计,基于所述制剂的总重量:
制剂AA:99.5%乙醇;0.245%氟化氢;0.255%水
制剂AB:98.5%乙醇;0.735%氟化氢;0.765%水
制剂AC:74.5%乙醇;25.0%二丙二醇甲醚;0.245%氟化氢;0.255%水
制剂AD:73.5%乙醇;25.0%二丙二醇甲醚;0.735%氟化氢;0.765%水
制剂AE:74.5%乙醇;25.0%二乙二醇甲醚;0.245%氟化氢;0.255%水
制剂AF:73.5%乙醇;25.0%二乙二醇甲醚;0.735%氟化氢;0.765%水
制剂AG:98.0%乙醇;0.98%氟化氢;1.02%水
制剂AH:98.5%甲醇;0.735%氟化氢;0.765%水
制剂AI:98.5%乙二醇;0.735%氟化氢;0.765%水
制剂AJ:98.5%丙二醇;0.735%氟化氢;0.765%水
制剂AK:98.5%二乙二醇丁醚;0.735%氟化氢;0.765%水
制剂AL:98.5%2-丙醇;0.735%氟化氢;0.765%水
制剂AM:98.5%1,4-丁二醇;0.735%氟化氢;0.765%水
制剂AN:98.5%1-丙醇;0.735%氟化氢;0.765%水
制剂AO:98.5%1-戊醇;0.735%氟化氢;0.765%水
制剂AP:25.0%乙二醇;73.5%1-丁醇;0.735%氟化氢;0.765%水
制剂AQ:98.5%1-丁醇;0.735%氟化氢;0.765%水
制剂AR:50.0%乙二醇;48.5%1-丁醇;0.735%氟化氢;0.765%水
制剂AS:75.0%乙二醇;23.5%1-丁醇;0.735%氟化氢;0.765%水
制剂AT:25.0%乙二醇;73.5%乙醇;0.735%氟化氢;0.765%水
制剂AU:50.0%乙二醇;48.5%乙醇;0.735%氟化氢;0.765%水
制剂AV:75.0%乙二醇;23.5%乙醇;0.735%氟化氢;0.765%水
制剂AW:70.0%乙二醇;28.5%1-丁醇;0.735%氟化氢;0.765%水
制剂AX:50.0%乙二醇;48.5%1-丁醇;0.735%氟化氢;0.765%水
制剂AY:75.0%乙二醇;23.5%1,4-丁二醇;0.735%氟化氢;0.765%水
制剂AZ:60.0%乙二醇;38.5%1-戊醇;0.735%氟化氢;0.765%水
制剂AA 2 :50.0%乙二醇;48.5%1-戊醇;0.735%氟化氢;0.765%水
制剂AB 2 :80.0%乙二醇;18.5%1-戊醇;0.735%氟化氢;0.765%水
制剂AC 2 :90.0%乙二醇;8.5%1-戊醇;0.735%氟化氢;0.765%水
制剂AD 2 :99.25%1,4-丁二醇;0.3675%氟化氢;0.3825%水
制剂AE 2 :90.0%乙二醇;9.25%1-戊醇;0.3675%氟化氢;0.3825%水
制剂AF 2 :99.51%1,4-丁二醇;0.49%氟化氢
制剂AG 2 :99.265%1,4-丁二醇;0.735%氟化氢
制剂AH 2 :89.25%1,4-丁二醇;10.0%1-丁醇;0.3675%氟化氢;0.3825%水
制剂AI 2 :80.0%1,4-丁二醇;19.25%1-丁醇;0.3675%氟化氢;0.3825%水
制剂AJ 2 :70.0%1,4-丁二醇;29.25%1-丁醇;0.3675%氟化氢;0.3825%水
制剂AK 2 :60.0%1,4-丁二醇;39.25%1-丁醇;0.3675%氟化氢;0.3825%水
制剂AL 2 :50.0%1,4-丁二醇;49.25%1-丁醇;0.3675%氟化氢;0.3825%水
制剂AM 2 :98.875%1,4-丁二醇;0.55125%氟化氢;0.57375%水
Figure BDA00002394968100131
Figure BDA00002394968100141
制剂AY 2 :79.25%乙醇;20%1-甲基-2-吡咯烷酮;0.3675%氟化氢;0.3825%水
制剂AZ 2 :79.25%乙二醇;20%二甲基乙酰胺;0.3675%氟化氢;0.3825%水
制剂AA 3 :99.25%四氢噻吩砜;0.3675%氟化氢;0.3825%水
制剂AB 3 :50%乙二醇;49.25%四氢噻吩砜;0.3675%氟化氢;0.3825%水
制剂AC 3 :50%乙二醇;49.625%四氢噻吩砜;0.375%氟化氢
制剂AD 3 :45%乙二醇;39.625%四氢噻吩砜;15%三丙二醇甲醚;0.375%氟化氢
制剂AE 3 :45%乙二醇;34.625%四氢噻吩砜;20%三丙二醇甲醚;0.375%氟化氢
制剂AF 3 :45%乙二醇;39.625%四氢噻吩砜;15%二丙二醇正丁醚;0.375%氟化氢
制剂AG 3 :45%乙二醇;39.625%四氢噻吩砜;15%二丙二醇甲醚;0.375%氟化氢
制剂AH 3 :70.0%1,4-丁二醇;29.25%1-丁醇;0.3675%氟化氢;0.3825%水
制剂AI 3 :44.882%乙二醇;15%二(丙二醇)丁醚;39.64%环丁砜0.375%HF;0.1%聚季铵盐2;0.003%四甲基氢氧化铵硅酸盐。
优选的是,为获得最大的多孔性低-k电介质相容性,实施方案A的液体去除组合物包括1,4-丁二醇和1-丁醇。最优选的是,所述液体去除组合物对应于制剂AL2、AM2、AH3和AI3
实施方案A的组合物在从微电子器件基底上去除SARC层和蚀刻后残留物时是特别有效的,其对金属互连物质和/或低-k介电材料的破坏最小。有关的金属包括但不限于铜、钨、钴、铝、钽和钌。此外,本文中可设想用例如水的溶剂稀释实施方案A的组合物并用作化学机械抛光后(CMP)组合物,以去除CMP后残留物,包括但不限于来自抛光浆料的颗粒、富碳颗粒、抛光垫颗粒、刷下料颗粒(brush deloadingparticle)、构造颗粒的设备材料、铜、铜氧化物以及作为CMP工艺副产物的任何其它材料。
在另一个实施方案中,为获得最大的致密电介质相容性,实施方案A的液体去除组合物包括乙二醇、四氢噻吩砜和二醇醚。最优选的是,所述液体去除组合物对应于制剂AS2或AV2
在又一个实施方案中,实施方案A的液体去除组合物包括至少一种含氟化合物、至少一种有机溶剂,用于残留物材料的去除,并且任选包括水和任选的至少一种螯合剂/钝化剂,其中所述残留物材料包括SARC和/或蚀刻后残留物。重要的是,所述残留物材料可以溶解和/或悬浮在本发明的液体去除组合物中。
通过简单地添加各成分并混合至均匀的状态可以很容易地配制本发明的液体去除组合物。此外,可以很容易地将所述液体去除组合物配制为单包装制剂或配制为在使用之时或之前进行混合的多部分制剂,例如,可以在用具或用具上游的储槽中将所述多部分制剂的单独的各部分进行混合。在本发明的广泛实践中,各成分的浓度可以有很大的变化,为所述液体去除组合物的特定倍数,即更稀或更浓,且应理解的是,本发明的液体去除组合物可以在不同的情况下且选择性地包含与本文中公开的成分一致的任意组合,或者由上述的组合构成,或基本上由上述的组合构成。
由此,本发明的另一方面涉及试剂盒,其在一个或多个容器中包括适合于形成本发明组合物的一种或多种组分。例如,所述试剂盒可以在一个或多个容器中包括至少一种含氟化合物、至少一种有机溶剂和任选的至少一种螯合剂/钝化剂,用于在加工或使用时与任选的水组合。或者,所述试剂盒可以在一个或多个容器中包括至少一种含氟化合物和任选的至少一种螯合剂/钝化剂,用于在加工或使用时与至少一种溶剂和任选的水组合。在另一个实施方案中,所述试剂盒可以在一个或多个容器中包括至少一种含氟化合物、至少一种有机溶剂和水,用以输送至加工或使用点。所述试剂盒的容器必须适合储存和运输所述的液体去除组合物,例如,
Figure BDA00002394968100161
容器(Advanced TechnologyMaterials,Inc.,Danbury,Conn.,USA)。
实施方案B
在另一方面,本发明的制剂包括基于组合物总重量计的按以下范围存在的至少一种含氟化合物、任选的至少一种有机溶剂、任选的酸碱缓冲剂、任选的至少一种螯合剂/钝化剂和任选的水:
Figure BDA00002394968100162
在本发明的广泛实践中,实施方案B的液体去除组合物可以包含至少一种含氟化合物、任选的至少一种有机溶剂、任选的酸碱缓冲剂和任选的至少一种螯合剂/钝化剂和任选的水,或者可以由上述物质组成,或基本上由上述物质组成。一般而言,含氟化合物、任选的水、任选的有机溶剂、任选的酸碱缓冲剂和任选的螯合剂/钝化剂彼此之间的具体比例和量可以作适当的改动,从而使所述液体组合物对SARC层物质和/或处理设备提供理想的去除效果,如本领域技术人员无需进行过多的努力便可以容易地确定的。
如下文中更详细描述的那样,本发明的组合物可以具体表现为多种具体的制剂。
实施方案B的组合物优选的pH值范围是约4至约9,优选约5至约7的范围。
这种组合物可任选包括另外的组分,包括活性及非活性成分,如表面活性剂、稳定剂、分散剂、抗氧化剂、渗透剂、助剂、添加剂、填充剂、赋形剂等。此外,本文中还设想的是,可以将实施方案B的组合物配制成泡沫、雾剂、亚临界或超临界流体。例如,可以将实施方案B的组合物加入到例如二氧化碳的超临界流体中,比例从约100份SCF对1份的实施方案B的制剂到约6:1,优选为约20:1。
需要有氟离子源,因为氟的存在对于在酸性溶液中腐蚀硅酸盐材料是必需的。从制备和处理的安全性考虑,中性氟化物盐是优选的。包含非挥发性胺的胺-氢氟化物盐是最优选的,这可以避免由于胺蒸发所带来的pH值变化。含氟化合物的合适来源包括但不限于氟化铵、三乙胺三氢氟酸盐((C2H5)3N·3HF)、甲基二乙醇胺-氢氟酸盐、三乙醇胺-氢氟酸盐和羟乙基吗啉-氢氟酸盐。或者,可以使用二氟化物盐,包括氟化氢铵((NH4)HF2)和四烷基氟化氢铵((R)4NHF2,其中R为甲基、乙基、丁基、苯基或氟化的C1-C4烷基)。本文中还可设想两种或多种含氟化合物的组合。在一个优选的实施方案中,所述含氟化合物为氟化铵或甲基二乙醇胺-氢氟酸盐。
所述有机溶剂物质用作溶剂,并帮助溶解可能存在于SARC中的有机残留物。这种组合物的合适溶剂物质包括但不限于:二醇和二醇醚,例如上文中对于实施方案A所列举的那些;二甲亚砜(DMSO);二甲基乙酰胺;和胺,例如单乙醇胺、三乙醇胺、三乙撑二胺、甲基乙醇胺、甲基二乙醇胺、五甲基二亚乙基三胺、二甲基二甘醇胺、1,8-二氮杂二环[5.4.0]十一烯、氨丙基吗啉、羟乙基吗啉、氨乙基吗啉、羟丙基吗啉、二甘醇胺、N-甲基吡咯烷酮(NMP)、N-辛基吡咯烷酮、N-苯基吡咯烷酮、环己基吡咯烷酮、咪唑烷酮和乙烯基吡咯烷酮。本文中还可设想两种或更多种有机溶剂的组合。优选的是,所述有机溶剂包括二乙二醇、二甲基二甘醇胺、二乙二醇甲醚和NMP。
所述酸/碱缓冲剂用于稳定pH值和控制所述溶液对SARC、其它残留物以及例如电介质和互连金属的之下材料的蚀刻速率选择性。适用于本发明的缓冲系统的有机酸包括但不限于:甲酸、三氟乙酸、丙酸、丁酸、戊酸、庚酸、乳酸、草酸、苹果酸、丙二酸、丁二酸、富马酸、己二酸、苯甲酸、邻苯二甲酸和柠檬酸。适用于本发明的缓冲系统的共轭碱包括但不限于:有机酸盐和上文有机溶剂列表中所列举的胺。本文中还可设想两种或更多种酸/碱缓冲剂的组合。在优选的实施方案中,含氟化合物本发明的缓冲系统包含丙二酸和二甲基二甘醇胺。
可以加入螯合剂以减少对下层中例如铜和/或钴的金属的侵蚀。在这种组合物中的螯合剂/钝化剂可以为任何适当的类型,例如上文中关于实施方案A所列出的那些。
本文中可设想的是,即使不故意加入水,实施方案B的去除组合物中也可以有水存在。
在各种优选的实施方案中,将实施方案B的去除组合物配制成如下制剂BA-BJ2,其中所有的百分比均按重量计,基于所述制剂的总重量:
制剂BA:0.5%氟化铵;2.58%丙二酸;68.08%二乙二醇;3%二甲基二甘醇胺;25.64%水;0.2%3-氨基-5-巯基-1,2,4-三唑
制剂BB:0.5%氟化铵;2.58%丙二酸;68.08%二乙二醇;3%二甲基二甘醇胺;25.64%水;0.2%4-甲基-2-苯基咪唑
制剂BC:0.5%氟化铵;2.58%丙二酸;68.08%二乙二醇;3%二甲基二甘醇胺;25.64%水;0.2%5-氨基-1,3,4-噻二唑-2-硫醇
Figure BDA00002394968100193
5-氨基-1,3,4-噻二唑-2-硫醇0.1%0.1%0.1%0.1%
制剂BR:2.0%氟化铵;2.58%丙二酸;89.52%乙二醇;3%二甲基二甘醇胺;2.9%柠檬酸
制剂BS:1.0%氟化铵;5.16%丙二酸;87.84%二乙二醇;3%二甲基二甘醇胺;3%马来酸
制剂BT:75%甲基二乙醇胺-氢氟酸盐;25%水
制剂BU:67.5%甲基二乙醇胺-氢氟酸盐;22.5%水;10%二乙二醇甲醚
制剂BV:60%甲基二乙醇胺-氢氟酸盐;20%水;20%二乙二醇甲醚
制剂BW:67.5%甲基二乙醇胺-氢氟酸盐;22.5%水;10%咪唑烷酮
制剂BX:60%甲基二乙醇胺-氢氟酸盐;20%水;20%NMP
制剂BY:74.85%甲基二乙醇胺-氢氟酸盐;24.95%水;0.2%3-氨基-5-巯基-1,2,4-三唑
制剂BZ:74.85%甲基二乙醇胺-氢氟酸盐;24.95%水;0.2%5-氨基-1,3,4-噻二唑-2-硫醇
制剂BA 2 :74.85%甲基二乙醇胺-氢氟酸盐;24.95%水;0.2%4-甲基-4H-1,2,4-三唑-3-硫醇
制剂BB 2 :60%甲基二乙醇胺-氢氟酸盐;20%水;20%五甲基二亚乙基三胺
制剂BC 2 :59.88%甲基二乙醇胺-氢氟酸盐;19.96%水;19.96%NMP;0.2%5-氨基-1,3,4-噻二唑-2-硫醇
制剂BD 2 :59.88%甲基二乙醇胺-氢氟酸盐;19.96%水;19.96%NMP;0.2%4-甲基-4H-1,2,4-三唑-3-硫醇
制剂BE 2 :37.5%甲基二乙醇胺-氢氟酸盐;18.75%三乙醇胺-氢氟酸盐;22.1%羟乙基吗啉-氢氟酸盐;21.65%水
制剂BF 2 :60%甲基二乙醇胺-氢氟酸盐;7.5%三乙醇胺-氢氟酸盐;8.84%羟乙基吗啉-氢氟酸盐;23.66%水
制剂BG 2 :45%甲基二乙醇胺-氢氟酸盐;29.88%甲基二乙醇胺-磷酸盐;25.12%水
制剂BH 2 :40.55%甲基二乙醇胺-氢氟酸盐;26.89%甲基二乙醇胺-磷酸盐;10%二甲基乙酰胺;22.6%水
制剂BI 2 :40.55%甲基二乙醇胺-氢氟酸盐;26.89%甲基二乙醇胺-磷酸盐;10%NMP;22.6%水
制剂BJ 2 :40.55%甲基二乙醇胺-氢氟酸盐;26.89%甲基二乙醇胺-磷酸盐;10%DMSO;22.6%水
此外,本文中可设想用例如水的溶剂稀释实施方案B的组合物并用作化学机械抛光后(CMP)组合物,以去除CMP后残留物,包括但不限于来自抛光浆料的颗粒、富碳颗粒、抛光垫颗粒、刷下料颗粒、构造颗粒的设备材料、铜、铜氧化物以及作为CMP工艺副产物的任何其它材料。
通过简单地添加各成分并混合至均匀的状态可以很容易地配制本发明的液体去除组合物。此外,可以很容易地将所述液体去除组合物配制为单包装制剂或配制为在使用之时或之前进行混合的多部分制剂,例如,可以在用具或用具上游的储槽中将所述多部分制剂的单独的各部分进行混合。在本发明的广泛实践中,各成分的浓度可以有很大的变化,为所述液体去除组合物的特定倍数,即更稀或更浓,且应理解的是,本发明的液体去除组合物可以在不同的情况下且选择性地包含与本文中公开的成分一致的任意组合,或者由上述的组合构成,或基本上由上述的组合构成。
由此,本发明的另一方面涉及试剂盒,其在一个或多个容器中包括适合于形成本发明组合物的一种或多种组分。例如,所述试剂盒可以在一个或多个容器中包括至少一种含氟化合物、任选的至少一种有机溶剂、任选的酸碱缓冲剂、任选的至少一种螯合剂/钝化剂,用于在加工或使用时与任选的水组合。或者,所述试剂盒可以在一个或多个容器中包括至少一种含氟化合物、任选的酸碱缓冲剂、任选的至少一种螯合剂/钝化剂,用于在加工或使用时与任选的水和任选的至少一种有机溶剂组合。所述试剂盒的容器必须适合储存和运送所述的液体去除组合物,例如,
Figure BDA00002394968100221
容器(Advanced Technology Materials,Inc.,Danbury,Conn.,USA)。
在又一个实施方案中,实施方案B的液体去除组合物包括至少一种含氟化合物,用于残留物材料的去除,任选包括至少一种有机溶剂、任选的酸碱缓冲剂、任选的至少一种螯合剂/钝化剂和任选的水,其中所述残留物材料包括SARC和/或蚀刻后残留物。重要的是,所述残留物材料可以溶解和/或悬浮在本发明的液体去除组合物中。
液体去除组合物的使用方法
通过简单地添加各成分并混合至均匀的状态可以很容易地配制本发明的去除组合物。
在去除的应用中,以任何适当的方式将去除组合物施加到待被清洗的微电子器件上,例如,在待清洗微电子器件的表面上喷淋所述去除组合物,在大量的所述清洗组合物中浸渍微电子器件,使待被清洗的微电子器件与以所述去除组合物饱和的另一种材料,例如垫或纤维吸附剂涂敷器元件接触,使微电子器件与循环的去除组合物接触,或者任何其它适当方法、方式或技术,通过其使所述去除组合物与待被清洗的微电子器件发生旨在去除的接触。
当施加于半导体制造操作时,本发明的清洗组合物适用于从其上具有SARC和/或蚀刻后残留物材料的微电子器件结构上去除所述材料。
相对于可能在所述微电子器件上存在并暴露于所述去除组合物的其它材料,例如ILD结构、金属化布线、阻挡层等,本发明的组合物利用它们对这种SARC材料的选择性,以高效的方式实现SARC和/或蚀刻后残留物材料的至少部分的去除。
重要的是,本发明的组合物具有低量的水,低于约1重量%,尤其是实施方案A的组合物,并且这样的话与例如铜、铝和钴的金属互连层相容。在本发明组合物的存在下铜和/或钴的蚀刻速率优选低于
Figure BDA00002394968100231
Figure BDA00002394968100232
更优选低于
Figure BDA00002394968100233
最优选低于
Figure BDA00002394968100234
在将本发明的组合物用于从其上具有SARC材料的微电子器件基板上去除所述SARC材料时,通常在约20°C至约80°C的温度下使清洗组合物与所述器件基板接触约1至约60分钟的时间,优选约20至约30分钟。这样的接触时间及温度是示例性的,在本发明的广泛实践中,可以采用对至少部分地从所述器件基板上去除SARC材料是有效的任何其它的合适时间和温度条件。如本文中定义的,“至少部分地去除”对应于至少去除50%的SARC材料,优选至少去除80%的SARC材料。最优选的是,使用本发明的组合物去除至少90%的SARC材料。
在达到所需的清洗效果后,可以容易地将所述清洗组合物从其先前施加的器件上去除掉,例如通过漂洗、冲洗或其它的一个或多个去除步骤,如在本发明的组合物应用的给定最终用途上可能是理想的和有效的。例如,可以用去离子水对所述器件进行漂洗。
本发明进一步的实施方案涉及包括微电子器件的制品的制造方法,所述方法包括使所述微电子器件与液体去除组合物接触足够的时间,从而从其上具有SARC和/或蚀刻后残留物材料的微电子器件上去除所述材料,并将所述微电子器件并入到所述制品之中,其中所述液体去除组合物包括至少一种含氟化合物、至少一种有机溶剂、任选的水和任选的至少一种螯合剂/钝化剂。
本发明另一实施方案涉及包括微电子器件的制品的制造方法,所述方法包括使所述微电子器件与液体去除组合物接触足够的时间,从而从其上具有SARC和/或蚀刻后残留物材料的微电子器件上去除所述材料,并将所述微电子器件并入到所述制品之中,其中所述液体去除组合物包括至少一种含氟化合物、任选的至少一种有机溶剂、任选的酸碱缓冲剂、任选的至少一种螯合剂/钝化剂和任选的水。
通过以下讨论的说明性实施例可以更充分地显示本发明的特征及优点。
实施例1
SARC的去除在包括SARC层的图案化低-k电介质基板的样品上进行。将该样品在实施方案A的去除溶液中于40°C下浸泡30分钟(表1和2)或于20°C下浸泡15分钟(表3),然后用大量的去离子水漂洗。使用扫描电子显微方法估计图案化晶片上的SARC材料的百分比去除率。结果列于下文的表1-3中。
除了估计从所述图案化晶片上的SARC层的去除率外,确定在去除溶液中铜和/或钴金属的蚀刻速率。将铜和/或钴的覆盖金属晶片浸没到所述去除溶液中,并基于电阻率采用四点探针测量方法确定各金属的蚀刻速率。结果列于下文表1中。
表1.SARC去除率和蚀刻速率的结果
表2.SARC去除率结果
Figure BDA00002394968100251
表3.SARC去除率结果
Figure BDA00002394968100252
实施例2
实验确定铜和/或钴金属在实施方案B的去除溶液中的蚀刻速率。将铜和/或钴的覆盖金属晶片浸没到去除溶液中,并采用原电池法确定各金属的蚀刻速率。结果列于下文表4中。
表4.金属的相容性
Figure BDA00002394968100261
实施例3
在40°C下将覆盖的电介质、铜和钴晶片在制剂AL2和AM2中静态浸泡30分钟,之后确定介电材料、铜和钴的蚀刻速率。采用电化学导出的Tafel图确定蚀刻速率。
在制剂AL2中,介电材料、钴和铜的蚀刻速率分别确定为
Figure BDA00002394968100262
在制剂AM2中,介电材料、钴和铜的蚀刻速率分别确定为
Figure BDA00002394968100265
Figure BDA00002394968100266
因此,虽然已参照本发明的具体方面、特征和示例的实施方案对本发明进行了描述,但应理解的是,本发明的效用并不因此而受到限制,而是延伸并涵盖了许多其它的方面、特征和实施方案。因此,应将以下阐明的权利要求相应地广义解释为包括在其实质和范围之内的所有这些方面、特征和实施方案。

Claims (10)

1.一种液体去除组合物,其包含至少一种含氟化合物、至少一种有机溶剂、任选的水和任选的至少一种螯合剂,其中所述液体去除组合物适用于从其上具有牺牲性抗反射涂层(SARC)材料和蚀刻后残留物的微电子器件上去除这些材料和残留物。
2.权利要求1的液体去除组合物,其中所述至少一种含氟化合物包含选自如下的物质:氟化氢,氟化铵,三乙醇胺氢氟酸盐,氟化氢铵((NH4)HF2),具有式(R)4NHF2的四烷基氟化氢铵其中R为甲基、乙基、丙基、丁基、苯基、苄基或氟化的C1-C4烷基。
3.权利要求1的液体去除组合物,其中所述至少一种含氟化合物包含氟化氢。
4.权利要求1的液体去除组合物,其中所述至少一种有机溶剂包含至少一种醇和至少一种二醇醚,其中所述至少一种醇包括甲醇、乙醇、1-丙醇、2-丙醇、1-丁醇、2-丁醇、叔丁醇、1-戊醇及其组合,其中基于所述组合物的总重,所述至少一种含氟化合物的量为0.01~25重量%,所述至少一种醇的含量为0.01~99重量%,所述至少一种二醇醚的含量为0.01~99重量%,且水的含量为0.01~10重量%。
5.权利要求1的液体去除组合物,其中所述至少一种有机溶剂包含四氢噻吩砜和至少一种二醇,其中基于所述组合物的总重,所述至少一种含氟化合物的量为0.01~25重量%,所述四氢噻吩砜的含量为0.01~99重量%,所述至少一种二醇的含量为0.01~99重量%,且水的含量为0.01~10重量%。
6.权利要求1的液体去除组合物,其中所述至少一种有机溶剂包含四氢噻吩砜、至少一种二醇和至少一种二醇醚,其中基于所述组合物的总重,所述至少一种含氟化合物的量为0.01~25重量%,所述四氢噻吩砜的含量为0.01~99重量%,所述至少一种二醇的含量为0.01~99重量%,且所述至少一种二醇醚的含量为0.01~99重量%。
7.权利要求4~6中任一项的液体去除组合物,其中所述至少一种二醇包含选自如下的物质:乙二醇、丙二醇、1,4-丁二醇、新戊二醇及其组合,且
其中所述至少一种二醇醚包含选自如下的物质:二乙二醇单甲醚、三乙二醇单甲醚、二乙二醇单乙醚、三乙二醇单乙醚、乙二醇单丙醚、乙二醇单丁醚、二乙二醇单丁醚、三乙二醇单丁醚、丙二醇甲醚、二丙二醇甲醚、三丙二醇甲醚、丙二醇正丙醚、二丙二醇正丙醚、三丙二醇正丙醚、丙二醇正丁醚、二丙二醇正丁醚、三丙二醇正丁醚及其组合。
8.权利要求1的液体去除组合物,其包含至少一种选自如下的螯合剂:苯并三唑、甲苯基三唑、5-苯基-苯并三唑、5-硝基-苯并三唑、3-氨基-5-巯基-1,2,4-三唑、1-氨基-1,2,4-三唑、羟基苯并三唑、2-(5-氨基-戊基)-苯并三唑、1-氨基-1,2,3-三唑、1-氨基-5-甲基-1,2,3-三唑、3-氨基-1,2,4-三唑、3-巯基-1,2,4-三唑、3-异丙基-1,2,4-三唑、5-苯硫基-苯并三唑、卤代苯并三唑、萘并三唑、2-巯基苯并咪唑、2-巯基苯并噻唑、4-甲基-2-苯基咪唑、2-巯基噻唑啉、5-氨基四唑、5-氨基-1,3,4-噻二唑-2-硫醇、2,4-二氨基-6-甲基-1,3,5-三嗪、噻唑、三嗪、甲基四唑、1,3-二甲基-2-咪唑啉酮、1,5-五亚甲基四唑、1-苯基-5-巯基四唑、二氨甲基三嗪、巯基苯并噻唑、咪唑啉硫酮、巯基苯并咪唑、4-甲基-4H-1,2,4-三唑-3-硫醇、5-氨基-1,3,4-噻二唑-2-硫醇、苯并噻唑、磷酸三甲苯酯、苯并异二唑、鸟嘌呤、腺嘌呤、甘油、硫代甘油、次氮基三乙酸、水杨酰胺、亚氨基二乙酸、苯并胍胺、三聚氰胺、硫氰尿酸、邻氨基苯甲酸、没食子酸、抗坏血酸、水杨酸、8-羟基喹啉、5-羧酸-苯并三唑、3-巯基丙醇、硼酸、亚氨基二乙酸及其组合。
9.权利要求1的液体去除组合物,其中所述组合物的pH处于1至5的范围内。
10.一种从其上具有SARC材料和/或蚀刻后残留物的微电子器件上去除所述材料和残留物的方法,所述方法包括使所述微电子器件与权利要求1~9中任一项的液体去除组合物接触足够的时间,从而至少部分地从所述微电子器件上去除所述材料和残留物。
CN201210452842.1A 2005-06-07 2006-06-07 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物 Expired - Fee Related CN102981377B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US68820405P 2005-06-07 2005-06-07
US60/688,204 2005-06-07

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2006800281530A Division CN101233456B (zh) 2005-06-07 2006-06-07 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物

Publications (2)

Publication Number Publication Date
CN102981377A true CN102981377A (zh) 2013-03-20
CN102981377B CN102981377B (zh) 2014-11-12

Family

ID=37498777

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201210452842.1A Expired - Fee Related CN102981377B (zh) 2005-06-07 2006-06-07 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
CN2006800281530A Expired - Fee Related CN101233456B (zh) 2005-06-07 2006-06-07 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2006800281530A Expired - Fee Related CN101233456B (zh) 2005-06-07 2006-06-07 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物

Country Status (9)

Country Link
US (2) US8951948B2 (zh)
EP (2) EP1891482B1 (zh)
JP (1) JP2008546036A (zh)
KR (3) KR101477455B1 (zh)
CN (2) CN102981377B (zh)
IL (1) IL187956A0 (zh)
SG (2) SG10201504423QA (zh)
TW (3) TWI622639B (zh)
WO (1) WO2006133253A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108138334A (zh) * 2015-07-14 2018-06-08 富士胶片电子材料美国有限公司 清洁组合物及其使用方法
CN115368982A (zh) * 2014-06-04 2022-11-22 恩特格里斯公司 具有金属、电介质及氮化物兼容性的抗反射涂层清洗及蚀刻后残留物去除组成物

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8951948B2 (en) 2005-06-07 2015-02-10 Advanced Technology Materials, Inc. Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
KR20080015027A (ko) * 2005-06-13 2008-02-15 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 규화물 형성 후 금속 또는 금속 합금의 선택적인제거를 위한 조성물 및 방법
TWI339780B (en) * 2005-07-28 2011-04-01 Rohm & Haas Elect Mat Stripper
KR101444468B1 (ko) 2005-10-05 2014-10-30 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
WO2007044447A2 (en) * 2005-10-05 2007-04-19 Advanced Technology Materials, Inc. Composition and method for selectively etching gate spacer oxide material
WO2007120259A2 (en) * 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
US7960328B2 (en) 2005-11-09 2011-06-14 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
EP2108039A2 (en) * 2006-12-21 2009-10-14 Advanced Technology Materials, Inc. Liquid cleaner for the removal of post-etch residues
TWI516573B (zh) * 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
KR101530321B1 (ko) * 2007-08-08 2015-06-19 아라까와 가가꾸 고교 가부시끼가이샤 무연 땜납 플럭스 제거용 세정제 조성물 및 무연 땜납 플럭스의 제거 방법
JP2011517328A (ja) * 2008-03-07 2011-06-02 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 非選択性酸化物エッチング湿式洗浄組成物および使用方法
TWI460557B (zh) * 2008-03-07 2014-11-11 Wako Pure Chem Ind Ltd 半導體表面用處理劑組成物及使用半導體表面用處理劑組成物之半導體表面處理方法
JP5873718B2 (ja) * 2008-10-21 2016-03-01 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅の洗浄及び保護配合物
JP5498768B2 (ja) * 2009-12-02 2014-05-21 東京応化工業株式会社 リソグラフィー用洗浄液及び配線形成方法
EP2593964A4 (en) * 2010-07-16 2017-12-06 Entegris Inc. Aqueous cleaner for the removal of post-etch residues
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
TW201716588A (zh) 2010-08-20 2017-05-16 恩特葛瑞斯股份有限公司 從電子廢棄物再生貴金屬和卑金屬之永續製程
KR101868319B1 (ko) 2010-10-06 2018-06-15 엔테그리스, 아이엔씨. 질화 금속을 선택적으로 에칭하기 위한 조성물 및 방법
SG189371A1 (en) * 2010-12-16 2013-05-31 Kyzen Corp Cleaning agent for removal of soldering flux
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
KR101999641B1 (ko) 2011-10-05 2019-07-12 아반토 퍼포먼스 머티리얼즈, 엘엘씨 구리/아졸 중합체 억제를 갖는 마이크로일렉트로닉 기판 세정 조성물
KR102102792B1 (ko) 2011-12-28 2020-05-29 엔테그리스, 아이엔씨. 티타늄 나이트라이드의 선택적인 에칭을 위한 조성물 및 방법
US10176979B2 (en) 2012-02-15 2019-01-08 Entegris, Inc. Post-CMP removal using compositions and method of use
WO2013173738A1 (en) 2012-05-18 2013-11-21 Advanced Technology Materials, Inc. Composition and process for stripping photoresist from a surface including titanium nitride
US9536730B2 (en) * 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations
KR102118964B1 (ko) 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Iii-v 반도체 물질을 세척하기 위한 조성물 및 이를 사용하는 방법
JP6363116B2 (ja) 2013-03-04 2018-07-25 インテグリス・インコーポレーテッド 窒化チタンを選択的にエッチングするための組成物および方法
US9543147B2 (en) 2013-03-12 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist and method of manufacture
US9502231B2 (en) 2013-03-12 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist layer and method
US9245751B2 (en) 2013-03-12 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-reflective layer and method
US9256128B2 (en) * 2013-03-12 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing semiconductor device
CN104102028A (zh) * 2013-04-10 2014-10-15 第一毛织株式会社 表面处理氧化铟锌基板的有机溶液及显示基板制备方法
EP3004287B1 (en) 2013-06-06 2021-08-18 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
CN112442374A (zh) 2013-07-31 2021-03-05 恩特格里斯公司 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
SG11201605003WA (en) 2013-12-20 2016-07-28 Entegris Inc Use of non-oxidizing strong acids for the removal of ion-implanted resist
KR20150077076A (ko) 2013-12-27 2015-07-07 삼성디스플레이 주식회사 표시 패널, 이를 포함하는 표시 장치 및 이의 제조 방법
KR102290209B1 (ko) 2013-12-31 2021-08-20 엔테그리스, 아이엔씨. 규소 및 게르마늄을 선택적으로 에칭하기 위한 배합물
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
KR102405063B1 (ko) * 2014-06-30 2022-06-07 엔테그리스, 아이엔씨. 텅스텐 및 코발트 상용성을 갖는 에치후 잔류물을 제거하기 위한 수성 및 반-수성 세정제
JP6849595B2 (ja) * 2014-12-22 2021-03-24 ビーエイエスエフ・ソシエタス・エウロパエアBasf Se コバルト及び/又はコバルト合金含有の基板の研磨のための化学機械研磨(cmp)組成物の使用
JP6504911B2 (ja) * 2015-05-19 2019-04-24 キヤノン株式会社 液体吐出ヘッドの製造方法
KR102384908B1 (ko) * 2015-11-25 2022-04-08 삼성전자주식회사 자성 패턴 세정 조성물, 자성 패턴 형성 방법 및 자기 메모리 장치의 제조 방법
CN109642159B (zh) * 2016-03-24 2022-02-15 安万托特性材料有限公司 非水性钨相容性金属氮化物选择性蚀刻剂和清洁剂
EP3374443B1 (en) * 2016-05-10 2020-01-08 ATOTECH Deutschland GmbH A non-aqueous stripping composition and a method of stripping an organic coating from a substrate
WO2018061582A1 (ja) * 2016-09-29 2018-04-05 富士フイルム株式会社 処理液および積層体の処理方法
KR20210090294A (ko) * 2017-01-18 2021-07-19 엔테그리스, 아이엔씨. 표면으로부터 세리아 입자를 제거하기 위한 조성물 및 방법
WO2019040394A1 (en) * 2017-08-22 2019-02-28 Fujifilm Electronic Materials U.S.A., Inc. CLEANING COMPOSITIONS
US20190103282A1 (en) * 2017-09-29 2019-04-04 Versum Materials Us, Llc Etching Solution for Simultaneously Removing Silicon and Silicon-Germanium Alloy From a Silicon-Germanium/Silicon Stack During Manufacture of a Semiconductor Device
JP7137586B2 (ja) * 2018-02-05 2022-09-14 富士フイルム株式会社 処理液、及び、処理方法
US11994806B2 (en) 2019-03-14 2024-05-28 Asml Netherlands B.V. Metrology method and apparatus, computer program and lithographic system
CA3039238A1 (en) * 2019-04-05 2020-10-05 Fluid Energy Group Ltd. Novel inhibited hydrofluoric acid composition
CN110714213A (zh) * 2019-10-31 2020-01-21 武汉奥邦表面技术有限公司 一种无氰碱性亚铜电镀铜络合剂
CN113430065B (zh) * 2020-03-23 2024-06-07 上海新阳半导体材料股份有限公司 抗反射涂层清洗及刻蚀后残留物去除组合物、制备方法及用途
CN113433807A (zh) * 2020-03-23 2021-09-24 上海新阳半导体材料股份有限公司 离子注入光刻胶清洗液、其制备方法及应用
CA3083522A1 (en) * 2020-06-12 2021-12-12 Fluid Energy Group Ltd. Process to manufacture novel inhibited hydrofluoric acid composition
CN112859552B (zh) * 2021-02-04 2024-01-05 上海新阳半导体材料股份有限公司 一种氧化钒缓蚀含氟剥离液的应用
CN115466651A (zh) * 2022-10-09 2022-12-13 福建省腾达洁环保工程有限公司 一种电力电子设备带电清洗剂及其制备方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5698503A (en) * 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
US5883060A (en) * 1997-03-07 1999-03-16 Samsung Electronics Co., Ltd. Cleaning compositions for wafers used in semiconductor devices
CN1426452A (zh) * 2000-04-26 2003-06-25 大金工业株式会社 洗涤剂组合物
US20030181342A1 (en) * 2002-03-25 2003-09-25 Seijo Ma. Fatima pH buffered compositions useful for cleaning residue from semiconductor substrates
WO2004019134A1 (ja) * 2002-08-22 2004-03-04 Daikin Industries, Ltd. 剥離液
WO2004094581A1 (en) * 2003-04-18 2004-11-04 Ekc Technology, Inc. Aqueous fluoride compositions for cleaning semiconductor devices

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4343677A (en) 1981-03-23 1982-08-10 Bell Telephone Laboratories, Incorporated Method for patterning films using reactive ion etching thereof
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
JP3074634B2 (ja) 1994-03-28 2000-08-07 三菱瓦斯化学株式会社 フォトレジスト用剥離液及び配線パターンの形成方法
US5571447A (en) * 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
JP3236220B2 (ja) 1995-11-13 2001-12-10 東京応化工業株式会社 レジスト用剥離液組成物
US5855811A (en) * 1996-10-03 1999-01-05 Micron Technology, Inc. Cleaning composition containing tetraalkylammonium salt and use thereof in semiconductor fabrication
US6755989B2 (en) * 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6224785B1 (en) * 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
JPH1167632A (ja) 1997-08-18 1999-03-09 Mitsubishi Gas Chem Co Inc 半導体装置用洗浄剤
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
JPH11323394A (ja) 1998-05-14 1999-11-26 Texas Instr Japan Ltd 半導体素子製造用洗浄剤及びそれを用いた半導体素子の製造方法
KR20010025043A (ko) 1998-05-18 2001-03-26 바누치 유진 지. 반도체 기판용 스트립팅 조성물
US7547669B2 (en) 1998-07-06 2009-06-16 Ekc Technology, Inc. Remover compositions for dual damascene system
US6395194B1 (en) 1998-12-18 2002-05-28 Intersurface Dynamics Inc. Chemical mechanical polishing compositions, and process for the CMP removal of iridium thin using same
JP4224652B2 (ja) 1999-03-08 2009-02-18 三菱瓦斯化学株式会社 レジスト剥離液およびそれを用いたレジストの剥離方法
WO2000064828A1 (fr) * 1999-04-27 2000-11-02 Hiroshi Miwa Composition de gravure du verre et procede de givrage utilisant cette composition
US6248704B1 (en) 1999-05-03 2001-06-19 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductors devices
US6268457B1 (en) 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
US6329118B1 (en) 1999-06-21 2001-12-11 Intel Corporation Method for patterning dual damascene interconnects using a sacrificial light absorbing material
US6235693B1 (en) 1999-07-16 2001-05-22 Ekc Technology, Inc. Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
JP3389166B2 (ja) 1999-09-10 2003-03-24 日本電気株式会社 レジスト用剥離液組成物
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6723691B2 (en) 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6492308B1 (en) 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
KR20010113396A (ko) * 2000-06-19 2001-12-28 주식회사 동진쎄미켐 암모늄 플로라이드를 함유하는 포토레지스트 리무버 조성물
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
EP1357092A1 (en) * 2000-12-27 2003-10-29 Hiroshi Miwa Method for preparing decorative glass using glass etching composition
US6627587B2 (en) * 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US6645867B2 (en) 2001-05-24 2003-11-11 International Business Machines Corporation Structure and method to preserve STI during etching
US20030022800A1 (en) 2001-06-14 2003-01-30 Peters Darryl W. Aqueous buffered fluoride-containing etch residue removers and cleaners
MY143399A (en) 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
TWI297102B (en) 2001-08-03 2008-05-21 Nec Electronics Corp Removing composition
US20030171239A1 (en) * 2002-01-28 2003-09-11 Patel Bakul P. Methods and compositions for chemically treating a substrate using foam technology
CN1659480A (zh) * 2002-06-07 2005-08-24 马林克罗特贝克公司 用于微电子基底的清洁组合物
RS50930B (sr) * 2002-06-07 2010-08-31 Avantor Performance Materials Inc. Kompozicije za mikroelektronsko čišćenje koje sadrže oksidatore i organske rastvarače
JP2004029276A (ja) 2002-06-25 2004-01-29 Mitsubishi Gas Chem Co Inc 銅配線基板向け含フッ素レジスト剥離液
US20040050406A1 (en) 2002-07-17 2004-03-18 Akshey Sehgal Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
US6849200B2 (en) * 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
KR20050024432A (ko) * 2002-07-23 2005-03-10 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 희생 항반사 물질의 웨트 스트리핑 제거를 위한 조성물 및방법
US7267727B2 (en) * 2002-09-24 2007-09-11 Air Products And Chemicals, Inc. Processing of semiconductor components with dense processing fluids and ultrasonic energy
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
US7309448B2 (en) 2003-08-08 2007-12-18 Applied Materials, Inc. Selective etch process of a sacrificial light absorbing material (SLAM) over a dielectric material
US20050079803A1 (en) * 2003-10-10 2005-04-14 Siddiqui Junaid Ahmed Chemical-mechanical planarization composition having PVNO and associated method for use
EP1690135A4 (en) 2003-12-02 2007-05-09 Advanced Tech Materials METHOD AND CHEMICAL FOR REMOVING PHOTOSENSITIVE RESIN, ANTI-REFLECTIVE COATING OR FILLING MATERIAL
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US7122484B2 (en) 2004-04-28 2006-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Process for removing organic materials during formation of a metal interconnect
US7497959B2 (en) 2004-05-11 2009-03-03 International Business Machines Corporation Methods and structures for protecting one area while processing another area on a chip
US8030263B2 (en) * 2004-07-01 2011-10-04 Air Products And Chemicals, Inc. Composition for stripping and cleaning and use thereof
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060255315A1 (en) * 2004-11-19 2006-11-16 Yellowaga Deborah L Selective removal chemistries for semiconductor applications, methods of production and uses thereof
CN101163776A (zh) * 2004-11-19 2008-04-16 霍尼韦尔国际公司 用于半导体应用的选择性去除化学物质,其制备方法和用途
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7923423B2 (en) 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
US7365045B2 (en) 2005-03-30 2008-04-29 Advanced Tehnology Materials, Inc. Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
US8951948B2 (en) 2005-06-07 2015-02-10 Advanced Technology Materials, Inc. Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
US20070179072A1 (en) * 2006-01-30 2007-08-02 Rao Madhukar B Cleaning formulations
WO2008039730A1 (en) * 2006-09-25 2008-04-03 Advanced Technology Materials, Inc. Compositions and methods for the removal of photoresist for a wafer rework application

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5698503A (en) * 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
US5883060A (en) * 1997-03-07 1999-03-16 Samsung Electronics Co., Ltd. Cleaning compositions for wafers used in semiconductor devices
CN1426452A (zh) * 2000-04-26 2003-06-25 大金工业株式会社 洗涤剂组合物
US20030181342A1 (en) * 2002-03-25 2003-09-25 Seijo Ma. Fatima pH buffered compositions useful for cleaning residue from semiconductor substrates
WO2004019134A1 (ja) * 2002-08-22 2004-03-04 Daikin Industries, Ltd. 剥離液
WO2004094581A1 (en) * 2003-04-18 2004-11-04 Ekc Technology, Inc. Aqueous fluoride compositions for cleaning semiconductor devices

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115368982A (zh) * 2014-06-04 2022-11-22 恩特格里斯公司 具有金属、电介质及氮化物兼容性的抗反射涂层清洗及蚀刻后残留物去除组成物
CN108138334A (zh) * 2015-07-14 2018-06-08 富士胶片电子材料美国有限公司 清洁组合物及其使用方法
US10619126B2 (en) 2015-07-14 2020-04-14 Fujifilm Electronic Materials U.S.A., Inc. Cleaning compositions and methods of use therefor

Also Published As

Publication number Publication date
TW201336973A (zh) 2013-09-16
IL187956A0 (en) 2008-03-20
US8951948B2 (en) 2015-02-10
WO2006133253A1 (en) 2006-12-14
EP1891482A1 (en) 2008-02-27
KR20140007020A (ko) 2014-01-16
EP1891482B1 (en) 2014-04-30
KR20080019049A (ko) 2008-02-29
KR101477455B1 (ko) 2014-12-29
TW201610104A (zh) 2016-03-16
US9422513B2 (en) 2016-08-23
CN101233456A (zh) 2008-07-30
KR101332501B1 (ko) 2013-11-27
US20150094248A1 (en) 2015-04-02
KR20130069825A (ko) 2013-06-26
KR101431406B1 (ko) 2014-08-18
TWI516574B (zh) 2016-01-11
EP1891482A4 (en) 2013-01-09
JP2008546036A (ja) 2008-12-18
CN102981377B (zh) 2014-11-12
CN101233456B (zh) 2013-01-02
TWI408212B (zh) 2013-09-11
SG162757A1 (en) 2010-07-29
TWI622639B (zh) 2018-05-01
TW200708597A (en) 2007-03-01
SG10201504423QA (en) 2015-07-30
EP2759881A1 (en) 2014-07-30
US20080242574A1 (en) 2008-10-02

Similar Documents

Publication Publication Date Title
CN101233456B (zh) 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
JP7018989B2 (ja) 金属、誘電体および窒化物適合性を有する、反射防止コーティング洗浄およびエッチング後残留物除去組成物
US8058219B2 (en) Metals compatible post-etch photoresist remover and/or sacrificial antireflective coating etchant
TWI426361B (zh) 用於有效地移除後蝕刻光阻劑及底層抗反射塗料之組成物
CN1938647B (zh) 用于蚀刻后去除基片上沉积的光致抗蚀剂和/或牺牲性抗反射物质的组合物和方法
EP1610185A2 (en) Composition and method using same for removing residue from a substrate

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: ANGES INC.

Free format text: FORMER OWNER: ADVANCED TECHNOLOGY MATERIALS, INC.

Effective date: 20150409

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20150409

Address after: Massachusetts, USA

Patentee after: MYKROLIS Corp.

Address before: American Connecticut

Patentee before: Advanced Technology Materials, Inc.

C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: Massachusetts, USA

Patentee after: Entergris Co.

Address before: Massachusetts, USA

Patentee before: MYKROLIS Corp.

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20141112

Termination date: 20170607

CF01 Termination of patent right due to non-payment of annual fee