JP5873718B2 - 銅の洗浄及び保護配合物 - Google Patents

銅の洗浄及び保護配合物 Download PDF

Info

Publication number
JP5873718B2
JP5873718B2 JP2011532325A JP2011532325A JP5873718B2 JP 5873718 B2 JP5873718 B2 JP 5873718B2 JP 2011532325 A JP2011532325 A JP 2011532325A JP 2011532325 A JP2011532325 A JP 2011532325A JP 5873718 B2 JP5873718 B2 JP 5873718B2
Authority
JP
Japan
Prior art keywords
acid
cleaning composition
adenosine
methyl
residues
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2011532325A
Other languages
English (en)
Other versions
JP2012506457A (ja
Inventor
バーンズ,ジェフリー,エー.
ベナック,ブライアン
ボッグズ,カール,イー.
フェン,リン
リウ,ジュン
ペトルスカ,メリッサ,エー.
ヤン,シャオドン
チャン,パン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Technology Materials Inc
Original Assignee
Advanced Technology Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials Inc filed Critical Advanced Technology Materials Inc
Publication of JP2012506457A publication Critical patent/JP2012506457A/ja
Application granted granted Critical
Publication of JP5873718B2 publication Critical patent/JP5873718B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/66Non-ionic compounds
    • C11D1/72Ethers of polyoxyalkylene glycols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/042Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2096Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/24Organic compounds containing halogen
    • C11D3/245Organic compounds containing halogen containing fluorine
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/28Heterocyclic compounds containing nitrogen in the ring
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/33Amino carboxylic acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/329Carbohydrate or derivatives thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/20Other heavy metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • C11D2111/22

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Organic Chemistry (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Emergency Medicine (AREA)
  • Molecular Biology (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Preventing Corrosion Or Incrustation Of Metals (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)

Description

[0001] 本発明は、概して、マイクロ電子デバイスがその上に有する残渣及び/又は汚染物質を、マイクロ電子デバイスから洗浄する腐食防止剤を含む組成物に関する。
[0002] マイクロ電子デバイスのウェーハは、集積回路の形成に使用される。マイクロ電子デバイスのウェーハにはシリコンなどの基板が含まれ、それに絶縁性、伝導性又は半導電性を有する様々な材料を付着させる領域のパターンを形成する。
[0003] 正確なパターン形成を得るには、基板上に層を形成する際に使用する余分な材料を除去しなければならない。さらに、機能的で確実な回路を製作するために、その後の処理の前に平坦又は平面のマイクロ電子ウェーハ表面を準備することが重要である。したがって、マイクロ電子デバイスのウェーハの特定の表面を除去及び/又は研磨することが必要である。
[0004] 化学的機械的研磨又は平坦化(「CMP」)は、研磨などの物理的処理を酸化又はキレート化などの化学的処理と結合することによって、マイクロ電子デバイスのウェーハの表面から材料を除去し、表面を研磨する(より詳細には平坦化する)プロセスである。その最も基本的な形態には、CMPはスラリー、例えば研磨剤溶液及び活性化学溶液を、マイクロ電子デバイスのウェーハの表面をバフで磨く研磨パッドに塗布し、除去、平坦化及び研磨プロセスを遂行することを含む。除去又は研磨プロセスは、純粋に物理的な作用又は純粋に化学的な作用で構成することは望ましくなく、高速で均一な除去を遂行するには両方を相乗効果的に組み合わせる。集積回路を製作する際には、その後のフォトリソグラフィ、又はパターン形成、エッチング及び薄膜処理のために高度に平坦な表面を生成できるように、CMPスラリーは、金属及び他の材料の複雑な層を含む膜を優先的に除去することもできなければならない。
[0005] 最近、集積回路の金属の相互接続に銅が使用されることが増加している。マイクロ電子デバイス製作で回路の金属被覆に一般的に使用される銅のダマシンプロセスでは、除去し、平坦化しなければならない層は、約1〜1.5μmの厚さを有する銅層、及び約0.05〜0.15μmの厚さを有する銅のシード層を含む。これらの銅の層は、銅が酸化物の誘電体材料中に拡散するのを防止する通常約50〜300Åの厚さのバリア材料の層によって誘電体材料の表面から分離されている。研磨後にウェーハ表面にわたって良好な均一性を得る1つの鍵は、各材料について適正な除去選択性を有するCMPスラリーを使用することである。
[0006] ウェーハ基板表面の準備、付着、めっき、エッチング及び化学的機械的研磨を含む上記処理作業は、マイクロ電子デバイスの製品に、製品の機能に悪影響を及ぼすか、又は製品が所期の機能には役立たないようになる汚染物質が確実にないようにするために様々な洗浄作業を必要とする。多くの場合、これらの汚染物質の粒子は0.3μmより小さい。
[0007] これに関する1つの特定の問題は、CMP処理後にマイクロ電子デバイス基板上に残される残渣である。このような残渣は、CMP材料及びベンゾトリアゾール(BTA)などの腐食防止剤化合物を含む。除去しないと、これらの残渣は銅線に損傷を引き起こしたり、又は銅の金属被覆を極度に粗くしたり、又はさらにはCMP後にデバイス基板上に塗布される層の接着を不良にすることがある。銅の金属被覆が極度に粗くなることが特に問題になる。何故なら、過度に粗い銅は、製品のマイクロ電子デバイスの電気的性能を不良にすることがあるからである。
[0008] マイクロ電子デバイスの製造に一般的な別の残渣生成プロセスは、ハードマスク、レベル間誘電体(ILD)及びエッチストップ層で構成することができる下の層に、現像したフォトレジストコーティングのパターンを転写する気相プラズマエッチングを含む。気相プラズマエッチング後の残渣は、基板上及びプラズマ気体中に存在する化学元素を含むことがあり、通常はバックエンドオブライン(BEOL)構造に付着し、除去しないと、その後の珪化物化又は接点形成を妨げることがある。従来の洗浄化学物質は、多くの場合、ILDを損傷して、ILDの孔に吸収され、それにより比誘電率を増大させ、及び/又は金属構造を腐食させる。
[0009] 本発明は、概して、マイクロ電子デバイスがその上に有する残渣及び/又は汚染物質を、マイクロ電子デバイスから洗浄する組成物及びプロセスに関する。本発明の洗浄組成物は少なくとも1つの新規の腐食防止剤を含む。残渣には、CMP後、エッチング後、及び/又はアッシング後の残渣が含まれる。
[0010] 一態様では、洗浄組成物について記載しており、上記洗浄組成物は少なくとも1つの溶媒、少なくとも1つの腐食防止剤、少なくとも1つのアミン、及び少なくとも1つの4級塩基を含み、腐食防止剤は、リボシルプリン及びそのメチル化又はデオキシ誘導体、アデノシン及びアデノシン誘導体の分解生成物、プリン−糖類複合体、メチル化又はデオキシプリン誘導体及びその反応生成物又は分解生成物、及びこれらの組合せからなる群から選択される1種を含む。
[0011] 別の態様では、キットについて記載しており、上記キットは1つ又は複数の容器内に洗浄組成物を形成する以下の試薬のうち1つ又は複数を含み、洗浄組成物は少なくとも1つの溶媒、少なくとも1つの腐食防止剤、少なくとも1つのアミン、及び少なくとも1つの4級塩基を含み、腐食防止剤は、リボシルプリン及びそのメチル化又はデオキシ誘導体、アデノシン及びアデノシン誘導体の分解生成物、プリン−糖類複合体、メチル化又はデオキシプリン誘導体及びその反応生成物又は分解生成物、及びこれらの組合せからなる群から選択される1種を含む。
[0012] さらに別の態様では、残渣及び汚染物質を、これらをその上に有するマイクロ電子デバイスから除去する方法について記載しており、上記方法は、マイクロ電子デバイスから上記残渣及び汚染物質を少なくとも部分的に洗浄するのに十分な時間、マイクロ電子デバイスを洗浄組成物と接触させる工程を含み、洗浄組成物は少なくとも1つの溶媒、少なくとも1つの腐食防止剤、少なくとも1つのアミン、及び少なくとも1つの4級塩基を含み、腐食防止剤は、リボシルプリン及びそのメチル化又はデオキシ誘導体、アデノシン及びアデノシン誘導体の分解生成物、プリン−糖類複合体、メチル化又はデオキシプリン誘導体及びその反応生成物又は分解生成物、及びこれらの組合せからなる群から選択される1種を含む。
[0013] 他の態様、特徴及び利点は、以下の開示及び添付の特許請求の範囲からより明らかになるであろう。
銅の腐食速度を図1に示す。 重量パーセント単位のアデノシン濃度及び銅の腐食速度を図2に示す。
[0014] 本発明は、概して、残渣及び汚染物質を、これらをその上に有するマイクロ電子デバイスから除去するのに有用な組成物に関する。組成物は、CMP後、エッチング後又はアッシング後の残渣の除去に特に有用である。
[0015] 参照を容易にするために、「マイクロ電子デバイス」は、半導体基板、フラットパネルディスプレイ、相変化メモリデバイス、太陽電池パネル、及びマイクロ電子技術、集積回路、又はコンピュータチップ用途に使用するために製造される太陽電池基板、光起電装置、微小電気機械システム(MEMS)等のその他の製品に相当する。太陽電池基板には、のシリコン、非晶質シリコン、多結晶シリコン、単結晶シリコン、CdTe、セレン化銅インジウム、硫化銅インジウム、及びガリウム上のガリウム砒素が含まれるが、これらに限定されない。太陽電池基板はドーピングしてもしなくてもよい。「マイクロ電子デバイス」という用語は、いかなる意味でも限定するものではなく、結果としてマイクロ電子デバイス又はマイクロ電子アセンブリになる任意の基板を含むことを理解されたい。
[0016] 本明細書で使用する「残渣」は、プラズマエッチング、アッシング、化学的機械的研磨、ウェットエッチング、及びこれらの組合せを含むが、これらに限定されないマイクロ電子デバイスの製造中に発生する粒子に相当する。
[0017] 本明細書で使用する「汚染物質」は、CMPスラリー中に存在する化学物質、研磨スラリーの反応副産物、ウェットエッチング組成物中に存在する化学物質、ウェットエッチング組成物の反応副産物、及びCMPプロセス、ウェットエッチング、プラズマエッチング又はプラズマアッシングプロセスの副産物である任意の他の物質に相当する。
[0018] 本明細書で使用する「CMP後の残渣」は、研磨スラリーからの粒子、例えばシリカ含有粒子、スラリー中に存在する化学物質、研磨スラリーの反応副産物、炭素リッチ粒子、研磨パッド粒子、ブラシ装脱粒子、機器材料構成粒子、銅、酸化銅、有機残渣、及びCMPプロセスの副産物である任意の他の物質に相当する。
[0019] 本明細書に定義するように、「低k誘電体材料」は、層状マイクロ電子デバイスで誘電体材料として使用される任意の物質に相当し、その物質は約3.5未満の比誘電率を有する。低k誘電体材料は、シリコン含有有機ポリマー、シリコン含有混成有機/無機材料、有機珪酸塩ガラス(OSG)、TEOS、フッ化珪酸塩ガラス(FSG)、二酸化珪素、及び炭素ドーピング酸化物(CDO)ガラスなどの低極性材料を含むことが好ましい。低k誘電体材料は、様々な密度及び様々な空隙率を有することがあることを理解されたい。
[0020] 本明細書に定義するように、「錯化剤」という用語は、当業者が錯化剤、キレート剤及び/又は金属イオン封鎖剤であると理解する化合物を含む。錯化剤は、本明細書に記載する組成物を使用して除去される金属原子及び/又は金属イオンと化学的に結合するか、又はそれを物理的に保持する。
[0021] 本明細書に定義するように、「バリア材料」という用語は、金属線、例えば銅相互接続部を封止して、上記金属、例えば銅が誘電体材料中に拡散するのを最小化するために当技術分野で使用されている任意の物質に相当する。好適なバリア層材料は、タンタル、チタン、ルテニウム、ハフニウム、タングステン、及び他の耐熱金属及びその窒化物及び珪化物を含む。
[0022] 本明細書に定義するように、「エッチング後の残渣」は、気相プラズマエッチングプロセス、例えばBEOL二重ダマシン処理又はウェットエッチングプロセスの後に残っている物質に相当する。エッチング後の残渣は、有機系、有機金属系、有機珪素系又は無機系のものであってもよく、例えばシリコン含有材料、炭素系有機材料、及び酸素及びフッ素などのエッチングガスの残渣であってもよい。
[0023] 本明細書に定義するように、本明細書で使用する「アッシング後の残渣」は、硬化したフォトレジスト及び/又は底部反射防止膜(BARC)材料を除去する酸化又は還元プラズマアッシングの後に残っている物質に相当する。アッシング後の残渣は、有機系、有機金属系、有機珪素系、又は無機系のものであってもよい。
[0024] 「実質的にない」とは、本明細書では2重量%未満、好ましくは1重量%未満、より好ましくは0.5重量%未満、最も好ましくは0.1重量%未満と定義される。
[0025] 本明細書で使用する「約」とは、明記された値の±5%に相当するものとする。
[0026] 本明細書に定義するように、「反応生成物又は分解生成物」は、表面の触媒作用、酸化、還元、組成成分との反応、又は他の重合の結果として形成される生成物又は副産物;物質又は材料(例えば分子、化合物など)が他の物質又は材料と結合するか、他の物質又は材料と成分を交換するか、分解するか、転位するか、又は他の方法で化学的及び/又は物理的に変性する変化又は変換の結果として形成され、上記いずれか又は上記反応、変化及び/又は変換のいずれかの組合せの中間生成物又は副産物を含む生成物又は副産物を含むが、これらに限定されない。反応生成物又は分解生成物は、元の反応体より大きいか、又は小さいモル質量を有してもよいことを理解されたい。
[0027] 本明細書において、残渣及び汚染物質をその有するマイクロ電子デバイスから残渣及び汚染物質を洗浄するための「適合性」とは、マイクロ電子デバイスから上記残渣/汚染物質を少なくとも部分的に除去することに相当する。洗浄効率は、マイクロ電子デバイス上の対象物の減少によって等級付けられる。例えば、原子間力顕微鏡を使用して洗浄前及び洗浄後の分析を実行することができる。サンプル上の粒子はピクセルの範囲として記録することができる。ヒストグラム(例えばSigma Scan Pro)を適用して、特定の強度、例えば231〜235のピクセルをフィルターにかけ、粒子の数を数えることができる。粒子の減少は下式を使用して計算することができる。

洗浄効率を決定する方法は、例示のためにのみ提供され、それらを限定するものではないことに留意されたい。あるいは、洗浄効率は、粒状物質によって覆われた全表面のパーセンテージと見なすことができる。例えば、AFMをz平面走査を実行するようにプログラムして、特定の高さの閾値より高い対象の微細構造区域を識別し、次に上記対象の区域によって覆われた総表面の面積を計算することができる。洗浄後に上記対象の区域によって覆われる面積が小さいほど、洗浄組成物が効果的であることが当業者には容易に理解されよう。本明細書に記載する組成物を使用して、マイクロ電子デバイスから残渣/汚染物質の少なくとも75%が除去されることが好ましく、より好ましくは少なくとも90%が、より好ましくは少なくとも95%が、最も好ましくは残渣/汚染物質の少なくとも99%が除去されることが好ましい。
[0028] 本明細書に記載する組成物は、以降でより詳細に述べるように多種多様な特定の配合で実施することができる。
[0029] 組成物の特定の成分についてゼロ下限を含む重量パーセント範囲で検討されるようなすべての組成物において、このような成分は組成物の様々な特定の実施形態において存在しても又は存在しなくてもよく、このような成分が存在する場合には、このような成分を使用する組成物の総重量に基づいて、わずか0.001重量パーセントの濃度で存在してもよいことが理解されよう。
[0030] 洗浄組成物は少なくとも1つの腐食防止剤を含み、腐食防止剤成分が洗浄組成物に添加されて金属、例えば銅、アルミの腐食速度を低下させ、さらに洗浄性能を向上させる。企図される腐食防止剤は、N−リボシルプリンなどのリボシルプリン、アデノシン、グアノシン、2−アミノプリンリボシド、2−メトキシアデノシン、及びN−メチルアデノシン(C1115)、N,N−ジメチルアデノシン(C1217)、トリメチル化アデノシン(C1319)、トリメチルN−メチルアデノシン(C1421)、C−4’−メチルアデノシン及び3−デオキシアデノシンなどのそれらのメチル化又はデオキシ誘導体;アデニン(C)、メチル化アデニン(例えばN−メチル−7H−プリン−6−アミン、C)、ジメチル化アデニン(例えばN,N−ジメチル−7H−プリン−6−アミン、C)、N4,N4−ジメチルピリミジン−4,5,6−トリアミン(C11)、4,5,6−トリアミノピリミジン、アラントイン(C)、ヒドロキシル化C−O−O−C二量体((C)、C−C架橋二量体((C又は(CO))、リボース(C10)、メチル化リボース(例えば5−(メトキシメチル)テトラヒドロフラン−2,3,4−トリオール、C12)、テトラメチル化リボース(例えば2,3,4−トリメトキシ−5−(メトキシメチル)テトラヒドロフラン、C18)、及びメチル化加水分解ジリボース化合物などの他のリボース誘導体を含むが、これらに限定されないアデノシン及びアデノシン誘導体の分解生成物;キシロース、グルコースなどを含むが、これらに限定されないプリン−糖類複合体;プリン、グアニン、ヒポキサンチン、キサンチン、テオブロミン、カフェイン、尿酸、及びイソグアニンなどのその他のプリン化合物、及びそれらのメチル化又はデオキシ誘導体;トリアミノピリミジン、及びアミノ置換ピリミジン類などのその他の置換ピリミジン類;化合物、反応生成物又は分解生成物、又はそれらの誘導体のいずれかの二量体、三量体、又はポリマー;及びこれらの組合せを含むが、これらに限定されない。例えば、腐食防止剤は、N−リボシルプリン、2−アミノプリンリボシド、2−メトキシアデノシン、N−メチルアデノシン、N,N−ジメチルアデノシン、トリメチル化アデノシン、トリメチルN−メチルアデノシン、C−4’−メチルアデノシン、3−デオキシアデノシン、メチル化アデニン、ジメチル化アデニン、N4,N4−ジメチルピリミジン−4,5,6−トリアミン、4,5,6−トリアミノピリミジン、ヒドロキシル化C−O−O−C二量体、C−C架橋二量体、リボース、メチル化リボース、テトラメチル化リボース、キシロース、グルコース、イソグアニン、トリアミノピリミジン、アミノ置換ピリミジン、及びこれらの組合せからなる群から選択される少なくとも1種を含むことができる。あるいは、腐食防止剤は、2−メトキシアデノシン、N−メチルアデノシン、N,N−ジメチルアデノシン、トリメチル化アデノシン、トリメチルN−メチルアデノシン、C−4’−メチルアデノシン、3−デオキシアデノシン及びこれらの組合せからなる群から選択される少なくとも1種を含むことができる。別の代替法では、腐食防止剤はアデノシンを含む。さらに別の代替法では、腐食防止剤はアデニンを含む。さらに別の代替法では、腐食防止剤は、アデノシン分解生成物及びその誘導体を含む。開示したように、腐食防止剤の組合せ、例えばプリンと組み合わせたアデニン、もまた考えられる。
[0031] 1つの実施形態では、洗浄組成物について記載しており、上記洗浄組成物は少なくとも1つの溶媒及び少なくとも1つの腐食防止剤を含む。溶媒は水を含むことが好ましく、脱イオン水を含むことがより好ましい。
[0032] 他の実施形態では、洗浄組成物は、少なくとも1つの腐食防止剤、少なくとも1つの4級塩基、少なくとも1つの有機アミン、少なくとも1つの溶媒(例えば水)、及び任意選択で、少なくとも1つの還元剤、少なくとも1つの錯化剤、少なくとも1つの補足的腐食防止剤、少なくとも1つのアルコール、少なくとも1つの界面活性剤、及びNROH(ここで、R、R、R及びRは相互に同じであっても又は異なっていてもよく、H、メチル及びエチル基からなる群から選択されるが、ただし、R、R、R及びRのうち少なくとも1つはHでなければならない)からなる群から選択される少なくとも1つの追加の種を含むか、それらからなるか、又は実質的にそれらからなる、。別の実施形態では、少なくとも1つの追加の種が、少なくとも1つの還元剤、少なくとも1つの錯化剤、少なくとも1つの補足的腐食防止剤、少なくとも1つのアルコール、少なくとも1つの界面活性剤、及びNROH(ここで、R、R、R及びRは相互に同じであっても又は異なっていてもよく、H、メチル及びエチル基からなる群から選択されるが、ただし、R、R、R及びRのうち少なくとも1つはHでなければならない)からなる群から選択され、、洗浄組成物は実質的に界面活性剤を含まない。
[0033] 特に好ましい実施形態では、洗浄組成物は、少なくとも1つの4級塩基、少なくとも1つの有機アミン、少なくとも1つの腐食防止剤、及び少なくとも1つの溶媒(例えば水)を含むか、それらかななるか、又は実質的にそれらからなり、腐食防止剤はアデノシン、アデノシン分解生成物、及びそれらの誘導体からなる群から選択される。洗浄組成物は任意選択で、少なくとも1つの還元剤、少なくとも1つの錯化剤、少なくとも1つの補足的腐食防止剤、少なくとも1つのアルコール、少なくとも1つの界面活性剤、及び(以上で定義されたような)NROH、残渣材料、又はこれらの組合せをさらに含むことができる。
[0034] 他の好ましい実施形態では、少なくとも1つの4級塩基、少なくとも1つの有機アミン、少なくとも1つの腐食防止剤、及び少なくとも1つの溶媒を含むか、それらからなる、又は実質的にそれらからなる組成物について記載しており、腐食防止剤は以下のうち少なくとも1つを含む。
(1)リボシルプリン化合物、プリンのメチル化又はデオキシ誘導体、プリン−糖類複合体、その誘導体、及びこれらの組合せからなる群から選択される少なくとも1つの化合物、
(2)(1)の少なくとも1つの化合物を、少なくとも1つの4級塩基及び少なくとも1つのアミンを含有する水溶液と接触させた後、該化合物が破壊/分解した結果生じる少なくとも1つの反応生成物又は分解生成物の混合物、
(3)N−リボシルプリン、2−アミノプリンリボシド、2−メトキシアデノシン、N−メチルアデノシン、N,N−ジメチルアデノシン、トリメチル化アデノシン、トリメチルN−メチルアデノシン、C−4’−メチルアデノシン、3−デオキシアデノシン、メチル化アデニン、ジメチル化アデニン、N4,N4−ジメチルピリミジン−4,5,6−トリアミン、4,5,6−トリアミノピリミジン、ヒドロキシル化C−O−O−C二量体、C−C架橋二量体、リボース、メチル化リボース、テトラメチル化リボース、キシロース、グルコース、イソグアニン、トリアミノピリミジン、アミノ置換ピリミジン、及びこれらの組合せからなる群から選択される少なくとも1つの化合物、
(4)2−メトキシアデノシン、N−メチルアデノシン、N,N−ジメチルアデノシン、トリメチル化アデノシン、トリメチルN−メチルアデノシン、C−4’−メチルアデノシン、3−デオキシアデノシン及びこれらの組合せからなる群から選択される少なくとも1つの化合物、
(5)アデノシン、
(6)アデニン、
(7)リボシルプリン化合物、及びそのメチル化又はデオキシ誘導体、プリン−糖類複合体、その誘導体、及びこれらの組合せからなる群から選択される少なくとも1つの化合物、及び/又は
(8)アデノシン及びアデノシン誘導体の分解生成物。

洗浄組成物は任意選択で、少なくとも1つの還元剤、少なくとも1つの錯化剤、少なくとも1つの補足的腐食防止剤、少なくとも1つのアルコール、少なくとも1つの界面活性剤、及び(以上で定義したような)NROH、残渣材料、又はこれらの組合せをさらに含むことができる。
[0035] 洗浄組成物は、残渣及び汚染物質、例えばCMP後の残渣、エッチング後の残渣、アッシング後の残渣、及びマイクロ電子デバイス構造体からの汚染物質を洗浄するのに特に有用である。実施形態に関係なく、マイクロ電子デバイスから残渣材料を除去する前に、洗浄組成物は、酸化剤;フッ化物含有源;研磨剤;分子にエーテル結合を有するアルコール;アルキルピロリドン;ポリ(塩化アクリルアミド−コ−ジアリルジメチルアンモニウム)、ポリ(アクリルアミド)、ポリ(アクリル酸)、ポリ(塩化ジアリルジメチルアンモニウム)、塩化ジアリルジメチルアンモニウム、アクリルアミド、アセトグアナミン、及びこれらの組合せを含むが、これらに限定されない表面相互作用増強剤;アルカリ及び/又はアルカリ土類金属塩基;糖アルコール;腐食防止ハロゲン化金属;及びこれらの組合せの少なくとも1つを実質的に含まないことが好ましい。さらに、洗浄組成物は、固化して、高分子固体、例えばフォトレジスト、を形成してはならない。
[0036] 洗浄組成物は、以上で列挙した腐食防止剤に加えて、補足的腐食防止剤をさらに含むことができ、補足的腐食防止剤としてはアスコルビン酸、L(+)−アスコルビン酸、イソアスコルビン酸、アスコルビン酸誘導体、ベンゾトリアゾール、クエン酸、エチレンジアミン、没食子酸、シュウ酸、タンニン酸、1,2,4−トリアゾール(TAZ)、トリルトリアゾール、5−フェニル−ベンゾトリアゾール、5−ニトロ−ベンゾトリアゾール、3−アミノ−5−メルカプト−1,2,4−トリアゾール、1−アミノ−1,2,4−トリアゾール、ヒドロキシベンゾトリアゾール、2−(5−アミノ−ペンチル)−ベンゾトリアゾール、1,2,3−トリアゾール、1−アミノ−1,2,3−トリアゾール、1−アミノ−5−メチル−1,2,3−トリアゾール、3−アミノ−1,2,4−トリアゾール、3−メルカプト−1,2,4−トリアゾール、3−イソプロピル−1,2,4−トリアゾール、5−フェニルチオール−ベンゾトリアゾール、ハロ−ベンゾトリアゾール(ハロ=F、Cl、Br又はI)、ナフトトリアゾール、2−メルカプトベンゾイミダゾール(MBI)、2−メルカプトベンゾチアゾール、4−メチル−2−フェニルイミダゾール、2−メルカプトチアゾリン、5−アミノテトラゾール、5−アミノ−1,3,4−チアジアゾール−2−チオール、2,4−ジアミノ−6−メチル−1,3,5−トリアジン、チアゾール、トリアジン、メチルテトラゾール、1,3−ジメチル−2−イミダゾリジノン、1,5−ペンタメチレンテトラゾール、1−フェニル−5−メルカプトテトラゾール、ジアミノメチルトリアジン、イミダゾリンチオン、メルカプトベンゾイミダゾール、4−メチル−4H−1,2,4−トリアゾール−3−チオール、5−アミノ−1,3,4−チアジアゾール−2−チオール、ベンゾチアゾール、リン酸トリトリル、イミダゾール、インジアゾール、安息香酸、安息香酸アンモニウム、カテコール、ピロガロール、レゾルシノール、ヒドロキノン、シアヌル酸、バルビツール酸及び1,2−ジメチルバルビツール酸などの誘導体、ピルビン酸などのαケト酸、ホスホン酸及び1−ヒドロキシエチリデン−1などのそれらの誘導体,1−ジホスホン酸(HEDP)、プロパンチオール、ベンゾヒドロキサム酸、複素環式窒素阻害剤、エチルキサントゲン酸カリウム、及びこれらの組合せが挙げられるが、これらに限定されない。例えば、洗浄組成物は、フェナントロリンとアスコルビン酸又はグリシンとアスコルビン酸の組合せを含むことができる。別の好ましい実施形態では、洗浄組成物は1,2,4−トリアゾールを含む。さらに別の好ましい実施形態では、洗浄組成物はHEDPを含む。
[0037] 特定の組成物で有用になり得る例示的アミンは、一般式NRを有する種を含み、ここでR、R及びRは相互に同じであっても又は異なっていてもよく、水素、直鎖状又は分岐鎖状のC−Cアルキル(例えばメチル、エチル、プロピル、ブチル、ペンチル、及びヘキシル)、直鎖状又は分岐鎖状のC−Cアルコール(例えばメタノール、エタノール、プロパノール、ブタノール、ペンタノール、及びヘキサノール)、及び式R−O−Rを有する直鎖状又は分岐鎖状のエーテルからなる群から選択され、ここでR及びRは相互に同じであっても又は異なっていてもよく、以上で定義したようなC−Cアルキルからなる群から選択される。R、R及びRのうち少なくとも1つが直鎖状又は分岐鎖状のC−Cアルコールであることが最も好ましい。例としては、アミノエチルエタノールアミン、N−メチルアミノエタノール、アミノエトキシエタノール、ジメチルアミノエトキシエタノール、ジエタノールアミン、N−メチルジエタノールアミン、モノエタノールアミン、トリエタノールアミン、1−アミノ−2−プロパノール、2−アミノ−1−ブタノール、イソブタノールアミン、トリエチレンジアミン、他のC−Cアルカノールアミン及びこれらの組合せなどのアルカノールアミンが挙げられるが、これらに限定されない。アミンがエーテル成分を含む場合、アミンは、アルコキシアミン、例えば1−メトキシ−2−アミノエタンと見なすことができる。代替的に、又はNRアミンに加えて、アミンは、テトラエチレンペンタミン(TEPA)、4−(2−ヒドロキシエチル)モルホリン(HEM)、N−アミノエチルピペラジン(N−AEP)、エチレンジアミンテトラ酢酸(EDTA)、1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸(CDTA)、グリシン/アスコルビン酸、イミノ二酢酸(IDA)、2−(ヒドロキシエチル)イミノ二酢酸(HIDA)、ニトリロ三酢酸、チオ尿素、1,1,3,3−テトラメチル尿素、尿素、尿素誘導体、尿酸、グリシン、アラニン、アルギニン、アスパラギン、アスパラギン酸、システイン、グルタミン酸、グルタミン、ヒスチジン、イソロイシン、ロイシン、リシン、メチオニン、フェニルアラニン、プロリン、セリン、スレオニン、トリプトファン、チロシン、バリン、及びこれらの組合せを含むが、これらに限定されない、多官能化アミンであってもよい。アミンは、モノエタノールアミン、トリエタノールアミン、EDTA、CDTA、HIDA、及びN−AEPからなる群から選択される少なくとも1種を含むことが好ましい。
[0038] 本明細書で企図される4級塩基は、式NROHを有する化合物を含み、ここでR、R、R及びRは相互に同じであっても又は異なっていてもよく、水素、直鎖状又は分岐鎖状のC−Cアルキル(例えばメチル、エチル、プロピル、ブチル、ペンチル、及びヘキシル)、及び置換又は非置換C−C10 アリール、例えばベンジルからなる群から選択される。市販されている、水酸化テトラエチルアンモニウム(TEAH)、水酸化テトラメチルアンモニウム(TMAH)、水酸化テトラプロピルアンモニウム(TPAH)、水酸化テトラブチルアンモニウム(TBAH)、水酸化トリブチルメチルアンモニウム(TBMAH)、水酸化ベンジルトリメチルアンモニウム(BTMAH)、及びこれらの組合せを含む水酸化テトラアルキルアンモニウムを使用することができる。市販されていない水酸化テトラアルキルアンモニウムは、TMAH、TEAH、TPAH、TBAH、TBMAH、及びBTMAHの調製に使用され、当業者に周知の公表された合成方法と同様の方法で調製することができる。広く使用されている別の第四アンモニウム塩基は水酸化コリンである。4級塩基ではないが、以上で述べた4級塩基の非存在下又は存在下で、水酸化セシウム又は水酸化ルビジウムの塩基を使用してもよい。4級塩基はTMAHを含むことが好ましい。
[0039] 本明細書で企図される還元剤は、アスコルビン酸、L(+)−アスコルビン酸、イソアスコルビン酸、アスコルビン酸誘導体、没食子酸、グリオキサール、及びこれらの組合せからなる群から選択される種を含む。特に好ましい実施形態では、洗浄組成物はアスコルビン酸を含む。別の特に好ましい実施形態では、洗浄組成物はアスコルビン酸及び没食子酸を含む。
[0040] 例示的アルコールとしては、直鎖状又は分岐鎖状のC−Cアルコール(例えばメタノール、エタノール、プロパノール、ブタノール、ペンタノール、及びヘキサノール)、ジオール及びトリオールが挙げられる。アルコールはイソプロパノール(IPA)を含むことが好ましい。
[0041] 本明細書に記載する組成物で使用する例示的界面活性剤としては、両性塩、カチオン界面活性剤、アニオン界面活性剤、フルオロアルキル界面活性剤、非イオン界面活性剤、及びこれらの組合せが挙げられるが、これらに限定されず、これらの具体例としては、SURFONYL(登録商標)104、TRITON(登録商標)CF−21、ZONYL(登録商標)UR、ZONYL(登録商標)FSO−100、ZONYL(登録商標)FSN−100、3M Fluoradフルオロ界面活性剤(すなわち、FC−4430及びFC−4432)、スルホコハク酸ジオクチル塩、2,3−ジメルカプト−1−プロパンスルホン酸塩、ポリエチレングリコール、ポリプロピレングリコール、ポリエチレン又はポリプロピレングリコールエーテル、カルボキシル酸塩、Rベンゼンスルホン酸又はその塩(ここで、Rは直鎖状又は分岐鎖状のC−C18アルキル基である)、両親媒性フルオロポリマー、ポリエチレングリコール、ポリプロピレングリコール、ポリエチレン又はポリプロピレングリコールエーテル、C−C18アルキルリン酸エーテル、カルボキシル酸塩、アルキル基がドデシルベンゼンスルホン酸などのC−C18アルキルである場合はアルキルアリールスルホン酸、アルキル基がC−C18アルキルである場合はアルキルアリールホスホン酸、ポリアクリレートポリマー、ジノニルフェニルポリオキシエチレン、シリコーン又は変性シリコーンポリマー、アセチレンジオール又は変性アセチレンジオール、アルキルアンモニウム又は変性アルキルアンモニウム塩、さらに、上記界面活性剤のうち少なくとも1つ、ドデシル硫酸ナトリウム、両性イオン性界面活性剤、エアロゾル−OT(AOT)及びそのフッ化類似体、アルキルアンモニウム、パーフルオロポリエーテル界面活性剤、2−スルホコハク酸塩、リン酸系界面活性剤、硫黄系界面活性剤、及びアセトアセテート系ポリマーを含む組合せが挙げられるが、これに限定されない。好ましい実施形態では、界面活性剤はアルキルベンゼンスルホン酸を含み、ドデシルベンゼンスルホン酸を含むことがより好ましい。存在する場合、界面活性剤の量は、濃縮物の総重量に基づいて約0.001重量%から約0.5重量%の範囲とすることができる。
[0042] 本明細書で企図される任意選択の錯化剤としては、酢酸、アセトンオキシム、アクリル酸、アジピン酸、アラニン、アルギニン、アスパラギン、アスパラギン酸、ベタイン、ジメチルグリオキシム、蟻酸、フマル酸、グルコン酸、グルタミン酸、グルタミン、グルタル酸、グリセリン酸、グリセロール、グリコール酸、グリオキシル酸、ヒスチジン、イミノ二酢酸、イソフタル酸、イタコン酸、乳酸、ロイシン、リシン、マレイン酸、無水マレイン酸、リンゴ酸、マロン酸、マンデル酸、2,4−ペンタンジオン、フェニル酢酸、フェニルアラニン、フタル酸、プロリン、プロピオン酸、ピロカテコール、ピロメリト酸、キナ酸、セリン、ソルビトール、コハク酸、酒石酸、テレフタル酸、トリメリト酸、トリメシン酸、チロシン、バリン、キシリトール、これらの塩及び誘導体、及びこれらの組合せが挙げられるが、これらに限定されない。好ましい実施形態では、錯化剤はヒスチジンを含むことが好ましい。
[0043] 本明細書に記載する洗浄組成物のpHは7より大きい、好ましくは約10から14を超える範囲、より好ましくは約12から約14の範囲である。好ましい実施形態では、洗浄組成物のpHは13より大きい。
[0044] 特に好ましい実施形態では、洗浄組成物は水酸化テトラメチルアンモニウム、少なくとも1つのアミン、少なくとも1つの腐食防止剤、及び水を含むか、それらからなる、又は実質的にそれらからなる。例えば、洗浄組成物は、TMAH、少なくとも1つのアルカノールアミン、CDTA、イミノ二酢酸誘導体、アデニン及び水を含むか、それらからなるか、又は実質的にそれらからなることが可能である。別の特に好ましい実施形態では、洗浄組成物は、水酸化テトラメチルアンモニウム、少なくとも1つのアミン、少なくとも1つの腐食防止剤、少なくとも1つの還元剤、及び水を含むか、それらからなる、又は実質的にそれらからなる。例えば、洗浄組成物は、TMAH、少なくとも1つのアルカノールアミン、EDTA、イミノ二酢酸誘導体、アデニン、少なくとも1つの還元剤、及び水を含むか、それらからなるか、又は実質的にそれらからなることが可能であり、少なくとも1つの還元剤は、アスコルビン酸、没食子酸、又はアスコルビン酸と没食子酸の組合せを含む。別の例では、洗浄組成物は、TMAH、N−AEP、アデノシン、少なくとも1つの還元剤、及び水を含むか、それらからなる、又は実質的にそれらからなることが可能であり、少なくとも1つの還元剤は、アスコルビン酸、没食子酸、又はアスコルビン酸と没食子酸の組合せを含む。さらに別の好ましい実施形態では、洗浄組成物は、水酸化テトラメチルアンモニウム、モノエタノールアミン、少なくとも1つの腐食防止剤、少なくとも1つの錯化剤、少なくとも1つの補足的腐食防止剤、及び水を含むか、それらからなるか、又は実質的にそれらからなる。例えば、洗浄組成物は、TMAH、少なくとも1つのアルカノールアミン、ジホスホン酸誘導体、アデニン、ヒスチジン塩、及び水を含むか、それらからなるか、又は実質的にそれらからなることが可能である。
[0045] 組成物の量に関しては、各成分の重量パーセント比は以下であることが好ましい。すなわち、4級塩基対腐食防止剤は、約0.1:1から約100:1、好ましくは約10:1から約70:1、より好ましくは約25:1から約55:1、最も好ましくは約45:1から約55:1、有機アミン対腐食防止剤が約0.1:1から約100:1、好ましくは約10:1から約70:1、より好ましくは約25:1から約55:1、最も好ましくは約40:1から約50:1である。腐食防止剤は4級塩基の存在下で分解するので、重量パーセント比は4級塩基と腐食防止剤を混合した時点での濃度に対応することができる。腐食防止剤が分解した場合、重量パーセントは経時変化することがあるが、当技術分野で周知の技術及び数学原理を使用してチェック可能であることは当業者には理解されよう。4級塩基と腐食防止剤を混合した時点における濃縮物中の腐食防止剤の濃度は、約0.001重量%から約2重量%、好ましくは約0.001重量%から約0.5重量%、最も好ましくは約0.1重量%から約1.1重量%の範囲である。
[0046] 別の特に好ましい実施形態では、洗浄組成物は水酸化テトラメチルアンモニウム、モノエタノールアミン、少なくとも1つの腐食防止剤、少なくとも1つの還元剤、少なくとも1つの補足的腐食防止剤、及び水を含むか、それらからなるか、又は実質的にそれらからなり、少なくとも1つの腐食防止剤は、アデノシン、アデノシン分解生成物、及びその誘導体を含む。組成物の量に関しては、各成分の重量パーセント比は以下であることが好ましい。すなわち、4級塩基対腐食防止剤は、約0.1:1から約100:1、好ましくは約10:1から約70:1、より好ましくは約25:1から約55:1、最も好ましくは約45:1から約55:1、有機アミン対腐食防止剤は、約0.1:1から約150:1、好ましくは約50:1から約120:1、より好ましくは約80:1から約100:1、最も好ましくは約85:1から約95:1、還元剤対腐食防止剤は、約1:1から約30:1、好ましくは約5:1から約15:1、補足的腐食防止剤対腐食防止剤は、約1:1から約50:1、好ましくは約20:1から約30:1である。例えば、少なくとも1つの還元剤は、アスコルビン酸を含むことができ、少なくとも1つの金属阻害剤は、1,2,4−トリアゾールを含むことができる。
[0047] 成分の重量パーセント比の範囲は、組成物のすべての可能な濃縮又は希釈実施形態をカバーする。そのために、1つの実施形態では、洗浄溶液として使用するために希釈することができる濃縮洗浄組成物が提供される。濃縮組成物、すなわち「濃縮物」は、使用者、例えばCMPプロセスの技術者は濃縮物を使用時点で所望の強度及びpHに希釈することができるので有利である。濃縮洗浄組成物の希釈は、約1:1から約2500:1、好ましくは約5:1から約200:1、最も好ましくは約10:1から約50:1の範囲とすることができ、洗浄組成物はツールにて、又はその直前に溶媒、例えば脱イオン水で希釈される。希釈後も、本明細書で開示した成分の重量パーセント比はそのまま変更されないべきであることを当業者には理解されたい。
[0048] 本明細書に記載する組成物は、エッチング後の残渣除去、アッシング後の残渣除去、表面の準備、めっき後の洗浄、及びCMP後の残渣除去を含むが、これらに限定されない用途に有用性を有する。さらに、本明細書に記載する洗浄組成物は、装飾用金属、金属線結合、プリント回路基板、及び金属又は合金を使用する他の電子パッケージングを含むが、これらに限定されない他の金属(例えば銅を含有する)製品の洗浄及び保護に有用であると考えられる。
[0049] さらに別の好ましい実施形態では、本明細書に記載する洗浄組成物は残渣及び/又は汚染物質をさらに含む。残渣及び汚染物質は、組成物中に溶解及び/又は懸濁することができる。残渣は、CMP後の残渣、エッチング後の残渣、アッシング後の残渣、汚染物質、又はこれらの組合せを含む。
[0050] 洗浄組成物は、個々の成分を単純に添加し、均質な状態まで混合することによって容易に配合される。さらに、組成物は、単一包装の配合物、又は使用時又はその前に混合する複数包装の配合物として容易に配合することができ、例えば複数部分の配合物の個々の部分をツールにて、又はツールの上流の保存タンク内で混合することができる。個々の成分の濃度は、組成物の倍数比で広範囲に変えることができる。すなわち、さらに希釈するか、又はさらに濃縮することができ、本明細書に記載する組成物は多様に及び代替的に本明細書の開示と矛盾がない成分の任意の組合せを含むか、又はそれらからなるか、又は実質的にそれらからなることができることが理解されよう。
[0051] 本明細書に記載する洗浄組成物の別の実施形態では、組成物は水酸化アンモニウム又はNROHをさらに含み、R、R、R及びRは相互に同じであっても又は異なっていてもよく、H、メチル及びエチル基からなる群から選択されるが、ただし、R、R、R及びRのうち少なくとも1つはHでなければならない。追加の水酸化物は、使用時点で洗浄組成物に添加することが好ましい。
[0052] したがって、別の態様は、1つ又は複数の容器内に、本明細書に記載する組成物を形成するような構成である1つ又は複数の成分を含むキットに関する。キットは工場で、又は使用時点で追加の溶媒、例えば水及び/又は(以上で定義したような)NROH、と混合するために、1つ又は複数の容器内に、少なくとも1つの腐食防止剤、少なくとも1つの4級塩基、少なくとも1つのアルカノールアミン、及び任意で、少なくとも1つの還元剤、少なくとも1つの錯化剤、少なくとも1つの補足的腐食防止剤、少なくとも1つのアルコール、及び少なくとも1つの界面活性剤からなる群から選択される少なくとも1つの追加の種を含むことができる。あるいはキットは工場で、又は使用時点で、追加の溶媒、例えば水及び/又は(以上で定義したような)NROH、と混合するために、第1の容器内に少なくとも1つの腐食防止剤を、第2の容器内に少なくとも1つの4級塩基、少なくとも1つのアルカノールアミン、及び任意で少なくとも1つの還元剤、少なくとも1つの錯化剤、少なくとも1つの補足的腐食防止剤、少なくとも1つのアルコール、及び少なくとも1つの界面活性剤からなる群から選択される少なくとも1つの追加の種を、含むことができる。キットの容器は、上記除去組成物の保存及び輸送に適切でなければならず、例えばNOWPak(登録商標)の容器(Advanced Technology Materials,Inc.、米国コネチカット州ダンベリー)がある。
[0053] 除去組成物の成分を含む1つ又は複数の容器は、配合及び分配のために、流体連通して上記1つ又は複数の容器内の成分を運ぶための手段を含むことが好ましい。例えば、NOWPak(登録商標)容器を参照すると、上記1つ又は複数の容器のライナーの外側にガス圧を加えて、ライナーの中身の少なくとも一部を放出させ、これにより配合及び分配のための流体連通を可能にすることができる。あるいは、従来の加圧可能な容器の頭隙にガス圧を加えるか、ポンプを使用して、流体連通を可能にすることができる。さらに、システムは、配合した除去組成物をプロセスツールに分配する分配口を含むことが好ましい。
[0054] 上記1つ又は複数の容器のライナーを製作するために、高密度ポリエチレンのような実質的に化学的不活性で、不純物がなく可撓性かつ弾性の高分子膜材料を使用することが好ましい。望ましいライナー材料は、共押出層又はバリア層を必要とすることなく、またライナー内に配置すべき成分の純度要件に悪影響を及ぼし得るいかなる顔料、UV抑制剤、又は処理剤もない状態で処理される。望ましいライナー材料としては、未使用(添加物がない)ポリエチレン、未使用ポリテトラフルオロエチレン(PTFE)、ポリプロピレン、ポリウレタン、ポリ塩化ビニリデン、ポリ塩化ビニル、ポリアセタール、ポリスチレン、ポリアクリロニトリル、ポリブチレンなどを含む膜が挙げられる。このようなライナー材料の好ましい厚さは、約5ミル(0.005インチ)から約30ミル(0.030インチ)の範囲、例えば20ミル(0.020インチ)の厚さである。
[0055] キットの容器に関しては、以下の特許及び特許出願の開示が、参照により個々の全体が本明細書に組み込まれる。すなわち、「APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS」と題した米国特許第7,188,644号、「RETURNABLE AND REUSABLE,BAG−IN−DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM」と題した米国特許第6,698,619号、「SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION」と題し、John E.Q.Hughesの名前で2007年5月9日に出願された米国特許出願第60/916,966号、及び「SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION」と題し、Advanced Technology Materials,Inc.の名前で2008年5月9日に出願されたPCT/US08/63276号である。
[0056] マイクロ電子製造作業に適用される場合、本明細書に記載する洗浄組成物は、マイクロ電子デバイスの表面からCMTP後の残渣及び/又は汚染物質を洗浄するために使用されるので有用である。洗浄組成物は低k誘電体材料を損傷したり、デバイス表面の金属相互接続部を腐食したりしない。洗浄組成物は、残渣を除去する前にデバイス上に存在する残渣の少なくとも85%を除去することが好ましく、より好ましくは少なくとも90%、より好ましくは少なくとも95%、最も好ましくは少なくとも99%を除去する。
[0057] CMP後の残渣及び汚染物質を洗浄する用途では、洗浄組成物は、Verteqの単一ウェーハメガソニックGoldfinger、OnTrak systems DDS(両面スクラバー)、SEZ又は他の単一ウェーハ噴霧すすぎ、Applied Materials Mirra−MesaTM/ReflexionTM/Reflexion LKTM、及びMegasonicのバッチウェットベンチシステムを含むが、これらに限定されないメガソニック及びブラシスクラビングのような多種多様な従来の洗浄ツールとともに使用することができる。
[0058] CMP後の残渣、エッチング後の残渣、アッシング後の残渣及び/又は汚染物質をその上に有するマイクロ電子デバイスから、これらを洗浄するために本明細書に記載する組成物を使用する際に、洗浄組成物は通常、約5秒から約10分、好ましくは約1秒から20分、好ましくは約15秒から約5分の間、約20℃から約90℃、好ましくは約20℃から約50℃の範囲の温度でデバイスと接触する。このような接触時間及び温度は例示的であり、この方法の広範な実践の範囲内で、CMP後の残渣/汚染物質をデバイスから少なくとも部分的に洗浄するのに有効である任意の他の適切な時間及び温度条件を用いることができる。「少なくとも部分的に洗浄する」及び「実質的に除去」は両方とも、残渣を除去する前にデバイス上に存在する残渣の少なくとも85%を、好ましくは少なくとも90%、より好ましくは少なくとも95%、最も好ましくは少なくとも99%を除去することに相当する。
[0059] 所望の洗浄作用を達成した後、洗浄組成物は、本明細書に記載する組成物の所与の最終使用用途で望ましく、有効であるように、それが塗布されていたデバイスから直ちに除去することができる。すすぎ溶液は脱イオン水を含むことが好ましい。その後、デバイスは窒素又はスピン乾燥サイクルを使用して乾燥することができる。
[0060] さらに別の態様は、本明細書に記載する方法により作成された改良型のマイクロ電子デバイス、及びこのようなマイクロ電子デバイスを含む製品に関する。
[0061] 別の態様はリサイクルされた洗浄組成物に関し、洗浄組成物は、残渣及び/又は汚染物質の負荷が、当業者によって容易に決定されるような洗浄組成物の収容可能最大量に到達するまでリサイクルすることができる。
[0062] 他の態様はマイクロ電子デバイスを備える商品を製造する方法に関し、上記方法は、CMP後の残渣及び汚染物質を、これらをその上に有するマイクロ電子デバイスから洗浄するのに十分な時間だけ、マイクロ電子デバイスを洗浄組成物と接触させることと、上記マイクロ電子デバイスを上記商品に組み込むことと、本明細書に記載する洗浄組成物を使用することとを含む。
[0063] 別の態様では、CMP後の残渣及び汚染物質を、これらをその上に有するマイクロ電子デバイスから除去する方法について記載する。該方法は、
マイクロ電子デバイスをCMPスラリーで研磨する工程と、
CMP後の残渣及び汚染物質をマイクロ電子デバイスから除去し、CMP後の残渣含有組成物を形成するのに十分な時間、少なくとも1つの腐食防止剤を含む洗浄組成物にマイクロ電子デバイスを接触させる工程と、
マイクロ電子デバイスの実質的な洗浄を実行するのに十分な量の時間、CMP後の残渣 含有組成物にマイクロ電子デバイスを接触させ続ける工程を含み、
少なくとも1つの腐食防止剤は、リボシルプリン及びそのメチル化又はデオキシ誘導体、アデノシン及びアデノシン誘導体の分解生成物、プリン−糖類複合体、他のプリン化合物及びそのメチル化又はデオキシ誘導体、及びこれらの組合せからなる群から選択される1種を含む。
[0064] 別の態様は、洗浄組成物と、マイクロ電子デバイスのウェーハと、残渣、汚染物質及びこれらの組合せからなる群から選択される材料とを含む製品に関し、洗浄組成物は少なくとも1つの溶媒、少なくとも1つの腐食防止剤、少なくとも1つのアミン、及び少なくとも1つの4級塩基を含み、少なくとも1つの腐食防止剤は、リボシルプリン及びそのメチル化又はデオキシ誘導体、アデノシン及びアデノシン誘導体の分解生成物、プリン−糖類複合体、他のプリン化合物及びそのメチル化又はデオキシ誘導体、及びこれらの組合せからなる群から選択される1種を含み、残渣はCMP後の残渣、エッチング後の残渣及びアッシング後の残渣のうち少なくとも1つを含む。
[0065] 特徴及び利点を、以下で検討する例示的な実施例によってより詳細に示す。
[0066] 5重量%のTMAH、4.5重量%のMEA、2.4重量%のアデノシン及び87.1重量%の水を含む組成物を80℃でエージングし、質量分析法を使用して、アデノシン関連の材料が減少するか、又は消失し、その代わりにアデニン関連の材料が生成されたことを判定した。いかなる理論にも束縛されないが、アデノシンが分解してアデニン及びリボースを形成し、アデノシン、アデニン及びリボースが分解プロセス中にメチル化されるとも仮定し得る。アデニン及びリボースはさらに分解することがある。さらに、二量体が形成されることがある。
[0067] すぐに使用するために、5重量%のTMAH、4.5重量%のMEA及び表1に示す重量パーセントのアデノシン及び水を含む洗浄組成物の濃縮物を調製した。濃縮物を水で30:1(水対濃縮物)に希釈し、被覆したECDの銅ウェーハを各組成物に25℃で静的に浸漬することにより、銅の腐食速度を測定した。銅の腐食結果を表1に作表し、腐食速度を図1に示す。
[0068] 5重量%のTMAH、4.5重量%のMEA、2.4重量%のアデノシン、及び88.1重量%の水(配合物P)を含む洗浄組成物の濃縮物を調製した。濃縮物を55℃で17日間エージングし、サンプルを定期的に取り出して、組成物中のアデノシンの濃度をチェックし、さらに上記サンプルと接触した場合の銅の腐食速度を測定した。被覆したECDの銅ウェーハを取り出した各サンプルに25℃で静的に浸漬することにより、銅の腐食速度を測定した。高速液体クロマトグラフィ(HPLC)を使用して、取り出したサンプル中のアデノシン濃度を測定した。重量パーセント単位のアデノシン濃度及び銅の腐食速度を図2に示す。
[0069] 実施例1の洗浄組成物の濃縮物F及び濃縮物G(9重量%のMEA、5重量%のTMAH、3.5重量%のアスコルビン酸、及び82.50重量%の水)を調製した。被覆したEDCの銅ウェーハを以下のように順番に接触させた。化学物質15秒、ブラシ箱1内にてDI水で15秒間すすぐ、化学物質60秒、及びブラシ箱2内にてDI水で15秒すすぐ。使用した化学物質は、(a)濃縮物Fの60:1希釈である配合物H、(b)エージングした濃縮物F(80℃で1晩エージング)の60:1希釈である配合物I、又は(c)濃縮物Gの60:1希釈である配合物J。それぞれの結果は、原子間力顕微鏡を使用して測定したところ、ウェーハ上の欠陥数はほぼ同じであった。配合物のエージングは、欠陥数に重大な影響を与えなかった。とはいうものの、配合物H及びJを使用して順番に接触させた後、(ヘイズ数での)粗さを比較したところ、配合物Hを使用したヘイズ数は、配合物Jを使用したものよりほぼ50%少ないことが判定された。
[0070] 被覆したBLACK DIAMOND(BD)のウェーハを配合物H、配合物K(濃縮物Fの30:1希釈)、配合物I、及び配合物L(エージングした濃縮物F(80℃で1晩エージング)の30:1希釈)に25℃で5分間静的に浸漬し、その後でDI水で25℃で2分間すすぎ、BDの比誘電率を測定した。BDウェーハの比誘電率に有意の変化は観察されなかった。
[0071] 被覆したEDCの銅ウェーハを配合物H、K、I及びLに25℃で5分間静的又は動的に浸漬し(3000rpmで攪拌)、その後にDI水で25℃で2分間すすぎ、銅の腐食速度を測定した。最低腐食速度は、配合物H(動的)及び配合物I(静的)について観察された。
[0072] Sematech854のウェーハを配合物H、K、I及びLに25℃で5分間動的に浸漬し(3000rpmで攪拌)、その後にDI水で25℃で2分間すすぎ、AFMを使用して洗浄効率を測定した。配合物はすべて実質的に同じ洗浄効率を呈した。
[0073] 以下の配合物を調製した。
配合物M:5重量%のTMAH、2.79重量%のTEPA、0.1重量%のアデノシン、92.11重量%の水
配合物N:5重量%のTMAH、3.17重量%のN-AEP、0.1重量%のアデノシン、91.73重量%の水
配合物O:5重量%のTMAH、9.70重量%のHEM、0.1重量%のアデノシン、86.20重量%の水
[0074] 配合物F、M、N及びOを水で30:1に希釈し、被覆したECDの銅ウェーハを各希釈配合物に25℃で300秒間動的に浸漬し(50rps)、その後にDI水で25℃で120秒間すすいだ。下表2に提供されているように、それぞれについて銅の腐食速度を測定した。
[0075] MEA以外のアミンを、本明細書で開示した洗浄組成物中で使用することができ、銅の腐食速度の結果が同様であったことが分かる。
[0076] アデノシン腐食防止剤の分解を遅くして洗浄組成物の貯蔵寿命を延ばすために、本明細書で開示した洗浄組成物に犠牲酸化防止剤を添加できるか否か判定した。
[0077] 0.1重量%のリポ酸又はアスコルビン酸を含む(それに伴って、存在する水の量が減少する)ように配合物Pを変化させ、55℃で4日間維持した。実験の最後において、アデノシンの濃度は0.1重量%未満であり、アデノシンがまだ分解中であることを示した。
[0078] 同じモル量のアデノシン及びリポ酸又はアスコルビン酸を有する組成物を配合して第2の実験を実行した。組成物はほぼ配合物Pのものであった。リポ酸及びアスコルビン酸を含む組成物を80℃で0、2、4、8、16及び24時間エージングした。HPLCの結果によると、リポ酸及びアスコルビン酸は経時的に分解率を遅らせた。
[0079] 例示的実施形態及び特徴に関して、本明細書で本発明を様々に開示してきたが、上記実施形態及び特徴は本発明を限定するものではなく、本明細書の開示に基づいて他の変形、変更及び他の実施形態を思い付くことができることを当業者であれば理解されよう。したがって、本発明はすべてのこのような変形、変更及び代替実施形態を以降で記載する特許請求の範囲の精神及び範囲に含むものと広義に解釈されたい。

Claims (18)

  1. 少なくとも1つの溶媒、少なくとも1つの腐食防止剤、少なくとも1つの有機アミン、及び少なくとも1つの4級塩基を含む洗浄組成物であって、
    前記少なくとも1つの腐食防止剤がN−メチルアデノシン、N,N−ジメチルアデノシン、トリメチル化アデノシン、トリメチルN−メチルアデノシン、C−4’−メチルアデノシンメチル化アデニン、ジメチル化アデニンメチル化リボース、テトラメチル化リボース及びこれらの組合せからなる群から選択される1種を含み、
    前記少なくとも1つの4級塩基が、式NROHを有し、ここで、R、R、R及びRが同じであっても又は互いに異なっていてもよく、水素、直鎖状のC−Cアルキル、分岐鎖状のC−Cアルキル、置換C−C10アリール、及び非置換C−C10アリールからなる群から選択される、洗浄組成物。
  2. pHが12から14の範囲である、請求項1に記載の洗浄組成物。
  3. 前記溶媒が水を含む、請求項1又は2に記載の洗浄組成物。
  4. 残渣及び汚染物質をさらに含み、前記残渣が、化学的機械的研磨(CMP後)の残渣、エッチング後の残渣、アッシング後の残渣、又はこれらの組合せを含む、請求項1〜3のいずれか1項に記載の洗浄組成物。
  5. 前記組成物が、5:1から200:1の範囲で希釈される、請求項1〜4のいずれか1項に記載の洗浄組成物。
  6. 前記組成物が、酸化剤、フッ化物含有源、研磨剤、分子にエーテル結合を有するアルコール、アルキルピロリドン、表面相互作用増強剤、アルカリ及びアルカリ土類金属塩基、糖アルコール、腐食防止ハロゲン化金属及びこれらの組合せの少なくとも1つを含まず、前記洗浄組成物が凝固して高分子固体を形成せず、
    前記表面相互作用増強剤が、ポリ(塩化アクリルアミド−コ−ジアリルジメチルアンモニウム)、ポリ(アクリルアミド)、ポリ(アクリル酸)、ポリ(塩化ジアリルジメチルアンモニウム)、塩化ジアリルジメチルアンモニウム、アクリルアミド、アセトグアナミン、及びこれらの組合せからなる群から選択される、請求項1〜5のいずれか1項に記載の洗浄組成物。
  7. 前記少なくとも1つの有機アミンが、アミノエチルエタノールアミン、N−メチルアミノエタノール、アミノエトキシエタノール、ジメチルアミノエトキシエタノール、ジエタノールアミン、N−メチルジエタノールアミン、モノエタノールアミン、トリエタノールアミン、1−アミノ−2−プロパノール、2−アミノ−1−ブタノール、イソブタノールアミン、トリエチレンジアミン、テトラエチレンペンタミン(TEPA)、4−(2−ヒドロキシエチル)モルホリン(HEM)、N−アミノエチルピペラジン(N−AEP)、エチレンジアミンテトラ酢酸(EDTA)、1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸(CDTA)、グリシン/アスコルビン酸、イミノ二酢酸(IDA)、2−(ヒドロキシエチル)イミノ二酢酸(HIDA)、ニトリロ三酢酸、チオ尿素、1,1,3,3−テトラメチル尿素、尿素、尿酸、グリシン、アラニン、アルギニン、アスパラギン、アスパラギン酸、システイン、グルタミン酸、グルタミン、ヒスチジン、イソロイシン、ロイシン、リシン、メチオニン、フェニルアラニン、プロリン、セリン、スレオニン、トリプトファン、チロシン、バリン、1−メトキシ−2−アミノエタン、及びこれらの組合せからなる群から選択される少なくとも1種を含む、請求項1〜6のいずれか1項に記載の洗浄組成物。
  8. 少なくとも1つの還元剤、少なくとも1つの錯化剤、少なくとも1つのアルコール、少なくとも1つの界面活性剤、及びNROH(ここで、R、R、R及びRは互いに同じであっても異なっていてもよく、H、メチル及びエチル基からなる群から選択され、ただし、R、R、R及びRのうち少なくとも1つがHでなければならない)からなる群から選択される少なくとも1つの追加成分をさらに含む、請求項1〜7のいずれか1項に記載の洗浄組成物。
  9. 少なくとも1つの還元剤をさらに含む、請求項8に記載の洗浄組成物。
  10. 少なくとも1つの錯化剤をさらに含む、請求項8又は9に記載の洗浄組成物。
  11. 前記少なくとも1つの還元剤が、アスコルビン酸、L(+)−アスコルビン酸、イソアスコルビン酸、アスコルビン酸誘導体、没食子酸、グリオキサール、及びこれらの組合せからなる群から選択される1種を含む、請求項8〜10のいずれか1項に記載の洗浄組成物。
  12. アスコルビン酸、L(+)−アスコルビン酸、イソアスコルビン酸、アスコルビン酸誘導体、ベンゾトリアゾール、クエン酸、エチレンジアミン、没食子酸、シュウ酸、タンニン酸、1,2,4−トリアゾール(TAZ)、トリルトリアゾール、5−フェニル−ベンゾトリアゾール、5−ニトロ−ベンゾトリアゾール、3−アミノ−5−メルカプト−1,2,4−トリアゾール、1−アミノ−1,2,4−トリアゾール、ヒドロキシベンゾトリアゾール、2−(5−アミノーペンチル)−ベンゾトリアゾール、1,2,3−トリアゾール、1−アミノ−1,2,3−トリアゾール、1−アミノ−5−メチル−1,2,3−トリアゾール、3−アミノ−1,2,4−トリアゾール、3−メルカプト−1,2,4−トリアゾール、3−イソプロピル−1,2,4−トリアゾール、5−フェニルチオール−ベンゾトリアゾール、ナフトトリアゾール、2−メルカプトベンゾイミダゾール(MBI)、2−メルカプトベンゾチアゾール、4−メチル−2−フェニルイミダゾール、2−メルカプトチアゾリン、5−アミノテトラゾール、5−アミノ−1,3,4−チアジアゾール−2−チオール、2,4−ジアミノ−6−メチル−1,3,5−トリアジン、チアゾール、トリアジン、メチルテトラゾール、1,3−ジメチル−2−イミダゾリジノン、1,5−ペンタメチレンテトラゾール、1−フェニル−5−メルカプトテトラゾール、ジアミノメチルトリアジン、イミダゾリンチオン、メルカプトベンゾイミダゾール、4−メチル−4H−1,2,4−トリアゾール−3−チオール、5−アミノ−1,3,4−チアジアゾール−2−チオール、ベンゾチアゾール、リン酸トリトリル、イミダゾール、インジアゾール、安息香酸、安息香酸アンモニウム、カテコール、ピロガロール、レゾルシノール、ヒドロキノン、シアヌル酸、バルビツール酸及び1,2−ジメチルバルビツール酸、ピルビン酸、ホスホン酸、1−ヒドロキシエチリデン−1,1−ジホスホン酸(HEDP)、プロパンチオール、ベンゾヒドロキサム酸、エチルキサントゲン酸カリウム、及びこれらの組合せからなる群から選択される1種を含む、少なくとも1つの追加の腐食防止剤を更に含む、請求項1〜11のいずれか1項に記載の洗浄組成物。
  13. 前記錯化剤が、酢酸、アセトンオキシム、アクリル酸、アジピン酸、アラニン、アルギニン、アスパラギン、アスパラギン酸、ベタイン、ジメチルグリオキシム、蟻酸、フマル酸、グルコン酸、グルタミン酸、グルタミン、グルタル酸、グリセリン酸、グリセロール、グリコール酸、グリオキシル酸、ヒスチジン、イミノジ酢酸、イソフタル酸、イタコン酸、乳酸、ロイシン、リシン、マレイン酸、無水マレイン酸、リンゴ酸、マロン酸、マンデル酸、2,4−ペンタンジオン、フェニル酢酸、フェニルアラニン、フタル酸、プロリン、プロピオン酸、ピロカテコール、ピロメリト酸、キナ酸、セリン、ソルビトール、コハク酸、酒石酸、テレフタル酸、トリメリト酸、トリメシン酸、チロシン、バリン、キシリトール、これらの塩、及びこれらの組合せからなる群から選択される1種を含む、請求項10に記載の洗浄組成物。
  14. 1つ又は複数の容器内に洗浄組成物を形成する以下の試薬のうち1つ又は複数を含むキットであって、前記洗浄組成物が、少なくとも1つの溶媒、少なくとも1つの腐食防止剤、少なくとも1つの有機アミン、及び少なくとも1つの4級塩基を含み、前記腐食防止剤がN−メチルアデノシン、N,N−ジメチルアデノシン、トリメチル化アデノシン、トリメチルN−メチルアデノシン、C−4’−メチルアデノシンメチル化アデニン、ジメチル化アデニン、メチル化リボース、テトラメチル化リボース及びこれらの組合せからなる群から選択される1種を含み、
    前記少なくとも1つの4級塩基が、式NROHを有し、ここで、R、R、R及びRが同じであっても又は互いに異なっていてもよく、水素、直鎖状のC−Cアルキル、分岐鎖状のC−Cアルキル、置換C−C10アリール、及び非置換C−C10アリールからなる群から選択される、キット。
  15. その上に残渣及び汚染物質を有するマイクロ電子デバイスから残渣及び汚染物質を除去する方法であって、前記マイクロ電子デバイスから前記残渣及び汚染物質を少なくとも部分的に洗浄するのに十分な時間、前記マイクロ電子デバイスを洗浄組成物と接触させる工程を含み、前記洗浄組成物が、少なくとも1つの溶媒、少なくとも1つの腐食防止剤、少なくとも1つの有機アミン、及び少なくとも1つの4級塩基を含み、前記腐食防止剤がN−メチルアデノシン、N,N−ジメチルアデノシン、トリメチル化アデノシン、トリメチルN−メチルアデノシン、C−4’−メチルアデノシンメチル化アデニン、ジメチル化アデニンメチル化リボース、テトラメチル化リボース及びこれらの組合せからなる群から選択される1種を含み、
    前記少なくとも1つの4級塩基が、式NROHを有し、ここで、R、R、R及びRが同じであっても又は互いに異なっていてもよく、水素、直鎖状のC−Cアルキル、分岐鎖状のC−Cアルキル、置換C−C10アリール、及び非置換C−C10アリールからなる群から選択される、方法。
  16. 前記残渣がエッチング後の残渣、アッシング後の残渣、化学的機械的研磨後の残渣、又はこれらの組み合わせを含む、請求項15に記載の方法。
  17. 使用時、又はその前に前記洗浄組成物を溶媒で希釈する工程をさらに含み、前記溶媒が水を含む、請求項15又は16に記載の方法。
  18. 前記少なくとも1つの4級塩基が、水酸化テトラエチルアンモニウム(TEAH)、水酸化テトラメチルアンモニウム(TMAH)、水酸化テトラプロピルアンモニウム(TPAH)、水酸化テトラブチルアンモニウム(TBAH)、水酸化トリブチルメチルアンモニウム(TBMAH)、水酸化ベンジルトリメチルアンモニウム(BTMAH)、水酸化コリン、及びこれらの組合せから成る群から選択される1種を含む、請求項1〜13のいずれか1項に記載の洗浄組成物。
JP2011532325A 2008-10-21 2009-10-20 銅の洗浄及び保護配合物 Active JP5873718B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US10731908P 2008-10-21 2008-10-21
US61/107,319 2008-10-21
US11822108P 2008-11-26 2008-11-26
US61/118,221 2008-11-26
PCT/US2009/061263 WO2010048139A2 (en) 2008-10-21 2009-10-20 Copper cleaning and protection formulations

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2015208297A Division JP6133959B2 (ja) 2008-10-21 2015-10-22 銅の洗浄及び保護配合物

Publications (2)

Publication Number Publication Date
JP2012506457A JP2012506457A (ja) 2012-03-15
JP5873718B2 true JP5873718B2 (ja) 2016-03-01

Family

ID=42119929

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2011532325A Active JP5873718B2 (ja) 2008-10-21 2009-10-20 銅の洗浄及び保護配合物
JP2015208297A Active JP6133959B2 (ja) 2008-10-21 2015-10-22 銅の洗浄及び保護配合物

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2015208297A Active JP6133959B2 (ja) 2008-10-21 2015-10-22 銅の洗浄及び保護配合物

Country Status (6)

Country Link
US (2) US9074170B2 (ja)
JP (2) JP5873718B2 (ja)
KR (1) KR101752684B1 (ja)
CN (1) CN102197124B (ja)
TW (1) TWI456052B (ja)
WO (1) WO2010048139A2 (ja)

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
CN104804903B (zh) * 2010-01-29 2018-10-30 恩特格里斯公司 附有金属布线的半导体用清洗剂
TWI548738B (zh) 2010-07-16 2016-09-11 安堤格里斯公司 用於移除蝕刻後殘餘物之水性清潔劑
SG186294A1 (en) * 2010-07-19 2013-02-28 Basf Se Aqueous alkaline cleaning compositions and methods of their use
FR2965260B1 (fr) * 2010-09-27 2012-08-31 Arkema France Composition neutralisante et biostatique pour fluides aqueux
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
RU2631870C2 (ru) * 2012-02-06 2017-09-28 Басф Се Композиция для очистки после химико-механического полирования (после - смр), содержащая конкретное содержащее серу соединение и сахарный спирт или поликарбоновую кислоту
WO2013118042A1 (en) * 2012-02-06 2013-08-15 Basf Se A post chemical-mechanical-polishing (post-cmp) cleaning composition comprising a specific sulfur-containing compound and comprising no significant amounts of specific nitrogen-containing compounds
CN103254252B (zh) * 2012-02-15 2015-10-21 中国石油化工股份有限公司 一种油田污水处理抗氧型缓蚀剂的制备方法
KR102105381B1 (ko) 2012-02-15 2020-04-29 엔테그리스, 아이엔씨. 조성물을 이용한 cmp-후 제거 방법 및 그의 이용 방법
WO2013138278A1 (en) * 2012-03-12 2013-09-19 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
US20150045277A1 (en) * 2012-03-18 2015-02-12 Entegris, Inc. Post-cmp formulation having improved barrier layer compatibility and cleaning performance
US9678430B2 (en) 2012-05-18 2017-06-13 Entegris, Inc. Composition and process for stripping photoresist from a surface including titanium nitride
WO2013173743A2 (en) * 2012-05-18 2013-11-21 Advanced Technology Materials, Inc. Aqueous clean solution with low copper etch rate for organic residue removal improvement
US9481855B2 (en) * 2012-09-17 2016-11-01 Ekc Technology Inc Cleaning composition and method for cleaning a semiconductor device substrate after chemical mechanical polishing
CN102925905B (zh) * 2012-12-03 2014-08-13 中昊(大连)化工研究设计院有限公司 铜及合金设备用酸洗缓蚀剂
KR102118964B1 (ko) * 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Iii-v 반도체 물질을 세척하기 위한 조성물 및 이를 사용하는 방법
JP5831440B2 (ja) 2012-12-17 2015-12-09 株式会社ダイヤメット 粉末冶金用原料粉末
CN107722251A (zh) 2012-12-26 2018-02-23 三菱化学株式会社 聚碳酸酯二元醇以及使用聚碳酸酯二元醇而得到的聚氨酯
KR101933528B1 (ko) * 2012-12-28 2019-03-15 동우 화인켐 주식회사 구리계 금속막의 식각액 조성물 및 이를 이용한 액정표시장치용 어레이 기판의 제조방법
SG11201507014RA (en) 2013-03-04 2015-10-29 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
EP2971248B1 (en) * 2013-03-15 2021-10-13 CMC Materials, Inc. Aqueous cleaning composition for post copper chemical mechanical planarization
KR20170066696A (ko) * 2013-03-16 2017-06-14 피알시-데소토 인터내쇼날, 인코포레이티드 금속 기판을 위한 알칼리성 세정 조성물
JP6203525B2 (ja) * 2013-04-19 2017-09-27 関東化學株式会社 洗浄液組成物
CN105143517A (zh) * 2013-04-22 2015-12-09 高级技术材料公司 铜清洁和保护配制物
TWI651396B (zh) * 2013-06-06 2019-02-21 美商恩特葛瑞斯股份有限公司 選擇性蝕刻氮化鈦之組成物及方法
EP3027709A4 (en) 2013-07-31 2017-03-29 Entegris, Inc. AQUEOUS FORMULATIONS FOR REMOVING METAL HARD MASK AND POST-ETCH RESIDUE WITH Cu/W COMPATIBILITY
SG10201801575YA (en) 2013-08-30 2018-03-28 Entegris Inc Compositions and methods for selectively etching titanium nitride
US9834746B2 (en) * 2013-10-21 2017-12-05 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulations for removing residues on surfaces
JP6400897B2 (ja) * 2013-11-06 2018-10-03 ニッタ・ハース株式会社 研磨組成物
KR101964901B1 (ko) 2013-12-06 2019-04-02 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 표면 잔류물 제거용 세정 제형
TWI654340B (zh) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
EP3084809A4 (en) 2013-12-20 2017-08-23 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
WO2015116818A1 (en) * 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
JP2015165562A (ja) * 2014-02-06 2015-09-17 三菱化学株式会社 半導体デバイス用基板洗浄液及び半導体デバイス用基板の洗浄方法
JP6488740B2 (ja) * 2014-02-06 2019-03-27 三菱ケミカル株式会社 半導体デバイス用基板洗浄液及び半導体デバイス用基板の洗浄方法
TWI636131B (zh) * 2014-05-20 2018-09-21 日商Jsr股份有限公司 清洗用組成物及清洗方法
KR102058426B1 (ko) * 2015-01-05 2019-12-24 엔테그리스, 아이엔씨. 화학적 기계적 연마 후 제제 및 사용 방법
CN117625325A (zh) * 2015-01-13 2024-03-01 Cmc材料股份有限公司 用于在化学机械抛光后清洁半导体晶片的清洁组合物及方法
CN104593791B (zh) * 2015-02-08 2017-04-05 浙江同诚合金铜管有限公司 高锌铜合金管材冷轧管用清洗液
JP6445736B2 (ja) * 2015-04-30 2018-12-26 ダウ グローバル テクノロジーズ エルエルシー アルキルベンゼンスルホン酸のアミン塩及び洗剤製剤におけるその使用
BR112017025258B1 (pt) 2015-05-28 2022-03-22 Ecolab Usa Inc Método para inibição de corrosão de uma superfície de metal em contato com um sistema aquoso
ES2949192T3 (es) 2015-05-28 2023-09-26 Ecolab Usa Inc Inhibidores de la corrosión de bencimidazol sustituido en 2
JP6849608B2 (ja) 2015-05-28 2021-03-24 エコラボ ユーエスエー インコーポレイティド 新規腐食抑制剤
BR112017024847B8 (pt) 2015-05-28 2022-04-12 Ecolab Usa Inc Método para inibição da corrosão de uma superfície de metal em contato com um sistema aquoso
WO2017023348A1 (en) * 2015-08-06 2017-02-09 Kyzen Corporation Water tolerant solutions and process to remove polymeric soils and clean micro electronic substrates
US10319605B2 (en) 2016-05-10 2019-06-11 Jsr Corporation Semiconductor treatment composition and treatment method
JP6697362B2 (ja) * 2016-09-23 2020-05-20 株式会社フジミインコーポレーテッド 表面処理組成物、ならびにこれを用いた表面処理方法および半導体基板の製造方法
CN110506100A (zh) * 2017-04-11 2019-11-26 恩特格里斯公司 化学机械研磨后调配物和使用方法
US11377624B2 (en) 2017-12-08 2022-07-05 Basf Se Cleaning composition for post-etch or post ash residue removal from a semiconductor substrate and corresponding manufacturing process
CN111902379B (zh) 2018-03-28 2023-02-17 富士胶片电子材料美国有限公司 清洗组合物
CN110724916A (zh) * 2018-07-16 2020-01-24 友矿材料股份有限公司 背板的液冷管活化方法
US11091727B2 (en) * 2018-07-24 2021-08-17 Versum Materials Us, Llc Post etch residue cleaning compositions and methods of using the same
KR20210052445A (ko) * 2018-08-30 2021-05-10 미쯔비시 케미컬 주식회사 세정액, 세정 방법 및 반도체 웨이퍼의 제조 방법
WO2020096760A1 (en) * 2018-11-08 2020-05-14 Entegris, Inc. Post cmp cleaning composition
CN109576722A (zh) * 2019-01-31 2019-04-05 深圳市华星光电技术有限公司 铜清洗剂
KR20210125997A (ko) * 2019-02-13 2021-10-19 가부시끼가이샤 도꾸야마 차아염소산 이온, 및 pH 완충제를 함유하는 반도체 웨이퍼의 처리액
CN113004801B (zh) * 2019-12-20 2024-03-12 安集微电子(上海)有限公司 一种化学机械抛光液
WO2021131451A1 (ja) * 2019-12-26 2021-07-01 富士フイルムエレクトロニクスマテリアルズ株式会社 洗浄方法、洗浄液
KR102239633B1 (ko) 2020-04-02 2021-04-13 주식회사 에이앤피티 동 재질 시편 세척기
JP2023545035A (ja) * 2020-10-05 2023-10-26 インテグリス・インコーポレーテッド ポストcmp洗浄組成物
WO2022076252A1 (en) * 2020-10-05 2022-04-14 Entegris, Inc. Microelectronic device cleaning composition
KR20230128049A (ko) 2021-02-03 2023-09-01 후지필름 가부시키가이샤 반도체 기판용 세정액
WO2022221497A1 (en) * 2021-04-16 2022-10-20 Entegris, Inc. Cleaning composition
CN113652316B (zh) * 2021-07-13 2022-07-08 张家港安储科技有限公司 一种不含季铵碱的清洗液
CN113652317A (zh) * 2021-07-16 2021-11-16 张家港安储科技有限公司 一种用于在半导体晶圆清洗过程中的化学机械研磨后的清洗组合物
CN113921383B (zh) 2021-09-14 2022-06-03 浙江奥首材料科技有限公司 一种铜表面钝化组合物、其用途及包含其的光刻胶剥离液
CN114908341B (zh) * 2022-07-18 2022-09-27 深圳市板明科技股份有限公司 一种pcb化学镍钯金镀层专用表面处理剂及其制备方法
JP7466045B2 (ja) 2022-09-06 2024-04-11 花王株式会社 基板処理方法

Family Cites Families (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3530282A1 (de) 1985-08-24 1987-03-05 Hoechst Ag Verfahren zum entschichten von lichtgehaerteten photoresistschichten
JPS63274149A (ja) 1987-05-06 1988-11-11 Mitsubishi Gas Chem Co Inc 半導体処理剤
JP2906590B2 (ja) 1990-06-14 1999-06-21 三菱瓦斯化学株式会社 アルミニウム配線半導体基板の表面処理剤
US5981454A (en) 1993-06-21 1999-11-09 Ekc Technology, Inc. Post clean treatment composition comprising an organic acid and hydroxylamine
US5988186A (en) 1991-01-25 1999-11-23 Ashland, Inc. Aqueous stripping and cleaning compositions
US5466297A (en) 1991-08-08 1995-11-14 Nalco Chemical Company Process for removal of primarily iron oxide deposits
WO1994008276A1 (en) 1992-09-28 1994-04-14 Ducoa L.P. Photoresist stripping process using n,n-dimethyl-bis(2-hydroxyethyl) quaternary ammonium hydroxide
US5308745A (en) 1992-11-06 1994-05-03 J. T. Baker Inc. Alkaline-containing photoresist stripping compositions producing reduced metal corrosion with cross-linked or hardened resist resins
AU7221294A (en) 1993-07-30 1995-02-28 Semitool, Inc. Methods for processing semiconductors to reduce surface particles
US6326130B1 (en) 1993-10-07 2001-12-04 Mallinckrodt Baker, Inc. Photoresist strippers containing reducing agents to reduce metal corrosion
JP3264405B2 (ja) 1994-01-07 2002-03-11 三菱瓦斯化学株式会社 半導体装置洗浄剤および半導体装置の製造方法
JPH07247498A (ja) 1994-03-09 1995-09-26 Mitsubishi Gas Chem Co Inc 半導体装置用洗浄剤及び配線パターンの形成方法
US5466389A (en) 1994-04-20 1995-11-14 J. T. Baker Inc. PH adjusted nonionic surfactant-containing alkaline cleaner composition for cleaning microelectronics substrates
US5498293A (en) 1994-06-23 1996-03-12 Mallinckrodt Baker, Inc. Cleaning wafer substrates of metal contamination while maintaining wafer smoothness
US5567574A (en) 1995-01-10 1996-10-22 Mitsubishi Gas Chemical Company, Inc. Removing agent composition for photoresist and method of removing
US5597420A (en) 1995-01-17 1997-01-28 Ashland Inc. Stripping composition having monoethanolamine
US5563119A (en) 1995-01-26 1996-10-08 Ashland Inc. Stripping compositions containing alkanolamine compounds
JP3255551B2 (ja) 1995-01-31 2002-02-12 東京応化工業株式会社 レジスト用剥離液組成物
US5571447A (en) 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
US5885362A (en) 1995-07-27 1999-03-23 Mitsubishi Chemical Corporation Method for treating surface of substrate
JP3236220B2 (ja) 1995-11-13 2001-12-10 東京応化工業株式会社 レジスト用剥離液組成物
US6410494B2 (en) 1996-06-05 2002-06-25 Wako Pure Chemical Industries, Ltd. Cleaning agent
TW416987B (en) 1996-06-05 2001-01-01 Wako Pure Chem Ind Ltd A composition for cleaning the semiconductor substrate surface
US6030932A (en) 1996-09-06 2000-02-29 Olin Microelectronic Chemicals Cleaning composition and method for removing residues
US6569446B1 (en) 1996-09-20 2003-05-27 The Howard Foundation Solubilization of flavonols
US5855811A (en) 1996-10-03 1999-01-05 Micron Technology, Inc. Cleaning composition containing tetraalkylammonium salt and use thereof in semiconductor fabrication
US5989353A (en) 1996-10-11 1999-11-23 Mallinckrodt Baker, Inc. Cleaning wafer substrates of metal contamination while maintaining wafer smoothness
US5962384A (en) 1997-10-28 1999-10-05 International Business Machines Corporation Method for cleaning semiconductor devices
US5997658A (en) 1998-01-09 1999-12-07 Ashland Inc. Aqueous stripping and cleaning compositions
JPH11271985A (ja) 1998-03-25 1999-10-08 Nagase Denshi Kagaku Kk レジスト剥離剤組成物及びその使用方法
CA2330747C (en) 1998-05-18 2010-07-27 Mallinckrodt Inc. Silicate-containing alkaline compositions for cleaning microelectronic substrates
US6440326B1 (en) 1998-08-13 2002-08-27 Mitsubishi Gas Chemical Company, Inc. Photoresist removing composition
DE60014907T2 (de) 1999-07-13 2006-03-09 Kao Corp. Schleifmittelzusammensetzung
US6395693B1 (en) 1999-09-27 2002-05-28 Cabot Microelectronics Corporation Cleaning solution for semiconductor surfaces following chemical-mechanical polishing
US6413923B2 (en) 1999-11-15 2002-07-02 Arch Specialty Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues
US6492308B1 (en) 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6723691B2 (en) 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6592433B2 (en) 1999-12-31 2003-07-15 Intel Corporation Method for defect reduction
CN1872976A (zh) 2000-03-21 2006-12-06 和光纯药工业株式会社 半导体基板洗涤剂和洗涤方法
US6514434B1 (en) 2000-06-16 2003-02-04 Corning Incorporated Electro-optic chromophore bridge compounds and donor-bridge compounds for polymeric thin film waveguides
WO2001097268A1 (fr) 2000-06-16 2001-12-20 Kao Corporation Composion detergente
JP2002069495A (ja) 2000-06-16 2002-03-08 Kao Corp 洗浄剤組成物
US6992050B2 (en) 2000-06-28 2006-01-31 Nec Corporation Stripping agent composition and method of stripping
JP3402365B2 (ja) * 2000-06-28 2003-05-06 日本電気株式会社 防食剤
JP3431074B2 (ja) * 2000-06-28 2003-07-28 日本電気株式会社 剥離剤組成物および剥離方法
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
KR100512134B1 (ko) 2001-02-20 2005-09-02 히다치 가세고교 가부시끼가이샤 연마제 및 기판의 연마방법
JP4535629B2 (ja) 2001-02-21 2010-09-01 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7160432B2 (en) 2001-03-14 2007-01-09 Applied Materials, Inc. Method and composition for polishing a substrate
US6627587B2 (en) 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US6627546B2 (en) 2001-06-29 2003-09-30 Ashland Inc. Process for removing contaminant from a surface and composition useful therefor
MY131912A (en) 2001-07-09 2007-09-28 Avantor Performance Mat Inc Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
TWI297102B (en) 2001-08-03 2008-05-21 Nec Electronics Corp Removing composition
JP3792620B2 (ja) * 2001-08-03 2006-07-05 日本電気株式会社 剥離剤組成物
CA2398423C (en) 2001-09-04 2009-11-10 Rohm And Haas Company Corrosion inhibiting compositions
US7294211B2 (en) 2002-01-04 2007-11-13 University Of Dayton Non-toxic corrosion-protection conversion coats based on cobalt
JP4443864B2 (ja) 2002-07-12 2010-03-31 株式会社ルネサステクノロジ レジストまたはエッチング残さ物除去用洗浄液および半導体装置の製造方法
US7235188B2 (en) 2002-10-22 2007-06-26 Ekc Technology, Inc. Aqueous phosphoric acid compositions for cleaning semiconductor devices
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
KR100964801B1 (ko) * 2003-06-26 2010-06-22 동우 화인켐 주식회사 포토레지스트 박리액 조성물 및 이를 이용한 포토레지스트박리방법
US7671001B2 (en) * 2003-10-29 2010-03-02 Mallinckrodt Baker, Inc. Alkaline, post plasma etch/ash residue removers and photoresist stripping compositions containing metal-halide corrosion inhibitors
TWI244498B (en) 2003-11-20 2005-12-01 Eternal Chemical Co Ltd Chemical mechanical abrasive slurry and method of using the same
US6982188B1 (en) 2003-12-03 2006-01-03 Advanced Micro Devices, Inc Post CMP precursor treatment
US7435712B2 (en) * 2004-02-12 2008-10-14 Air Liquide America, L.P. Alkaline chemistry for post-CMP cleaning
US8338087B2 (en) * 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US7087564B2 (en) 2004-03-05 2006-08-08 Air Liquide America, L.P. Acidic chemistry for post-CMP cleaning
US20050205835A1 (en) 2004-03-19 2005-09-22 Tamboli Dnyanesh C Alkaline post-chemical mechanical planarization cleaning compositions
JP2005347587A (ja) 2004-06-04 2005-12-15 Sony Corp ドライエッチング後の洗浄液組成物および半導体装置の製造方法
EP1609847B1 (en) 2004-06-25 2007-03-21 JSR Corporation Cleaning composition for semiconductor components and process for manufacturing semiconductor device
JP4456424B2 (ja) 2004-06-29 2010-04-28 関東化学株式会社 フォトレジスト残渣及びポリマー残渣除去組成物
US9217929B2 (en) 2004-07-22 2015-12-22 Air Products And Chemicals, Inc. Composition for removing photoresist and/or etching residue from a substrate and use thereof
US20060063692A1 (en) * 2004-09-17 2006-03-23 Alliant Techsystems Inc Gun cleaning system, method, and compositions therefor
US20060073997A1 (en) 2004-09-30 2006-04-06 Lam Research Corporation Solutions for cleaning silicon semiconductors or silicon oxides
US20060148666A1 (en) 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
US7923423B2 (en) 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
WO2006081406A1 (en) * 2005-01-27 2006-08-03 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
CN101180379B (zh) 2005-03-25 2013-07-24 气体产品与化学公司 用于含有金属离子氧化剂的化学机械抛光组合物中的二羟基烯醇化合物
US7365045B2 (en) 2005-03-30 2008-04-29 Advanced Tehnology Materials, Inc. Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
JP2008546036A (ja) * 2005-06-07 2008-12-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 金属および誘電体相溶性の犠牲反射防止コーティング浄化および除去組成物
TWI339780B (en) 2005-07-28 2011-04-01 Rohm & Haas Elect Mat Stripper
KR101444468B1 (ko) * 2005-10-05 2014-10-30 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
EP1945748A4 (en) * 2005-10-13 2009-01-07 Advanced Tech Materials PHOTORESIN REMOVAL AND / OR SACRIFICIAL ANTIREFLECTION COATING COMPOSITION COMPATIBLE WITH METALS
WO2007120259A2 (en) * 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
TW200734448A (en) 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US20070225186A1 (en) * 2006-03-27 2007-09-27 Matthew Fisher Alkaline solutions for post CMP cleaning processes
US7947637B2 (en) 2006-06-30 2011-05-24 Fujifilm Electronic Materials, U.S.A., Inc. Cleaning formulation for removing residues on surfaces
JP2008060377A (ja) * 2006-08-31 2008-03-13 Sanyo Chem Ind Ltd 半導体洗浄用洗浄剤
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
US20080076688A1 (en) 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
SG175559A1 (en) * 2006-09-25 2011-11-28 Advanced Tech Materials Compositions and methods for the removal of photoresist for a wafer rework application
TWI449784B (zh) * 2006-12-21 2014-08-21 Advanced Tech Materials 用以移除蝕刻後殘餘物之液體清洗劑
CN101720352B (zh) * 2007-05-17 2015-11-25 安格斯公司 用于cpm后清除配方的新抗氧化剂
JP2010535422A (ja) * 2007-08-02 2010-11-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド マイクロ電子デバイスから残渣を除去するための非フッ化物含有組成物

Also Published As

Publication number Publication date
CN102197124B (zh) 2013-12-18
JP6133959B2 (ja) 2017-05-24
WO2010048139A3 (en) 2010-07-29
US20160032221A1 (en) 2016-02-04
US9074170B2 (en) 2015-07-07
TWI456052B (zh) 2014-10-11
WO2010048139A2 (en) 2010-04-29
TW201026848A (en) 2010-07-16
CN102197124A (zh) 2011-09-21
US20120283163A1 (en) 2012-11-08
JP2012506457A (ja) 2012-03-15
JP2016074906A (ja) 2016-05-12
KR20110086092A (ko) 2011-07-27
KR101752684B1 (ko) 2017-07-04

Similar Documents

Publication Publication Date Title
JP6133959B2 (ja) 銅の洗浄及び保護配合物
US10557107B2 (en) Post chemical mechanical polishing formulations and method of use
JP5647517B2 (ja) Cmp後洗浄配合物用の新規な酸化防止剤
USRE46427E1 (en) Antioxidants for post-CMP cleaning formulations
US20160075971A1 (en) Copper cleaning and protection formulations
US20150045277A1 (en) Post-cmp formulation having improved barrier layer compatibility and cleaning performance
JP2015524165A (ja) 有機残渣除去を改良するための銅エッチングレートの低い水性洗浄溶液
WO2013138278A1 (en) Copper cleaning and protection formulations
WO2013058770A1 (en) Non-amine post-cmp composition and method of use
TWI671395B (zh) 化學機械研磨後配方及其使用方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20121018

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131122

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131127

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140227

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140306

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140307

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20141120

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150216

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150520

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20150625

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20151022

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20151204

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20151130

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20151224

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160118

R150 Certificate of patent or registration of utility model

Ref document number: 5873718

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250