KR20160085902A - 에칭 후 잔류물의 제거를 위한 액체 세정제 - Google Patents

에칭 후 잔류물의 제거를 위한 액체 세정제 Download PDF

Info

Publication number
KR20160085902A
KR20160085902A KR1020167017567A KR20167017567A KR20160085902A KR 20160085902 A KR20160085902 A KR 20160085902A KR 1020167017567 A KR1020167017567 A KR 1020167017567A KR 20167017567 A KR20167017567 A KR 20167017567A KR 20160085902 A KR20160085902 A KR 20160085902A
Authority
KR
South Korea
Prior art keywords
acid
optionally
ether
cleaning composition
weight
Prior art date
Application number
KR1020167017567A
Other languages
English (en)
Inventor
파멜라 엠 비진틴
핑 지앙
마이클 비 코르젠스키
데이비드 더블유 민젝
에마뉴엘 아이 쿠퍼
밍-안 수
크리스틴 에이 플레쳐
Original Assignee
엔테그리스, 아이엔씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엔테그리스, 아이엔씨. filed Critical 엔테그리스, 아이엔씨.
Publication of KR20160085902A publication Critical patent/KR20160085902A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/10Etching, surface-brightening or pickling compositions containing an inorganic acid containing a boron compound
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/38Cationic compounds
    • C11D1/62Quaternary ammonium compounds
    • C11D11/0047
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/042Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/24Organic compounds containing halogen
    • C11D3/245Organic compounds containing halogen containing fluorine
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/28Organic compounds containing halogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)

Abstract

본 발명은 플라즈마 에칭 후 잔류물을 그 잔류물을 상부에 갖는 마이크로전자 장치로부터 세정하기 위한 세정 조성물 및 방법에 관한 것이다. 상기 조성물은 상기 마이크로전자 소자로부터 티탄 함유, 구리 함유, 텅스텐 함유 및/또는 코발트 함유 에칭 후 잔류물을 비롯한 잔류물 물질을 매우 효과적으로 세정하는 동시에, 또한 이에 존재하는 내층 유전체, 금속 상호접속 물질 및/또는 캡핑층에 손상을 주지 않는다. 또한, 상기 조성물은 질화티탄층을 이를 상부에 갖는 마이크로전자 소자로부터 제거하는 데 유용할 수 있다.

Description

에칭 후 잔류물의 제거를 위한 액체 세정제{LIQUID CLEANER FOR THE REMOVAL OF POST-ETCH RESIDUES}
본 발명은 티탄 함유, 구리 함유 및/또는 텅스텐 함유 에칭 후 잔류물을 비롯한 에칭 후 잔류물을 마이크로전자 소자로부터 제거하기 위한 조성물, 및 이의 제조 및 사용 방법에 관한 것이다.
반도체 회로 내의 상호접속 회로는 절연 유전체 물질에 의해 둘러싸인 전도성 금속 회로로 구성되어 있다. 과거에는, 테트라에틸오르토실리케이트(TEOS)로부터 증착된 실리케이트 유리가 유전체 물질로서 널리 사용되었고, 한편, 금속 상호접속에는 알루미늄의 합금이 사용되었다. 높은 가공 속도에 대한 요구는 TEOS 및 알루미늄 합금을 고성능 물질로 대체하는 동시에 회로 부품을 소형화시켰다. 알루미늄 합금은 구리의 높은 전도성으로 인해 구리 또는 구리 합금으로 대체되었다. TEOS 및 불화 실리케이트 유리(FSG)는 저극성 물질, 예컨대 유기 중합체, 혼성 유기/무기 물질, 유기실리케이트 유리 (OSG) 및 탄소 도핑된 산화물 (CDO) 유리를 비롯한 소위 저-k 유전체로 대체되었다. 이러한 물질 내의 다공성, 즉 공기 충전된 공극의 도입은 상기 물질의 유전 상수를 더욱 낮춘다.
집적 회로의 듀얼 다마신 공정 중에, 포토리소그래피를 사용하여 소자 웨이퍼 상에 패턴을 이미징 처리한다. 포토리소그래피 기법은 코팅, 노광 및 현상 단계를 포함한다. 웨이퍼를 포지티브 또는 네거티브 포토레지스트 물질로 코팅한 후, 이후 공정에서 유지되거나 제거되는 패턴을 한정하는 마스크로 커버한다. 이러한 마스크를 적절히 위치시킨 후, 상기 마스크를 자외선(UV) 광 또는 원자외선(DUV) 광 (∼ 250 또는 193 nm)에 관통 처리하여 선택된 세정액에 더욱 또는 덜 가용성인 노광된 포토레지스트 물질을 생성한다. 이어서, 이러한 가용성 포토레지스트 물질을 제거하거나 '현상'시켜, 상기 마스크와 동일한 패턴을 남긴다.
이후, 기상 플라즈마 에칭을 적용하여 상기 현상된 포토레지스트 코팅의 패턴을 하부 층에 전사시키며, 이러한 층은 하드마스크, 내층 유전체(ILD) 및/또는 에칭 정지층을 포함할 수 있다. 플라즈마 에칭 후 잔류물은 전형적으로 배선 (BEOL: back-end-of-the-line) 구조 상에 침착하고, 제거되지 않는 경우에 이후 규화 또는 접점 형성이 개재할 수 있다. 플라즈마 에칭 후 잔류물은 전형적으로 기판 상에 및 플라즈마 기체 중에 존재하는 화학 성분을 포함한다. 예를 들어, TiN 하드마스크를, 예를 들어 ILD 상의 캡핑층으로서 사용하는 경우, 플라즈마 에칭 후 잔류물은 통상의 습식 세정 화학 반응을 이용하여 제거하기에 어려운 티탄 함유 화학종을 포함한다. 더욱이, 통상의 세정 화학은 흔히 ILD에 손상을 주고, ILD의 공극으로 흡수하여 유전 상수를 증가시키고, 및/또는 금속 구조물을 부식시킨다. 예를 들어, 완충된 불화물 및 용매계 화학은 Ti 함유 잔류물을 완전히 제거하지 못하며, 이와 동시에 히드록실아민 함유 및 암모니아 과산화물 화학 반응이 구리를 부식시킨다.
티탄 함유 플라즈마 에칭 후 잔류물의 소정의 제거 이외에, 플라즈마 에칭 후 공정 중에 침착되는 추가 물질, 예컨대 패턴화된 소자의 측벽 상의 중합체 잔류물, 상기 소자의 개방형 비아 구조 내의 구리 함유 잔류물 및 텅스텐 함유 잔류물이 또한 바람직하게 제거된다. 지금까지, 단일 습식 세정 조성물은 ILD, 기타 저-k 유전체 물질 및 금속 상호접속 물질과 상용성인 동시에 모든 잔류 물질을 성공적으로 제거하지 못하였다.
새로운 물질, 예컨대 저-k 유전체의 마이크로전자 소자로의 일체화는 새로운 세정 성능을 요구한다. 이와 동시에, 소자 치수 축소는 임계 치수 변화 및 소자 부품의 손상에 대한 내성을 감소시킨다. 에칭 조건을 수정하여 새로운 물질의 요건을 만족시킬 수 있다. 마찬가지로, 플라즈마 에칭 후 세정 조성물은 변경되어야 한다. 중요하게는, 상기 세정제는 하부 유전체 물질에 손상을 주거나 소자 상의 금속 상호접속 물질, 예를 들어 구리, 텅스텐, 코발트, 알루미늄, 루테늄, 티탄 및 이의 질화물 및 규산화물을 부식시키지 않아야 한다.
결론적으로, 본 발명의 목적은 플라즈마 에칭 후 잔류물, 예컨대 비한정적으로 티탄 함유 잔류물, 중합체 측벽 잔류물, 구리 함유 비아 잔류물, 텅스텐 함유 잔류물 및/또는 코발트 함유 잔류물을 마이크로전자 소자로부터 효과적으로 제거하기 위한 향상된 조성물로서, IDL, 금속 상호접속 물질 및/또는 캡핑층과 상용성인 조성물을 제공하는 것이다.
발명의 개요
본 발명은 일반적으로 세정 조성물 및 이의 제조 및 사용 방법에 관한 것이다. 본 발명의 한 양태는 플라즈마 에칭 후 잔류물을 상부에 갖는 마이크로전자 소자로부터 상기 잔류물을 세정하는 동시에, 마이크로전자 소자 표면 상의 금속성 및 ILD 물질을 손상시키지 않는 조성물 및 방법에 관한 것이다.
한 양태에서, 본 발명은 1 이상의 에칭제, 1 이상의 킬레이트화제 및 물, 임의로 1 이상의 유기 용매, 임의로 1 이상의 부식 억제제, 임의로 1 이상의 저-k 부동태화제, 임의로 1 이상의 계면활성제, 및 임의로 실리카 공급원을 포함하는 수성 세정 조성물로서, 물질을 그 물질을 상부에 갖는 마이크로전자 소자로부터 세정하는 데 적합한 수성 세정 조성물에 관한 것이다. 상기 물질은 플라즈마 에칭 후 잔류물, TiN 층, CMP 후 잔류물 및 이의 조합을 포함할 수 있다.
또다른 양태에서, 본 발명은 규불산, 1 이상의 킬레이트화제 및 물을 포함하는 수성 세정 조성물로서, 물의 양이 상기 조성물의 총중량을 기준으로 약 75 중량% 미만이고, 상기 수성 세정 조성물은 플라즈마 에칭 후 잔류물을 상부에 갖는 마이크로전자 소자로부터 상기 잔류물을 세정하는 데 적합한 것인 수성 세정 조성물에 관한 것이다.
또다른 양태에서, 본 발명은 1 이상의 에칭제, 1 이상의 금속 부식 억제제 및 물, 임의로 1 이상의 유기 용매, 임의로 1 이상의 금속 킬레이트화제, 임의로 1 이상의 저 k-부동태화제, 임의로 1 이상의 계면활성제, 및 임의로 실리카 공급원을 포함하는 수성 세정 조성물로서, 상기 수성 세정 조성물은 물질을 그 물질을 상부에 갖는 마이크로전자 소자로부터 세정하는 데 적합한 것인 수성 세정 조성물에 관한 것이다. 상기 물질은 플라즈마 에칭 후 잔류물, TiN 층, CMP 후 잔류물 및 이의 조합을 포함할 수 있다.
또다른 양태에서, 본 발명은 규불산, 1 이상의 금속 부식 억제제 및 물을 포함하는 수성 세정 조성물로서, 물의 양은 상기 조성물의 총중량을 기준으로 약 75 중량% 미만이고, 상기 수성 세정 조성물은 플라즈마 에칭 후 잔류물을 상부에 갖는 마이크로전자 소자로부터 상기 잔류물을 세정하는 데 적합한 것인 수성 세정 조성물에 관한 것이다.
또다른 양태에서, 본 발명은 1 이상의 에칭제, 1 이상의 유기 용매 및 물, 임의로 1 이상의 금속 부식 억제제, 임의로 1 이상의 금속 킬레이트화제, 임의로 1 이상의 저-k 부동태화제, 임의로 1 이상의 계면활성제 및 임의로 실리카 공급원을 포함하는 수성 세정 조성물로서, 상기 수성 세정 조성물은 물질을 그 물질을 상부에 갖는 마이크로전자 소자로부터 세정하는 데 적합한 것인 수성 세정 조성물에 관한 것이다. 상기 물질은 플라즈마 에칭 후 잔류물, TiN 층, CMP 후 잔류물 및 이의 조합을 포함할 수 있다.
또다른 양태에서, 본 발명은 1 이상의 에칭제 공급원, 1 이상의 유기 용매, 1 이상의 킬레이트화제, 1 이상의 금속 부식 억제제 및 물을 포함하는 수성 세정 조성물로서, 상기 수성 세정 조성물은 플라즈마 에칭 후 잔류물을 상부에 갖는 마이크로전자 소자로부터 상기 잔류물을 세정하는 데 적합한 것인 수성 세정 조성물에 관한 것이다.
또다른 양태에서, 본 발명은 규불산, 1 이상의 유기 용매, 1 이상의 킬레이트화제, 1 이상의 금속 부식 억제제 및 물을 포함하는 수성 세정 조성물로서, 물의 양이 상기 조성물의 총중량을 기준으로 약 75 중량% 미만이고, 상기 수성 세정 조성물이 플라즈마 에칭 후 잔류물을 상부에 갖는 마이크로전자 소자로부터 상기 잔류물을 세정하는 데 적합한 것인 수성 세정 조성물에 관한 것이다.
본 발명의 또다른 양태는 1 이상의 유기 용매, 1 이상의 에칭제, 1 이상의 킬레이트화제, 실리카 공급원, 1 이상의 부식 억제제 및 물을 포함하는 수성 세정 조성물로서, 에칭제(들)에 대한 유기 용매(들)의 중량% 비율이 약 5 ∼ 약 8이고, 에칭제(들)에 대한 물의 중량% 비율이 약 85 ∼ 약 91이며, 에칭제(들)에 대한 실리카 공급원의 중량% 비율이 약 0.1 ∼ 약 0.5이고, 에칭제(들)에 대한 킬레이트화제(들)의 중량% 비율이 약 0.5 ∼ 약 2.5이며, 에칭제(들)에 대한 부식 억제제(들)의 중량% 비율이 약 1 ∼ 약 4인 것인 수성 세정 조성물에 관한 것이다.
본 발명의 또다른 양태는 1 이상의 유기 용매, 1 이상의 에칭제, 실리카 공급원, 1 이상의 부식 억제제 및 물을 포함하는 수성 세정 조성물로서, 에칭제(들)에 대한 상기 유기 용매(들)의 중량% 비율이 약 3 ∼ 약 7이고, 에칭제(들)에 대한 물의 중량% 비율이 약 88 ∼ 약 93이며, 에칭제(들)에 대한 실리카 공급원의 중량% 비율이 약 0.1 ∼ 약 0.5이고, 에칭제(들)에 대한 부식 억제제(들)의 중량% 비율이 약 1 ∼ 약 4인 것인 수성 세정 조성물에 관한 것이다.
본 발명의 또다른 양태는 1 이상의 유기 용매, 1 이상의 에칭제, 1 이상의 부식 억제제 및 물을 포함하는 수성 세정 조성물로서, 에칭제(들)에 대한 유기 용매(들)의 중량% 비율이 약 60 ∼ 약 90이고, 에칭제(들)에 대한 물의 중량% 비율이 약 2 ∼ 약 30이며, 에칭제(들)에 대한 부식 억제제(들)의 중량% 비율이 약 0.01 ∼ 약 0.5인 것인 수성 세정 조성물에 관한 것이다.
본 발명의 또다른 양태는 1 이상의 유기 용매, 1 이상의 금속 킬레이트화제, 임의로 1 이상의 계면활성제, 임의로 1 이상의 부식 억제제, 임의로 1 이상의 저-k 부동태화제, 임의로 1 이상의 에칭제, 및 임의로 물을 포함하는 세정 조성물로서, 상기 세정 조성물은 물질을 그 물질을 상부에 갖는 마이크로전자 소자로부터 세정하는 데 적합한 것인 세정 조성물에 관한 것이다. 상기 물질은 플라즈마 에칭 후 잔류물, CMP 후 잔류물 및 이의 조합을 포함할 수 있다.
또다른 양태에서, 본 발명은 1 이상의 유기 용매, 1 이상의 금속 킬레이트화제, 1 이상의 부식 억제제 및 물을 포함하는 세정 조성물로서, 상기 세정 조성물은 플라즈마 에칭 후 잔류물을 상부에 갖는 마이크로전자 소자로부터 상기 잔류물을 세정하는 데 적합한 것인 세정 조성물에 관한 것이다.
또다른 양태에서, 본 발명은 1 이상의 유기 용매 및 1 이상의 금속 킬레이트화제를 포함하는 세정 조성물로서, 상기 세정 조성물은 플라즈마 에칭 후 잔류물을 상부에 갖는 마이크로전자 소자로부터 상기 잔류물을 세정하는 데 적합한 것인 세정 조성물에 관한 것이다.
또다른 양태에서, 본 발명은 1 이상의 유기 용매 및 1 이상의 금속 킬레이트화제로 구성되는 세정 조성물로서, 상기 세정 조성물은 플라즈마 에칭 후 잔류물을 상부에 갖는 마이크로전자 소자로부터 상기 잔류물을 세정하는 데 적합한 것인 세정 조성물에 관한 것이다.
본 발명의 또다른 양태는 1 이상의 용기에 수성 세정 조성물을 형성하기 위한 1 이상의 에칭제, 물, 임의로 1 이상의 저-k 부동태화제, 임의로 1 이상의 계면활성제 및 임의로 실리카 공급원으로 구성된 군으로부터 선택되는 1 이상의 시약을 포함하는 키트로서, 상기 조성물은 하기 성분
(I) 1 이상의 킬레이트화제, 임의로 1 이상의 유기 용매 및 임의로 1 이상의 부식 억제제;
(Ⅱ) 1 이상의 금속 부식 억제제, 임의로 1 이상의 유기 용매 및 임의로 1 이상의 금속 킬레이트화제; 또는
(Ⅲ) 1 이상의 유기 용매, 임의로 1 이상의 킬레이트화제 및 임의로 1 이상의 부식 억제제
를 포함하는 것을 추가의 특징으로 하고, 상기 키트를 제조하여 플라즈마 에칭 후 잔류물을 상부에 갖는 마이크로전자 소자로부터 상기 잔류물을 세정하는 데 적합한 수성 세정 조성물을 형성하는 것인 키트에 관한 것이다.
본 발명의 또다른 양태에서, 1 이상의 용기에 세정 조성물을 형성하기 위한 1 이상의 유기 용매, 1 이상의 금속 킬레이트화제, 임의로 1 이상의 계면활성제, 임의로 1 이상의 부식 억제제, 임의로 1 이상의 저-k 부동태화제, 임의로 1 이상의 에칭제 및 임의로 물로 구성된 군으로부터 선택되는 1 이상의 시약을 포함하는 키트로서, 상기 키트를 조정하여 플라즈마 에칭 후 잔류물을 상부에 갖는 마이크로전자 소자로부터 상기 잔류물을 세정하는 데 적합한 수성 세정 조성물을 형성하는 것인 키트에 관한 것이다.
본 발명의 또다른 양태는 플라즈마 에칭 후 잔류물을 상부에 갖는 마이크로전자 소자로부터 상기 잔류물을 제거하는 방법으로서, 상기 마이크로전자 소자로부터 상기 잔류물을 적어도 일부 제거하기에 충분한 시간 동안 상기 마이크로전자 소자를 수성 세정 조성물과 접촉시키는 것을 포함하고, 상기 수성 세정 조성물은 1 이상의 에칭제, 1 이상의 킬레이트화제 및 물, 임의로 1 이상의 유기 용매, 임의로 1 이상의 부식 억제제, 임의로 1 이상의 저-k 부동태화제, 1 이상의 계면활성제 및 임의로 실리카 공급원을 포함하는 것인 방법에 관한 것이다.
본 발명의 또다른 양태는 플라즈마 에칭 후 잔류물을 상부에 갖는 마이크로전자 소자로부터 상기 잔류물을 제거하는 방법으로서, 상기 마이크로전자 소자로부터 상기 잔류물을 적어도 일부 제거하는 데 충분한 시간 동안 상기 마이크로전자 소자를 수성 세정 조성물과 접촉시키는 것을 포함하고, 상기 수성 세정 조성물은 1 이상의 에칭제, 1 이상의 금속 부식 억제제 및 물, 임의로 1 이상의 유기 용매, 임의로 1 이상의 금속 킬레이트화제, 임의로 1 이상의 저-k 부동태화제, 임의로 1 이상의 계면활성제 및 임의로 실리카 공급원을 포함하는 것인 방법에 관한 것이다.
본 발명의 또다른 양태는 플라즈마 에칭 후 잔류물을 그 잔류물을 갖는 마이크로전자 소자로부터 제거하는 방법으로서, 상기 마이크로전자 소자로부터 상기 잔류물을 적어도 일부 제거하는 데 충분한 시간 동안 상기 마이크로전자 소자를 수성 세정 조성물과 접촉시키는 것을 포함하고, 상기 수성 세정 조성물은 1 이상의 에칭제, 1 이상의 유기 용매 및 물, 임의로 1 이상의 킬레이트화제, 임의로 1 이상의 부식 억제제, 임의로 1 이상의 저-k 부동태화제, 임의로 1 이상의 계면활성제 및 임의로 실리카 공급원을 포함하는 것인 방법에 관한 것이다.
본 발명의 또다른 양태는 플라즈마 에칭 후 잔류물을 상부에 갖는 마이크로전자 소자로부터 상기 잔류물을 제거하는 방법으로서, 상기 마이크로전자 소자로부터 상기 잔류물을 적어도 일부 제거하는 데 충분한 시간 동안 상기 마이크로전자 소자를 수성 세정 조성물과 접촉시키는 것을 포함하고, 상기 수성 세정 조성물은 1 이상의 에칭제 공급원, 1 이상의 유기 용매, 1 이상의 킬레이트화제, 1 이상의 금속 부식 억제제 및 물을 포함하는 것인 방법에 관한 것이다.
본 발명의 추가 양태는 플라즈마 에칭 후 잔류물을 상부에 갖는 마이크로전자 소자로부터 상기 잔류물을 제거하는 방법으로서, 상기 마이크로전자 소자로부터 상기 잔류물을 적어도 일부 제거하기에 충분한 시간 동안 상기 마이크로전자 소자를 세정 조성물과 접촉시키는 것을 포함하고, 상기 세정 조성물은 1 이상의 유기 용매, 1 이상의 금속 킬레이트화제, 임의로 1 이상의 계면활성제, 임의로 1 이상의 부식 억제제, 임의로 1 이상의 저-k 부동태화제, 임의로 1 이상의 에칭제 및 임의로 물을 포함하는 것인 방법에 관한 것이다.
본 발명의 또다른 양태에서, 본 발명은 플라즈마 에칭 후 잔류물을 상부에 갖는 마이크로전자 소자로부터 상기 잔류물을 제거하는 방법으로서, 상기 마이크로전자 소자로부터 상기 잔류물을 적어도 부분적으로 제거하는 데 충분한 시간 동안 상기 마이크로전자 소자를 세정 소성물과 접촉시키는 것을 포함하고, 상기 세정 조성물은 1 이상의 유기 용매 및 1 이상의 킬레이트화제를 포함하는 것인 방법에 관한 것이다.
본 발명의 또다른 양태는 본 발명의 수성 세정 조성물, 마이크로전자 소자 및 플라즈마 에칭 후 잔류물을 포함하는 제조 물품에 관한 것이다.
추가 양태에서, 본 발명은 마이크로전자 소자의 제조 방법으로서, 플라즈마 에칭 후 잔류물을 상부에 갖는 마이크로전자 소자로부터 상기 잔류물을 적어도 일부 제거하는 데 충분한 시간 동안 상기 마이크로전자 소자를 본 발명의 수성 세정 조성물과 접촉시키는 것을 포함하는 방법에 관한 것이다.
본 발명의 또다른 양태는 본 발명의 세정 조성물, 초저-k 유전체 층을 포함하는 마이크로전자 소자 및 플라즈마 에칭 후 잔류물을 포함하는 제조 물품에 관한 것이다.
추가 양태에서, 본 발명은 마이크로전자 소자의 제조 방법으로서, 플라즈마 에칭 후 잔류물을 상부에 갖는 마이크로전자 소자로부터 상기 잔류물을 적어도 일부 제거하는 데 충분한 시간 동안 상기 마이크로전자 소자를 본 발명의 세정 조성물과 접촉시키는 것을 포함하는 방법에 관한 것이다.
본 발명의 추가 양태는 TiOF 촉매를 이를 상부에 갖는 마이크로전자 소자로부터 제거하는 방법으로서, 상기 마이크로전자 소자로부터 상기 TiOF 결정을 적어도 일부 제거하는 데 충분한 시간 동안 상기 마이크로전자 소자를 수성 세정 조성물과 접촉시키는 것을 포함하고, 상기 수성 세정 조성물은 1 이상의 유기 용매, 1 이상의 에칭제, 실리카 공급원, 1 이상의 텅스텐 부식 억제제 및 물을 포함하는 것인 방법에 관한 것이다.
본 발명의 또다른 양태는 향상된 마이크로전자 소자 및 이를 일체화시킨 제품으로서, 이는 플라즈마 에칭 후 잔류물을 이를 상부에 갖는 마이크로전자 소자로부터 세정하는 방법, 본 원에서 기술된 방법 및/또는 조성물, 및 임의로 상기 마이크로전자 소자를 제품에 일체화시키는 것을 이용하여 제조한 제품에 관한 것이다.
본 발명의 또다른 양태, 특징 및 장점은 이후 개시 및 첨부된 청구의 범위로부터 더욱 완전히 명백하게 된다.
도 1은 본 발명의 제제 A에 의한 블랭킷팅된 ULK 웨이퍼의 세정 전 및 후의 상기 웨이퍼의 FTIR 스펙트럼이다.
도 2은 본 발명의 제제 B에 의한 블랭킷팅된 ULK 웨이퍼의 세정 전 및 후의 상기 웨이퍼의 FTIR 스펙트럼이다.
도 3A 및 3B는 블랭킷팅된 CoWP 웨이퍼의 50℃의 제제 AB에 2 시간 동안 함침 전(3A) 및 후(3B)의 현미경 사진이다.
본 발명은 잔류물, 바람직하게는 에칭 후 잔류물, 더욱 바람직하게는 티탄 함유 에칭 후 잔류물, 중합체 측벽 잔류물, 구리 함유 비아 및 라인 잔류물 및/또는 텅스텐 함유 에칭 후 잔류물을 상기 잔류물을 상부에 갖는 마이크로전자 소자로부터 제거하기 위한 조성물로서, 상기 조성물은 바람직하게는 마이크로전자 소자 표면 상의 초저-k(ULK) ILD 물질, 예를 들어 OSG 및 다공질 CDO, 금속 상호접속 물질, 예를 들어 구리 및 텅스텐, 하드마스크 캡핑층, 예를 들어 TiN 및 코발트 캡핑층, 예를 들어 CoWP와 상용할 수 있는 것인 조성물에 관한 것이다. 또한, 본 발명은 잔류물, 바람직하게는 에칭 후 잔류물, 더욱 바람직하게는 티탄 함유 에칭 후 잔류물, 중합체 측벽 잔류물, 구리 함유 비아 및 라인 잔류물, 텅스텐 함유 에칭 후 잔류물 및/또는 코발트 함유 에칭 후 잔류물을 상부에 갖는 마이크로전자 소자로부터 상기 잔류물을 조성물을 이용하여 제거하는 방법으로서, 상기 조성물은 바람직하게는 마이크로전자 소자 표면 상의 초저-k(ULK) ILD 물질, 금속성 상호접속 물질 및 캡핑층과 상용할 수 있는 것인 방법에 관한 것이다.
용이한 참조를 위해, '마이크로전자 소자'는 마이크로전자, 집적 회로 또는 컴퓨터 칩 용도에 사용하기 위해 제조된 마이크로전자 기판, 평판 디스플레이 및 마이크로전자기계 시스템 (MEMS)에 해당한다. 용어 '마이크로전자 소자'는 어떠한 방식으로든 한정적인 것으로 의도하지 않으며 결과적으로 마이크로전자 소자 또는 마이크로전자 어셈블리가 되는 임의의 기판을 포함하는 것으로 이해되어야 한다. 특히, 마이크로전자 소자 기판은 패턴화되고, 블랭킷팅되고 및/또는 시험 기판일 수 있다.
'에칭 후 잔류물' 및 '플라즈마 에칭 후 잔류물'이란 본 원에서 사용된 바와 같이 하기 기상 플라즈마 에칭 공정, 예를 들어 BEOL 듀얼 다마신 공정 후에 잔류하는 물질에 해당한다. 상기 에칭 후 잔류물은 유기, 유기금속성, 유기규산성, 또는 본래 무기성인, 예를 들어 규소 함유 물질, 티탄 함유 물질, 질소 함유 물질, 산소 함유 물질, 중합체 잔류물 물질, 구리 함유 물질(구리 산화물 잔류물 포함), 텅스텐 함유 잔류물 물질, 코발트 함유 잔류물 물질, 에칭 기체 잔류물, 예컨대 염소 및 불소 및 이의 조합일 수 있다.
본 원에서 정의된 바와 같이, '저-k 유전체 물질' 및 ULK란 층상 마이크로전자 소자 내의 유전체 물질로서 사용되는 임의의 물질로서, 유전 상수가 약 3.5 미만인 물질에 해당한다. 바람직하게는, 상기 저-k 유전체 물질로는 저극성 물질, 예컨대 규소 함유 유기 중합체, 규소 함유 혼성 유기/무기 물질, 유기실리케이트 유기(OSG), TEOS, 불화 실리케이트 유리 (FSG), 이산화규소 및 탄소 도핑된 산화물(CDO) 유리를 들 수 있다. 가장 바람직하게는, 상기 저-k 유전체 물질은 유기실란 및/또는 유기실록산 전구체를 사용하여 증착한다. 상기 저-k 유전체 물질은 다양한 밀도 및 다양한 공극률을 가질 수 있는 것이 이해되어야 한다.
본 원에서 정의된 바와 같이, 용어 '중합체 측벽 잔류물'은 플라즈마 에칭 후 공정 후에 패턴화된 소자의 측벽 상에 남아있는 잔류물에 해당한다. 상기 잔류물은 본래 실질적으로 중합성이나, 무기 화학종, 예를 들어 티탄, 규소, 텅스텐, 코발트 및/또는 구리 함유 화학종이 상기 측벽 잔류물에 또한 존재할 수 있다는 것이 이해되어야 한다.
본 원에서 사용된 바와 같이, '약'이란 언급된 값의 ±5%를 의미하는 것으로 의도된다.
본 원에서 사용되는 바와 같이, 에칭 후 잔류물을 상부에 갖는 마이크로전자 소자로부터 상기 잔류물의 세정에 대한 '적합성'이란 상기 마이크로전자 소자로부터 상기 잔류물을 적어도 일부 제거한다는 것을 의미한다. 제거하려는 상기 물질 중 1 이상 물질이 바람직하게는 약 90% 이상, 더욱 바람직하게는 95% 이상, 가장 바람직하게는 99% 이상 마이크로전자 소자로부터 제거된다.
본 원에서 사용된 바와 같은 '캡핑층'은 플라즈마 에칭 단계 중에 유전체 물질 및/또는 금속 물질 상에 이를 보호하기 위해 침착된 물질, 예를 들어 코발트를 의미한다. 하드마스크 캡핑층으로는 전형적으로 규소, 규소 질화물, 규소 산화질화물, 티탄 질화물, 티탄 산화질화물, 티탄, 탄탈, 탄탈 질화물, 몰리브덴, 텅스텐, 이의 조합 및 다른 유사한 화합물이 있다. 코발트 캡핑층으로는 CoWP 및 기타 코발트 함유 물질 또는 텅스텐 함유 물질을 들 수 있다.
'실질적인 결여'란 2 중량% 미만, 바람직하게는 1 중량% 미만, 더욱 바람직하게는 0.5 중량% 미만, 가장 바람직하게는 0.1 중량% 미만으로서 정의된다.
본 원에서 사용되는 바와 같이, 용어 '반-수성'이란 물 및 유기 성분의 혼합물을 의미한다. '비수성'이란 실질적으로 물이 결여된 조성물을 의미한다.
본 발명의 조성물은 이후 본 원에서 더욱 완전히 기술되는 바와 같이 광범위한 특정 제제에서 실행될 수 있다.
상기 조성물의 특성 성분이 O의 하한치를 포함하는 중량% 범위로 참조되어 논의되는 이러한 모든 조성물에서, 상기 성분은 상기 조성물의 다양한 특정 실시양태에서 존재 또는 부재할 수 있으며, 이러한 성분이 존재하는 경우에 이들은 이러한 성분이 적용된 조성물의 총중량을 기준으로 0.001 중량%만큼 낮은 농도로 존재할 수 있다는 것이 이해되게 된다.
티탄 함유 에칭 후 잔류물은 종래 기술의 암모니아 함유 조성물을 사용하여 제거하는 것이 어려운 것으로 악명높다. 본 발명자는 암모니아 및/또는 강염기(예를 들어, NaOH, KOH 등)이 실질적으로 결여된, 바람직하게는 산화제가 실질적으로 결여된 세정 조성물이 티탄 함유 잔류물을 이를 상부에 갖는 마이크로전자 소자의 표면으로부터 효과적으로 및 선택적으로 제거한다는 것을 발견하였다. 또한, 상기 조성물은 하부 ILD, 금속 상호접속 물질, 예를 들어, Cu, Al, Co 및 W 및/또는 캡핑층을 실질적으로 손상시키지 않고 중량체 측벽 잔류물, 구리 함유 잔류물, 코발트 함유 잔류물 및/또는 텅스텐 함유 잔류물을 상당히 제거하게 된다. 또한, 상기 조성물은 트렌치 또는 비아가 먼저 에칭되는지(즉, 트렌치 우선 또는 비아 우선 설계)에 상관 없이 사용할 수 있다. 중요하게는, 본 발명의 일부 조성물이 필요한 경우 TiN 층을 효과적으로 에칭한다.
제1 양태에서, 본 발명의 세정 조성물은 수성 또는 반수성이며, 1 이상의 에칭제 공급원, 1 이상의 금속 킬레이트화제, 물, 임의로 1 이상의 유기 용매, 임의로 1 이상의 부식 억제제, 임의로 1 이상의 저-k 부동태화제, 임의로 1 이상의 계면활성제, 및 임의로 실리카 공급원을 플라즈마 에칭 후 잔류물을 이를 상부에 갖는 마이크로전자 소자의 표면으로부터 제거하기 위해 포함하고, 상기 플라즈마 에칭 후 잔류물은 티탄 함유 잔류물, 중합체 잔류물, 구리 함유 잔류물, 텅스텐 함유 잔류물, 코발트 함유 잔류물 및 이의 조합으로 구성된 군으로부터 선택된 화학종을 포함한다. 또다른 실시양태에서, 본 발명의 세정 조성물은 규불산, 1 이상의 금속 킬레이트화제 및 물을 포함한다. 또다른 실시양태에서, 본 발명의 세정 조성물은 1 이상의 에칭제 공급원, 1 이상의 금속 부식 억제제, 물, 임의로 1 이상의 유기 용매, 임의로 1 이상의 킬레이트화제, 임의로 1 이상의 저-k 부동태화제, 임의로 1 이상의 계면활성제 및 임의로 실리카 공급원을 포함한다. 또다른 실시양태에서, 본 발명의 세정 조성물은 규불산, 1 이상의 금속 부식 억제제 및 물을 포함한다. 또다른 실시양태에서, 본 발명의 세정 조성물은 1 이상의 에칭제 공급원, 1 이상의 유기 용매, 1 이상의 금속 킬레이트화제 및 물을 포함한다. 또다른 실시양태에서, 본 발명의 세정 조성물은 규불산, 1 이상의 유기 용매, 1 이상의 금속 킬레이트화제 및 물을 포함한다. 또다른 실시양태에서, 본 발명의 세정 조성물은 1 이상의 에칭제 공급원, 1 이상의 유기 용매, 1 이상의 금속 부식 억제제 및 물을 포함한다. 또다른 실시양태에서, 본 발명의 세정 조성물은 규불산, 1 이상의 유기 용매, 1 이상의 금속 부식 억제제 및 물을 포함한다. 또다른 실시양태에서, 본 발명의 세정 조성물은 1 이상의 에칭제 공급원, 1 이상의 유기 용매, 1 이상의 금속 킬레이트화제, 1 이상의 금속 부식 억제제 및 물을 포함한다. 또다른 실시양태에서, 본 발명의 세정 조성물은 규불산, 1 이상의 유기 용매, 1 이상의 금속 킬레이트화제, 1 이상의 금속 부식 억제제 및 물을 포함한다. 각각의 실시양태에서, 1 이상의 계면활성제를 첨가할 수 있다. 또다른 실시양태에서, 본 발명의 세정 조성물은 1 이상의 에칭제 공급원, 1 이상의 유기 용매, 1 이상의 금속 킬레이트화제, 1 이상의 금속 부식 억제제, 용해된 실리카 및 물을 포함한다. 또다른 실시양태에서, 본 발명의 세정 조성물은 규불산, 1 이상의 유기 용매, 1 이상의 금속 킬레이트화제, 1 이상의 금속 부식 억제제, 용해된 실리카 및 물을 포함한다. 또다른 실시양태에서, 본 발명의 세정 조성물은 1 이상의 에칭제 공급원, 1 이상의 유기 용매, 1 이상의 금속 부식 억제제, 용해된 실리카 및 물을 포함한다. 또다른 실시양태에서, 본 발명의 세정 조성물은 규불산, 1 이상의 유기 용매, 1 이상의 금속 부식 억제제, 용해된 실리카 및 물을 포함한다. 또다른 실시양태에서, 본 발명의 세정 조성물은 1 이상의 에칭제, 1 이상의 유기 용매, 1 이상의 저-k 부동태화제, 1 이상의 부식 억제제 및 물을 포함한다. 또다른 실시양태에서, 본 발명의 세정 조성물은 1 이상의 에칭제, 1 이상의 유기 용매, 물, 임의로 1 이상의 킬레이트화제, 임의로 1 이상의 부식 억제제, 임의로 1 이상의 저-k 부동태화제, 임의로 1 이상의 계면활성제 및 임의로 1 이상의 실리카 공급원을 포함한다.
제1 양태의 한 실시양태에서, 본 발명은 티탄 함유 잔류물, 중합체 잔류물, 구리 함유 잔류물, 텅스텐 함유 잔류물, 코발트 함유 잔류물 및 이의 조합으로 구성된 군으로부터 선택되는 플라즈마 에칭 후 잔류물의 세척을 위한 수성 조성물로서, 상기 조성물의 총중량을 기준으로 하기 범위로 존재하는 1 이상의 에칭제 공급원, 1 이상의 킬레이트화제, 물, 임의로 1 이상의 유기 용매, 임의로 1 이상의 금속 부식 억제제, 임의로 1 이상의 저-k 부동태화제, 임의로 실리카 공급원 및 임의로 1 이상의 계면활성제를 포함하는 조성물에 관한 것이다.
Figure pat00001
제1 양태의 또다른 실시양태에서, 본 발명은 티탄 함유 잔류물, 중합체 잔류물, 구리 함유 잔류물, 텅스텐 함유 잔류물, 코발트 함유 잔류물 및 이의 조합으로 구성된 군으로부터 선택된 플라즈마 에칭 후 잔류물을 세정하는 수성 조성물로서, 상기 조성물의 총중량을 기준으로 하기 범위로 존재하는 1 이상의 에칭제 공급원, 1 이상의 부식 억제제, 물, 임의로 1 이상의 유기 용매, 임의로 1 이상의 킬레이트화제, 임의로 1 이상의 저-k 부동태화제, 임의로 실리카 공급원, 및 임의로 1 이상의 계면활성제를 포함하는 조성물에 관한 것이다.
Figure pat00002
제1 양태의 또다른 실시양태에서, 본 발명은 티탄 함유 잔류물, 중합체 잔류물, 구리 함유 잔류물, 텅스텐 함유 잔류물, 코발트 함유 잔류물 및 이의 조합으로 구성된 군으로부터 선택되는 플라즈마 에칭 후 잔류물을 세정하기 위한 수성 조성물로서, 상기 조성물의 총중량을 기준으로 하기 범위로 존재하는 1 이상의 에칭제 공급원, 1 이상의 유기 용매, 물, 임의로 1 이상의 부식 억제제, 임의로 1 이상의 킬레이트화제, 임의로 1 이상의 저-k 부동태화제, 임의로 실리카 공급원 및 임의로 1 이상의 계면활성제를 포함하는 조성물에 관한 것이다.
Figure pat00003
특히, 1 이상의 에칭제의 중량%는 '순수' 에칭제를 포함하거나, 대안적으로 에칭제에 대한 프로필렌 글리콜의 중량비와 상관 없이 프로필렌 글리콜/에칭제 혼합물의 양을 포함한다. 당업자라면 세정 조성물 중 에칭제의 중량%는 상기 세정 조성물에 첨가되는 PG/에칭제의 성분의 중량% 미만인 것을 이해해야 한다. 예를 들어, 0.5 중량% PG/HF (96:4) 혼합물을 포함하는 세정 조성물 중의 HF의 중량%는 실질적으로 0.02 중량%이다.
본 발명의 광범위한 실행에서, 상기 제1 양태의 세정 조성물은 (i) 1 이상의 에칭제 공급원, 1 이상의 금속 킬레이트화제 및 물; (ⅱ) 규불산, 1 이상의 금속 킬레이트화제 및 물; (ⅲ) 1 이상의 에칭제 공급원, 1 이상의 금속 부식 억제제 및 물; (ⅳ) 규불산, 1 이상의 금속 부식 억제제 및 물; (v) 1 이상의 에칭제 공급원, 1 이상의 유기 용매, 1 이상의 금속 킬레이트화제 및 물; (ⅵ) 규불산, 1 이상의 유기 용매, 1 이상의 금속 킬레이트화제 및 물; (ⅶ) 1 이상의 에칭제 공급원, 1 이상의 유기 용매, 1 이상의 금속 부식 억제제 및 물; (ⅷ) 규불산, 1 이상의 유기 용매, 1 이상의 금속 부식 억제제 및 물; (ⅸ) 1 이상의 에칭제 공급원, 1 이상의 유기 용매, 1 이상의 금속 킬레이트화제, 1 이상의 금속 부식 억제제 및 물; (x) 규불산, 1 이상의 유기 용매, 1 이상의 금속 킬레이트화제, 1 이상의 금속 부식 억제제 및 물; (xi) 1 이상의 에칭제 공급원, 1 이상의 유기 용매, 1 이상의 금속 킬레이트화제, 1 이상의 금속 부식 억제제, 용해된 실리카 및 물; (xⅱ) 규불산, 1 이상의 유기 용매, 1 이상의 금속 킬레이트화제, 1 이상의 금속 부식 억제제, 용해된 실리카 및 물; (xⅲ) 1 이상의 에칭제 공급원, 1 이상의 유기 용매, 1 이상의 금속 부식 억제제, 용해된 실리카 및 물; (xⅳ) 규불산, 1 이상의 유기 용매, 1 이상의 금속 부식 억제제, 용해된 실리카 및 물; (xv) 1 이상의 에칭제, 1 이상의 유기 용매, 1 이상의 저-k 부동태화제, 1 이상의 부식 억제제 및 물; 또는 (xⅵ) 1 이상의 에칭제, 1 이상의 유기 용매 및 물을 포함하거나, 이로 구성되거나, 또는 이를 필수로 구성될 수 있다.
상기 물은 포함되어 용매로서 작용하고 잔류물, 예를 들어 수용성 산화구리 잔류물을 용해시키는 데 보조적인 역할을 한다. 상기 물은 탈이온화되는 것이 바람직하다.
본 발명의 바람직한 실시양태에서, 제1 양태의 수성 세정 조성물은 과산화물 함유 화합물 및 질산과 같은 산화제가 실질적으로 결여되어 있다. 바람직한 실시양태에서, 제1 양태의 수성 세정 조성물은 세정하려는 기판과의 접촉 전에 연마재가 실질적으로 결여되어 있다.
제1 양태의 수성 세정 조성물의 pH 범위는 약 0 ∼ 약 5, 바람직하게는 약 0 ∼ 약 4.5, 가장 바람직하게는 약 0 ∼ 약 2.5이다.
상기 에칭제 공급원은 에칭 후 잔류물 화학종의 분해 및 용해, 중합체 측벽 잔류물 제거의 보조 및 TiN 하드마스크의 약간의 에칭에 일조를 한다. 본 원에서 고려되는 에칭제 공급원으로는 비한정적으로 불화수소산 (HF); 규불산 (H2SiF6); 붕불산; 암모늄 플루오로실리케이트 염 ((NH4)2SiF6); 테트라메틸암모늄 헥사플루오로포스페이트; 불화암모늄 염; 중불화암모늄 염; 테트라부틸암모늄 테트라플루오로보레이트 (TBA-BF4); 프로필렌 글리콜/HF (중량비 약 90:10 ∼ 약 99:1, 바람직하게는 약 93:7 ∼ 약 98:2); 프로필렌 글리콜/테트라알킬암모늄 플루오라이드(여기서 상기 알킬기는 서로 동일하거나 상이할 수 있으며, 직쇄형 또는 분지쇄형 C1-C6 알킬기 (예를 들어, 메틸, 에틸, 프로필, 부틸, 펜틸, 헥실)로 구성된 군으로부터 선택됨, 중량비 약 75:25 ∼ 약 95:5, 바람직하게는 약 80:20 ∼ 약 90:10); 프로필렌 글리콜/테트라부틸암모늄 플루오라이드 (중량비 약 75:25 ∼ 약 95:5, 바람직하게는 약 80:20 ∼ 약 90:10); 프로필렌 글리콜/벤질트리메틸암모늄 플루오라이드 (중량비 약 75:25 ∼ 약 95:5, 바람직하게는 약 80:20 ∼ 약 90:10); 및 이의 조합을 들 수 있다. 바람직하게는, 상기 에칭제 공급원은 규불산, 프로필렌 글리콜/HF 혼합물, TBA-BF4 및 이의 조합을 포함한다. 구리 함유 층과의 상용성이 중요한 경우, 암모늄 플루오로실리케이트를 사용하여 상기 수성 세정 조성물의 pH를 보다 높게(예를 들어, 약 2 ∼ 약 4 범위, 더욱 바람직하게는 약 3) 하여, 구리 함유 층과 더욱 상용적이 되도록 할 수 있다.
상기 유기 용매는 유기 잔류물의 침투/팽창 및/또는 용해에 일조하고, 마이크로전자 소자 구조의 표면을 습윤화하여 잔류물 제거를 촉진시키며, 잔류물 재침착을 방지하고, 및/또는 하부 물질, 예를 들어 ULK를 부동태화시킨다. 본 원에서 고려되는 유기 용매로는 비한정적으로 알콜, 에테르, 피롤리디논, 글리콜, 아민 및 글리콜 에테르, 예컨대 비한정적으로 메탄올, 에탄올, 이소프로판올, 부탄올 및 고급 알콜 (예컨대, C2-C4 디올 및 C2-C4 트리올), 할로겐화 알콜 (예컨대, 3-클로로-1,2-프로판디올, 3-클로로-1-프로판티올, 1-클로로-2-프로판올, 2-클로로-1-프로판올, 3-클로로-1-프로판올, 3-브로모-1,2-프로판디올, 1-브로모-2-프로판올, 3-브로모-1-프로판올, 3-요오도-1-프로판올, 4-클로로-1-부탄올, 2-클로로에탄올), 디클로로메탄, 클로로포름, 아세트산, 프로피온산, 트리플루오로아세트산, 테트라히드로푸란 (THF), N-메틸피롤리디논 (NMP), 시클로헥실피롤리디논, N-옥틸피롤리디논, N-페닐피롤리디논, 메틸디에탄올아민, 메틸 포르메이트, 디메틸 포름아미드 (DMF), 디메틸설폭시드 (DMSO), 테트라메틸렌 설폰 (설포란), 디에틸 에테르, 페녹시-2-프로판올 (PPh), 프로프리오페논, 에틸 락테이트, 에틸 아세테이트, 에틸 벤조에이트, 아세토니트릴, 아세톤, 에틸렌 글리콜, 프로필렌 글리콜 (PG), 1,3-프로판디올, 1,4-프로판디올, 디옥산, 부티릴 락톤, 부틸렌 카르보네이트, 에틸렌 카르보네이트, 프로필렌 카르보네이트, 디프로필렌 글리콜, 디에틸렌 글리콜 모노메틸 에테르, 트리에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 모노에틸 에테르, 트리에틸렌 글리콜 모노에틸 에테르, 에틸렌 글리콜 모노프로필 에테르, 에틸렌 글리콜 모노부틸 에테르, 디에틸렌 글리콜 모노부틸 에테르 (즉, 부틸 카르비톨), 트리에틸렌 글리콜 모노부틸 에테르, 에틸렌 글리콜 모노헥실 에테르, 디에틸렌 글리콜 모노헥실 에테르, 에틸렌 글리콜 페닐 에테르, 프로필렌 글리콜 메틸 에테르, 디프로필렌 글리콜 메틸 에테르 (DPGME), 트리프로필렌 글리콜 메틸 에테르 (TPGME), 디프로필렌 글리콜 디메틸 에테르, 디프로필렌 글리콜 에틸 에테르, 프로필렌 글리콜 n-프로필 에테르, 디프로필렌 글리콜 n-프로필 에테르 (DPGPE), 트리프로필렌 글리콜 n-프로필 에테르, 프로필렌 글리콜 n-부틸 에테르, 디프로필렌 글리콜 n-부틸 에테르, 트리프로필렌 글리콜 n-부틸 에테르, 프로필렌 글리콜 페닐 에테르 및 이의 조합을 들 수 있다. 또한, 상기 유기 용매는 다른 양친매성 화학종, 즉, 계면활성제와 유사하게 친수성 및 소수성 부분 둘 모두를 함유하는 화학종을 포함할 수 있다. 소수성 특성은 일반적으로 탄화수소 또는 탄화불소 기로 구성된 분자 군을 포함함으로써 부여될 수 있으며, 친수성 특성을 일반적으로 이온성 또는 비하전된 극성 작용기를 포함함으로써 부여될 수 있다. 바람직하게는, 상기 유기 용매로는 트리프로필렌 글리콜 메틸 에테르 (TPGME), 디프로필렌 글리콜 메틸 에테르 (DPGME), 프로필렌 글리콜, 감마-부티로락톤 및 이의 조합을 들 수 있다. 존재하는 경우, 상기 조성물은 유기 용매를 상기 조성물의 총중량을 기준으로 0.01 중량% 이상 포함한다.
금속 부식 억제제는 금속, 예를 들어 구리, 텅스텐 및/또는 코발트 상호접속 금속의 과도한 에칭을 제거하는 작용을 한다. 적합한 부식 억제제로는 비한정적으로 아졸, 예컨대 벤조트리아졸 (BTA), 1,2,4-트리아졸 (TAZ), 5-아미노테트라졸 (ATA), 1-히드록시벤조트리아졸, 5-아미노-1,3,4-티아디아졸-2-티올, 3-아미노-1H-1,2,4 트리아졸, 3,5-디아미노-1,2,4-트리아졸, 톨릴트리아졸, 5-페닐-벤조트리아졸, 5-니트로-벤조트리아졸, 3-아미노-5-메르캅토-1,2,4-트리아졸, 1-아미노-1,2,4-트리아졸, 2-(5-아미노-펜틸)-벤조트리아졸, 1-아미노-1,2,3-트리아졸, 1-아미노-5-메틸-1,2,3-트리아졸, 3-메르캅토-1,2,4-트리아졸, 3-이소프로필-1,2,4-트리아졸, 5-페닐티올-벤조트리아졸, 할로-벤조트리아졸 (할로 = F, Cl, Br 또는 I), 나프토트리아졸, 1H-테트라졸-5-아세트산, 2-메르캅토벤조티아졸 (2-MBT), 1-페닐-2-테트라졸린-5-티온, 2-메르캅토벤즈이미다졸 (2-MBI), 4-메틸-2-페닐이미다졸, 2-메르캅토티아졸린, 2,4-디아미노-6-메틸-1,3,5-트리아진, 티아졸, 이미다졸, 벤즈이미다졸, 트리아진, 메틸테트라졸, 비스무티올 I, 1,3-디메틸-2-이미다졸리디논, 1,5-펜타메틸렌테트라졸, 1-페닐-5-메르캅토테트라졸, 디아미노메틸트리아진, 이미다졸린 티온, 4-메틸-4H-1,2,4-트리아졸-3-티올, 5-아미노-1,3,4-티아디아졸-2-티올, 벤조티아졸, 트리톨릴 포스페이트, 인다졸, DNA 염기 (예를 들어, 아데닌, 시토신, 구아닌, 티민), 포스페이트 억제제, 아민, 피라졸, 이미노디아세트산 (IDA), 프로판티올, 실란, 2차 아민, 벤조히드록삼산, 복소환 질소 억제제, 시트르산, 아스코르브산, 티오우레아, 1,1,3,3-테트라메틸우레아, 우레아, 우레아 유도체, 요산, 칼륨 에틸크산테이트, 글리신 및 이의 혼합물을 들 수 있다. 다카르복실산, 예컨대 옥살산, 말론산, 숙신산, 니트릴로트리아세트산 및 이의 조합은 또한 유용한 구리 부동태화제 화학종이다. 아졸은 구리 표면 상에 화합 흡착하고 불용성 제1 구리 표면 착물을 형성하는 것으로 인정되는 것이 일반적이다. 바람직하게는, 상기 부식 억제제로는 아스코르브산, 이미노디아세트산 (IDA) 및 벤조트리아졸 (BTA)을 들 수 있다. 존재하는 경우, 상기 조성물은 그 조성물의 총량을 기준으로 부식 억제제를 0.01 중량% 이상 포함한다.
킬레이트화제를 포함하는 것은 에칭 후 잔류물 화학종에서 산화된 구리 및/또는 텅스텐 금속을 킬레이트화하고, 및/또는 TiN 및/또는 티탄 함유 잔류물과 반응하는 작용을 한다. 적합한 킬레이트화제로는 비한정적으로 불화 β-디케톤 킬레이트화제, 예컨대 1,1,1,5,5,5-헥사플루오로-2,4-펜탄디온 (hfacH), 1,1,1-트리플루오로-2,4-펜탄디온 (tfac) 및 아세틸아세토네이트 (acac); 이미노디아세트산; 피라졸레이트; 아미디네이트; 구아니디네이트; 케토이민; 디엔; 폴리아민; 에틸렌디아민테트라아세트산 (EDTA); 1,2-시클로헥산디아민-N,N,N',N'-테트라아세트산 (CDTA); 에티드론산; 메탄설폰산; 염산; 아세트산; 아세틸아세톤; 알킬아민; 아릴아민; 글리콜아민; 알칸올아민; 트리아졸; 티아졸; 테트라졸; 이미다졸; 1,4-벤조퀴논; 8-히드록시퀴놀린; 살리실리덴 아닐린; 테트라클로로-1,4-벤조퀴논; 2-(2-히드록시페닐)-벤족사졸; 2-(2-히드록시페닐)-벤조티아졸; 히드록시퀴놀린 설폰산 (HQSA); 설포살리실산 (SSA); 살리실산 (SA); 테트라메틸암모늄 할라이드, 예를 들어, 플루오라이드, 클로라이드, 브로마이드, 요오다이드; 및 아민 및 아민-N-옥시드, 예컨대 비한정적으로 피리딘, 2-에틸피리딘, 2-메톡시피리딘 및 이의 유도체, 예컨대 3-메톡시피리딘, 2-피콜린, 피리딘 유도체, 디메틸피리딘, 피페리딘, 피페라진, 트리에틸아민, 트리에탄올아민, 에틸아민, 메틸아민, 이소부틸아민, tert-부틸아민, 트리부틸아민, 디프로필아민, 디메틸아민, 디글리콜 아민, 모노에탄올아민, 메틸디에탄올아민, 피롤, 이속사졸, 1,2,4-트리아졸, 비피리딘, 피리미딘, 피라진, 피리다진, 퀴놀린, 이소퀴놀린, 인돌, 이미다졸, N-메틸모르폴린-N-옥시드 (NMMO), 트리메틸아민-N-옥시드, 트리에틸아민-N-옥시드, 피리딘-N-옥시드, N-에틸모르폴린-N-옥시드, N-메틸피롤리딘-N-옥시드, N-에틸피롤리딘-N-옥시드, 1-메틸이미다졸, 디이소프로필아민, 디이소부틸아민, 아닐린, 아닐린 유도체, 펜타메틸디에틸렌트리아민 (PMDETA) 및 상기 중 임의로 것의 조합을 들 수 있다. 킬레이트화제는 메탄설폰산, 염산, PMDETA 및 이의 조합인 것이 바람직하다. 존재하는 경우, 상기 조성물은 그 조성물의 총중량을 기준으로 킬레이트화제를 0.01 중량% 이상 포함한다.
본 발명의 제1 양태의 조성물은 잔류물 제거에 일조하고, 표면을 습윤화시키며, 및/또는 잔류물 재침착을 방지하는 계면활성제를 임의로 더 포함할 수 있다. 예시적인 계면활성제로는 비한정적으로 양쪽성 염, 양이온성 계면활성제, 음이온성 계면활성제, 플루오로알킬 계면활성제, SURFONYL® 104, TRITON® CF-21, ZONYL® UR, ZONYL® FSO-100, ZONYL® FSN-100, 3M Fluorad 플루오로계면활성제 (즉, FC-4430 및 FC-4432), 디옥틸설포숙시네이트 염, 2,3-디메르캅토-1-프로판설폰산 염, 도데실벤젠설폰산, 폴리에틸렌 글리콜, 폴리프로필렌 글리콜, 폴리에틸렌 또는 폴리프로필렌 글리콜 에테르, 카르복실산 염, R1 벤젠 설폰산 또는 이의 염 (여기서, R1은 직쇄형 또는 분지쇄형 C8-C18 알킬기임), 양친매성 불화중합체, 폴리에틸렌 글리콜, 폴리프로필렌 글리콜, 폴리에틸렌 또는 폴리프로필렌 글리콜 에테르, 카르복실산 염, 도데실벤젠설폰산, 폴리아크릴레이트 중합체, 디노닐페닐 폴리옥시에틸렌, 실리콘 또는 개질된 실리콘 중합체, 아세틸렌계 디올 또는 개질된 아세틸렌계 디올, 알킬암모늄 또는 개질된 알킬암모늄 염뿐만 아니라 전술한 계면활성제 중 1 이상을 포함하는 조합, 나트륨 도데실 설페이트, 쯔비터이온성 계면활성제, 에어로졸-OT (AOT) 및 이의 불화 동족체, 알킬 암모늄, 퍼플루오로폴리에테르 계면활성제, 2-설포숙시네이트 염, 인산염을 주성분으로 하는 계면활성제, 황을 주성분으로 하는 계면활성제 및 아세토아세테이트를 주성분으로 하는 중합체를 들 수 있다. 존재 하는 경우, 상기 조성물은 조성물의 총중량을 기준으로 계면활성제를 0.01 중량% 이상 포함한다.
본 발명의 제1 양태의 조성물은 실리카 공급원을 더 포함할 수 있다. 용해된 실리카와 더불어 에칭제를 포함하는 수성 조성물을 이용하여 높은 TiN:ULK 선택도를 얻을 수 있다는 것이 놀랍게도 발견되었다. 상기 조성물에 상기 실리카를 미세 실리카 분말로서, 또는 테트라알콕시실란, 예컨대 TEOS로서, 바람직하게는 에칭제 대 실리카 공급원의 비율 약 4:1 ∼ 약 5:1로 첨가할 수 있다. 특히 바람직한 실시양태에서, 에칭제 공급원은 규불산이고, 실리카 공급원은 TEOS이다. 바람직한 추가 양태는 또한 글리콜을 주성분으로 하는 용매를 함유하여 상기 조성물 중 실리카 공급원의 용해를 촉진시킨다. 존재하는 경우, 상기 조성물은 그 조성물의 총중량을 기준으로 실리카를 0.01 중량% 이상 포함한다.
상기 저-k 부동태화제를 포함하여 저-k 층의 화학적 공격을 감소시키고 추가적인 산화로부터 웨이퍼를 보호할 수 있다. 붕산이 현재 바람직한 저-k 부동태화제이지만, 기타 히드록실 첨가제, 예를 들어 3-히드록시-2-나프토산, 말론산, 이미노디아세트산 및 이의 혼합물을 또한 이러한 목적으로 이롭게 사용할 수 있다. 바람직하게는, 상기 저-k 부동태화제는 이미노디아세트산을 포함한다. 존재하는 경우, 상기 조성물은 그 조성물의 총중량을 기준으로 저-k 부동태화제를 0.01 중량% 이상 포함한다. 본 발명의 제거 조성물을 이용하여 하부 저-k 물질의 총중량을 기준으로 하부 저-k 물질을 바람직하게는 2 중량% 미만, 더욱 바람직하게는 1 중량% 미만, 가장 바람직하게는 0.5 중량% 미만 에칭/제거한다.
다양한 바람직한 실시양태에서, 본 발명의 제1 양태의 수성 세정 조성물은 하기 제제 A∼S로 제제화시키며, 여기서 모든 백분율은 제제의 총중량을 기준으로 한 중량%이다:
제제 A: 규불산: 1.2 중량%; 부틸 카르비톨: 15.0 중량%; 물: 72.8 중량%; 아스코르브산: 1.0 중량%; 메탄설폰산: 10.0 중량%
제제 B: 규불산: 1.2 중량%; 부틸 카르비톨: 15.0 중량%; 물: 72.8 중량%; 아스코르브산: 1.0 중량%; 아세트산: 10.0 중량%
제제 C: 규불산: 1.2 중량%; 물: 87.8 중량%; ZONYL FSO-100: 0.5 중량%; 아스코르브산: 0.5 중량%; 아세트산: 10.0 중량%
제제 D: 규불산: 0.7 중량%; 부틸 카르비톨: 8.0 중량%; 물: 86.1 중량%; 아스코르브산: 0.2 중량%; 메탄설폰산: 5.0 중량%
제제 E: 규불산: 0.9 중량%; 부틸 카르비톨: 32.4 중량%; 물: 59.9 중량%; 아스코르브산: 0.3 중량%; 메탄설폰산: 6.5 중량%
제제 F: 규불산: 0.6 중량%; 부틸 카르비톨: 19.7 중량%; 물: 67.7 중량%; 아스코르브산: 0.2 중량%; 메탄설폰산: 11.8 중량%
제제 G: 규불산: 0.7 중량%; 부틸 카르비톨: 8.0 중량%; 물: 85.9 중량%; 아스코르브산: 0.2 중량%; 메탄설폰산: 5.0 중량%; 염산: 0.2 중량%
제제 H: 규불산: 0.7 중량%; 부틸 카르비톨: 8.0 중량%; 물: 88.3 중량%; 아스코르브산: 0.5 중량%; NMMO: 2.5 중량%
제제 I: 물: 88.63 중량%; 디(프로필렌 글리콜) 메틸 에테르: 6.75 중량%; H2SiF6: 1.01 중량%; TEOS: 0.29 중량%; 펜타메틸디에틸렌트리아민: 1.20 중량%; 아스코르브산: 2.41 중량%; pH = 3; 밀도 = 1.01 g/mL
제제 J: 물: 91.64 중량%; 디(프로필렌 글리콜) 메틸 에테르: 5.00 중량%; H2SiF6: 1.01 중량%; TEOS: 0.35 중량%; 설포란: 2.00 중량%; pH = 1.60; 밀도 = 1.01 g/mL
제제 K: 3-클로로-1,2-프로판디올: 40.00 중량%; 물: 43.40 중량%; 붕산: 1.00 중량%; 트리프로필렌 글리콜 메틸 에테르: 25.00 중량%; 아스코르브산: 0.50 중량%; TBA-BF4: 0.10 중량%
제제 L: 3-클로로-1,2-프로판디올: 40.00 중량%; 물: 35.50 중량%; 붕산: 1.00 중량%; 트리프로필렌 글리콜 메틸 에테르: 20.00 중량%; 아스코르브산: 2.00 중량%; TBA-BF4: 0.50 중량%; 말론산: 1.00 중량%
제제 M: 물: 88.97 중량%; 디(프로필렌 글리콜) 메틸 에테르: 6.71 중량%; H2SiF6: 1.01 중량%; TEOS: 0.30 중량%; 아스코르브산: 2.39 중량%; 이미노디아세트산: 0.62 중량%
제제 N: 물 89.45 중량%; 디(프로필렌 글리콜) 메틸 에테르: 6.83 중량%; (NH4)2SiF6: 0.99 중량%; TEOS: 0.29 중량%; 아스코르브산: 2.44 중량%; pH = 2.9; 밀도 =1.01 g/mL
제제 O: 물: 79.0 중량%; 3-클로로-1,2-프로판디올: 20.0 중량%; Bz TMAF: 0.15 중량%; 프로필렌 글리콜: 0.85 중량%; pH = 2.7
제제 P: 물: 78.7 중량%; 3-클로로-1,2-프로판디올: 20.0 중량%; Bz TMAF: 0.15 중량%; 프로필렌 글리콜: 0.85 중량%; BTA: 0.3 중량%; pH = 3.5
제제 Q: 물: 90.6 중량%; 3-클로로-1,2-프로판디올: 8.0 중량%; Bz TMAF: 0.2 중량%; 프로필렌 글리콜: 1.1 중량%; BTA: 0.1 중량%; pH = 3.6
제제 R: 물: 90.45 중량%; 3-클로로-1,2-프로판디올: 8.0 중량%; Bz TMAF: 0.19 중량%; 프로필렌 글리콜: 1.06 중량%; BTA: 0.3 중량%; pH 3.5
제제 S: 물: 79.50∼79.99 중량%; DMSO: 20.0 중량%; 테트라메틸암모늄 헥사플루오로포스페이트 0.01∼0.5 중량%
제1 양태의 또다른 양태에서, 본 발명의 수성 조성물은 규불산, 1 이상의 킬레이트화제 및 물을 포함하며, 여기서 규불산에 대한 킬레이트화제(들)의 중량% 비율은 약 5 ∼약 20이고, 물의 양은 상기 조성물의 총중량을 기준으로 75 중량% 미만이다. 특히 바람직한 실시양태에서, 킬레이트화제는 메탄설폰산을 포함한다.
상기 양태의 또다른 실시양태에서, 본 발명의 제1 양태의 수성 조성물은 규불산, 1 이상의 금속 부식 억제제 및 물을 포함하며, 여기서 규불산에 대한 금속 부식 억제제(들)의 중량% 비율은 약 0.30 ∼ 약 0.35 또는 약 0.80 ∼ 약 0.85이고, 물의 양은 상기 조성물의 총중량을 기준으로 75 중량% 미만이다. 특히 바람직한 실시양태에서, 킬레이트화제는 아스코르브산을 포함한다.
제1 양태의 또다른 실시양태에서, 본 발명의 수성 조성물은 규불산, 1 이상의 유기 용매, 1 이상의 킬레이트화제, 1 이상의 금속 부식 억제제 및 물을 포함하며, 규불산에 대한 유기 용매(들)의 중량% 비율은 약 10 ∼ 약 15이고, 규불산에 대한 킬레이트화제(들)의 중량% 비율은 약 5 ∼ 약 12이며, 규불산에 대한 금속 부식 억제제(들)의 중량% 비율은 약 0.80 ∼ 약 0.85이고, 물의 양은 상기 조성물의 총중량을 기준으로 75 중량% 미만이다. 특히 바람직한 실시양태에서, 상기 수성 조성물은 규불산, 디에틸렌 글리콜 부틸 에테르 및 아스코르브산을 포함한다.
제1 양태의 또다른 실시양태에서, 본 발명의 수성 조성물은 규불산, 1 이상의 유기 용매, 1 이상의 킬레이트화제, 1 이상의 금속 부식 억제제 및 물을 포함하며, 여기서 규불산에 대한 유기 용매(들)의 중량% 비율은 약 30 ∼ 약 38이고, 규불산에 대한 킬레이트화제(들)의 중량% 비율은 약 5 ∼ 약 20이며, 규불산에 대한 금속 부식 억제제(들)의 중량% 비율은 약 0.30 ∼ 약 0.35이고, 물의 양은 상기 조성물의 총중량을 기준으로 75 중량% 미만이다. 특히 바람직한 실시양태에서, 상기 수성 조성물은 규불산, 디에틸렌 글리콜 부틸 에테르, 아스코르브산 및 메탄설폰산을 포함한다.
제1 양태의 또다른 실시양태에서, 수성 조성물은 1 이상의 유기 용매, 1 이상의 에칭제, 1 이상의 킬레이트화제, 실리카 공급원, 1 이상의 텅스텐 부식 억제제 및 물을 포함한다. 적합한 텅스텐 부식 억제제로는 비한정적으로 설포란, 2-메르캅토티아졸린, 2,3,5-트리메틸피라진, 2-에틸-3,5-디메틸피라진, 퀴녹살린, 아세틸 피롤, 피리다진, 히스타딘, 피라진, 글리신, 벤즈이미다졸, 벤조트리아졸 (BTA), 이미노디아세트산 (IDA), 글루타티온 (환원됨), 시스테인, 2-메르캅토벤즈이미다졸, 시스틴, 티오펜, 메르캅토 피리딘 N-옥시드, 티아민 HCl, 테트라에틸 티우람 디설파이드, 1,2,4-트리아졸, 2,5-디메르캅토-1,3-티아디아졸아스코르브산, 아스코르브산 및 이의 조합, 바람직하게는 설포란, 피라진, 글리신, 히스티딘, 아스코르브산 및 이의 조합을 들 수 있다. 특히 바람직한 실시양태에서, 상기 수성 조성물은 1 이상의 유기 용매, 에칭제, 1 이상의 킬레이트화제, 실리카 공급원, 1 이상의 W 부식 억제제 및 물을 포함하며, 여기서 에칭제에 대한 유기 용매(들)의 중량% 비율은 약 5 ∼ 약 8, 바람직하는 약 6.5 ∼ 약 7이고, 에칭제에 대한 물의 중량% 비율은 약 85 ∼ 약 91, 바람직하게는 약 86 ∼ 약 89이며, 에칭제에 대한 실리카 공급물의 중량% 비율은 약 0.1 ∼ 약 0.5, 바람직하게는 약 0.25 ∼ 약 0.35이고, 에칭제에 대한 킬레이트화제(들)의 중량% 비율은 약 0.5 ∼ 약 2.5, 바람직하게는 약 1 ∼ 약 1.5이며, 에칭제에 대한 W 부식 억제제(들)의 중량% 비율은 약 1 ∼ 약 4, 바람직하게는 약 2 ∼ 약 2.5이다. 상기 실시양태의 조성물은 ULK, Cu 또는 W의 상당한 제거 없이 TiN을 에칭하는 데 사용하거나, Cu 또는 W CMP를 위해 사용할 수 있다. 에칭제는 규불산을 포함하는 것이 바람직하다. 예를 들어, 한 실시양태에서, 수성 조성물은 물, 디(프로필렌 글리콜) 메틸 에테르, 펜타메틸디에틸렌트리아민, 규불산, TEOS 및 아스코르브산을 포함하거나, 이로 구성되거나, 이를 필수로 구성된다.
제1 양태의 또다른 실시양태에서, 상기 조성물은 1 이상의 유기 용매, 1 이상의 에칭제, 실리카 공급원, 1 이상의 텅스텐 부식 억제제 및 물을 포함한다. 특히 바람직한 실시양태에서, 상기 조성물은 1 이상의 유기 용매, 에칭제, 실리카 공급원, 1 이상의 W 부식 억제제 및 물을 포함하며, 여기서, 에칭제에 대한 유기 용매(들)의 중량% 비율은 약 3 ∼ 약 7, 바람직하게는 약 4.5 ∼ 약 7이고, 에칭제에 대한 물의 중량% 비율은 약 88 ∼ 약 93, 바람직하게는 약 90 ∼ 약 91이며, 에칭제에 대한 실리카 공급원의 중량% 비율은 약 0.1 ∼ 약 0.5, 바람직하게는 약 0.25 ∼ 약 0.35이고, 에칭제에 대한 W 부식 억제제(들)의 중량% 비율은 약 1 ∼ 약 4, 바람직하게는 약 2 ∼ 약 2.5이다. 상기 실시양태의 조성물은 ULK, Cu 또는 W의 상당한 제거 없이 TiN을 에칭하는 데 사용하거나, Cu 또는 W CMP를 위해 사용할 수 있다. 에칭제는 규불산을 포함하는 것이 바람직하다. 예를 들어, 한 실시양태에서 상기 수성 조성물은 물, 디(프로필렌 글리콜) 메틸 에테르, 규불산, TEOS 및 설포란을 포함하거나, 이로 구성되거나, 이를 필수로 구성된다. 또다른 실시양태에서, 상기 수성 조성물은 물, 디(프로필렌 글리콜) 메틸 에테르, 암모늄 플루오로실리케이트, TEOS 및 아스코르브산을 포함하거나, 이로 구성되거나, 이를 필수로 구성된다.
제1 양태의 또다른 실시양태에서, 상기 수성 조성물은 1 이상의 에칭제, 1 이상의 유기 용매, 1 이상의 저-k 부동태화제, 1 이상의 부식 억제제 및 물을 포함한다. 특히 바람직한 실시양태에서, 상기 수성 조성물은 TBA-BF4, 1 이상의 유기 용매, 1 이상의 저-k 부동태화제, 1 이상의 부식 억제제 및 물을 포함하며, 여기서 저-k 부동태화제(들)에 대한 유기 용매(들)의 중량% 비율은 약 30 ∼ 약 70, 바람직하게는 약 50 ∼ 약 65이고; 저-k 부동태화제(들)에 대한 물의 중량% 비율은 약 25 ∼ 약 60, 바람직하게는 35 ∼ 약 50이며; 저-k 부동태화제(들)에 대한 부식 억제제(들)의 중량% 비율은 약 0.1 ∼ 약 5, 바람직하게는 약 0.5 ∼ 약 3이고; 저-k 부동태화제(들)에 대한 에칭제(들)의 중량% 비율은 약 0.01 ∼ 약 2, 바람직하게는 약 0.05 ∼ 약 1이다.
본 발명의 제1 양태의 또다른 실시양태에서, 상기 수성 조성물은 물, 1 이상의 유기 용매, 1 이상의 부식 억제제 및 1 이상의 에칭제를 포함한다. 특히 바람직한 실시양태에서, 상기 수성 조성물은 물, 1 이상의 유기 용매 및 프로필렌 글리콜/벤질트리메틸암모늄 플루오라이드 에칭제를 포함하며, 여기서 PG/벤질트리메틸암모늄 플루오라이드 에칭제에 대한 유기 용매(들)의 중량% 비율은 약 60 ∼ 약 90, 바람직하게는 약 70 ∼ 약 80이고; PG/벤질트리메틸암모늄 플루오라이드 에칭제에 대한 물의 중량% 비율은 약 2 ∼ 약 30, 바람직하게는 15 ∼ 약 25이며; PG/벤질트리메틸암모늄 플루오라이드 에칭제에 대한 부식 억제제(들)의 중량% 비율은 약 0.01 ∼ 약 0.5, 바람직하게는 약 0.1 ∼ 약 0.3이다. 예를 들어, 한 실시양태에서, 상기 수성 조성물은 물, 3-클로로-1,2-프로판디올, 벤질트리메틸암모늄 플루오라이드:프로필렌 글리콜 및 벤조트리아졸을 포함하거나, 이로 구성되거나, 이를 필수로 구성된다.
제1 양태의 추가 실시양태에서, 본 원에서 기술된 수성 조성물은 플라즈마 에칭 후 잔류물을 더 포함하고, 여기서 상기 플라즈마 에칭 후 잔류물은 티탄 함유 잔류물, 중합체 잔류물, 구리 함유 잔류물, 텅스텐 함유 잔류물, 코발트 함유 잔류물 및 이의 조합으로 구성된 군으로부터 선택되는 잔류물 물질을 포함한다. 상기 잔류물 물질은 본 발명의 수성 조성물에 용해되고, 및/또는 현탁될 수 있다.
제1 양태의 추가 실시양태에서, 본 원에서 기술된 수성 조성물은 질화티탄 잔류물을 물질을 더 포함한다. 상기 잔류물 물질은 본 발명의 수성 조성물에 용해되고, 및/또는 현탁될 수 있다.
본 발명의 제1 양태의 조성물은 패턴화되거나 블랭킷된 텅스텐 층, 구리 층 및/또는 ULK 층을 실질적으로 에칭시키지 않고 TiN, 측벽 잔류물 및/또는 에칭 후 잔류물을 선택적으로 제거하는 데 유용하다. 수성 용액 이외에, 본 원에서는 또한 수성 세정 조성물을 발포체, 연무, 아임계 또는 초임계 유체(즉, 용매가 물 대신에 CO2 등임)로서 제제화할 수 있다는 것을 고려한다.
제2 양태에서, 본 발명의 세정 조성물은 반수성 또는 비수성이며, 1 이상의 유기 용매 및 1 이상의 금속 킬레이트화제, 임의로 1 이상의 계면활성제, 임의로 1 이상의 부식 억제제, 임의로 1 이상의 저-k 부동태화제, 임의로 1 이상의 에칭제 및 임의로 물을 플라즈마 에칭 후 잔류물을 이를 상부에 갖는 마이크로전자 소자의 표면으로부터 제거하기 위해 포함하고, 여기서 상기 플라즈마 에칭 후 잔류물은 티탄 함유 잔류물, 중합체 잔류물, 구리 함유 잔류물, 텅스텐 함유 잔류물, 코발트 함유 잔류물 및 이의 조합으로 구성된 군으로부터 선택된 화학종을 포함한다. 또다른 실시양태에서, 상기 세정 조성물은 1 이상의 유기 용매, 1 이상의 금속 킬레이트화제 및 1 이상의 부식 억제제를 포함한다. 또다른 실시양태에서, 상기 세정 조성물은 1 이상의 유기 용매, 1 이상의 금속 킬레이트화제, 1 이상의 부식 억제제 및 물을 포함한다. 또다른 실시양태에서, 본 발명의 세정 조성물은 1 이상의 유기 용매, 1 이상의 금속 킬레이트화제, 1 이상의 부식 억제제, 1 이상의 계면활성제 및 물을 포함한다. 또다른 실시양태에서, 본 발명의 세정 조성물은 1 이상의 유기 용매, 1 이상의 금속 킬레이트화제, 1 이상의 부식 억제제, 1 이상의 저-k 부동태화제 및 물을 포함한다. 또다른 실시양태에서, 본 발명의 세정 조성물은 1 이상의 유기 용매, 1 이상의 금속 킬레이트화제, 1 이상의 부식 억제제, 1 이상의 계면활성제, 1 이상의 저-k 부동태화제 및 물을 포함한다. 또다른 실시양태에서, 본 발명의 세정 조성물은 1 이상의 유기 용매, 1 이상의 금속 킬레이트화제 및 1 이상의 에칭제를 포함한다. 또다른 실시양태에서, 본 발명의 세정 조성물은 1 이상의 유기 용매, 1 이상의 금속 킬레이트화제, 1 이상의 부식 억제제 및 1 이상의 에칭제를 포함한다. 본 발명의 상기 양태의 세정 조성물은 플라즈마 에칭 후 잔류물을 제거하는 동시에, 상기 마이크로전자 소자 표면 상의 금속성 층, 예컨대 코발트 및 코발트 함유 합금, 예를 들어 CoWP, TiN 및 ILD 물질에 손상을 주지 않는다.
제2 양태의 한 실시양태에서, 본 발명은 티탄 함유 잔류물, 중합체 잔류물, 구리 함유 잔류물, 텅스텐 함유 잔류물, 코발트 함유 잔류물 및 이의 조합로 구성된 군으로부터 선택된 플라즈마 에칭 후 잔류물을 세정하기 위한 수성 조성물로서, 상기 조성물의 총중량을 기준으로 하기 범위로 존재하는 1 이상의 유기 용매, 1 이상의 금속 킬레이트화제, 1 이상의 부식 억제제, 물, 임의로 1 이상의 계면활성제 및 임의로 1 이상의 저-k 부동태화제를 포함하는 조성물에 관한 것이다.
Figure pat00004
제2 양태의 또다른 실시양태에서, 본 발명은 티탄 함유 잔류물, 중합체 잔류물, 구리 함유 잔류물, 텅스텐 함유 잔류물, 코발트 함유 잔류물 및 이의 조합으로 구성된 군으로부터 선택된 플라즈마 에칭 후 잔류물을 세정하기 위한 비수성 조성물로서, 상기 조성물의 총중량을 기준으로 하기 범위로 존재하는 1 이상의 유기 용매 및 1 이상의 금속 킬레이트화제를 포함하는 조성물에 관한 것이다.
Figure pat00005
제2 양태의 또다른 양태에서, 본 발명은 티탄 함유 잔류물, 중합체 잔류물, 구리 함유 잔류물, 텅스텐 함유 잔류물, 코발트 함유 잔류물 및 이의 조합으로 구성된 군으로부터 선택된 플라즈마 에칭 후 잔류물을 세정하기 위한 조성물로서, 상기 조성물의 총중량을 기준으로 하기 범위로 존재하는 1 이상의 유기 용매 1 이상의 금속 킬레이트화제 및 1 이상의 에칭제를 포함하는 조성물에 관한 것이다.
Figure pat00006
특히, 1 이상의 에칭제의 중량%는 '순수' 에칭제를 포함하거나, 대안적으로 에칭제에 대한 프로필렌 글리콜의 중량비와 상관 없이 프로필렌 글리콜/에칭제 혼합물의 양을 포함한다. 당업자라면 세정 조성물 중 에칭제의 중량%는 상기 세정 조성물에 첨가되는 PG/에칭제 성분의 중량% 미만인 것을 이해해야 한다. 예를 들어, 0.5 중량% PG/HF (96:4) 혼합물을 포함하는 세정 조성물 중의 HF의 중량%는 실질적으로 0.02 중량%이다.
제2 양태의 또다른 실시양태에서, 본 발명은 티탄 함유 잔류물, 중합체 잔류물, 구리 함유 잔류물, 텅스텐 함유 잔류물, 코발트 함유 잔류물 및 이의 조합으로 구성된 군으로부터 선택된 플라즈마 에칭 후 잔류물을 세정하기 위한 조성물로서, 상기 조성물의 총중량을 기준으로 하기 범위로 존재하는 1 이상의 유기 용매, 1 이상의 금속 킬레이트화제, 1 이상의 부식 억제제 및 1 이상의 에칭제를 포함하는 조성물에 관한 것이다.
Figure pat00007
특히, 1 이상의 에칭제의 중량%는 '순수' 에칭제를 포함하거나, 대안적으로 에칭제에 대한 프로필렌 글리콜의 중량비와 상관 없이 프로필렌 글리콜/에칭제 혼합물의 양을 포함한다. 당업자라면 세정 조성물 중 에칭제의 중량%는 상기 세정 조성물에 첨가되는 PG/에칭제 성분의 중량% 미만인 것을 이해해야 한다. 예를 들어, 0.5 중량% PG/HF (96:4) 혼합물을 포함하는 세정 조성물 중의 HF의 중량%는 실질적으로 0.02 중량%이다.
본 발명의 광범위한 실행에서, 상기 세정 조성물은 (i) 1 이상의 유기 용매 및 1 이상의 킬레이트화제; (ⅱ) 1 이상의 유기 용매, 1 이상의 금속 킬레이트화제 및 1 이상의 부식 억제제; (ⅲ) 1 이상의 유기 용매, 1 이상의 금속 킬레이트화제, 1 이상의 부식 억제제 및 물; (ⅳ) 1 이상의 유기 용매, 1 이상의 금속 킬레이트화제, 1 이상의 부식 억제제 및 물; (v) 1 이상의 유기 용매, 1 이상의 금속 킬레이트화제, 1 이상의 부식 억제제, 1 이상의 계면활성제 및 물; (ⅵ) 1 이상의 유기 용매, 1 이상의 금속 킬레이트화제, 1 이상의 부식 억제제, 1 이상의 저-k 부동태화제 및 물; (ⅶ) 1 이상의 유기 용매, 1 이상의 금속 킬레이트화제, 1 이상의 부식 억제제, 1 이상의 계면활성제, 1 이상의 저-k 부동태화제 및 물; (ⅷ) 1 이상의 유기 용매, 1 이상의 금속 킬레이트화제 및 1 이상의 에칭제; 및 (ⅸ) 1 이상의 유기 용매, 1 이상의 금속 킬레이트화제, 1 이상의 부식 억제제 및 1 이상의 에칭제를 포함하고, 이로 구성되며, 이를 필수로 구성될 수 있다.
제거 조성물의 성분의 중량% 비율의 범위는 킬레이트화제(들)에 대한 유기 용매(들)가 약 0.1 ∼ 약 20, 바람직하게는 약 3.5 ∼ 약 15, 더욱 바람직하게는 약 3.5 ∼ 약 5이고; 킬레이트화제(들)에 대한 물(존재하는 경우)이 약 0.1 ∼ 약 50, 바람직하게는 약 1 ∼ 약 25, 가장 바람직하게는 약 2 ∼ 약 12이며; 킬레이트화제(들)에 대한 금속 부식 억제제(존재하는 경우)가 약 0.001 ∼ 약 0.2, 바람직하게는 약 0.01 ∼ 약 0.1이고; 킬레이트화제(들)에 대한 저-k 부동태화제(들)(존재하는 경우)가 약 0.001 ∼ 약 0.2, 바람직하게는 약 0.01 ∼ 약 0.1이며; 킬레이트화제(들)에 대한 에칭제 또는 PG/에칭제 혼합물(존재하는 경우)이 약 0.01 ∼ 약 1, 바람직하게는 약 0.025 ∼ 약 0.35, 더욱 바람직하게는 약 0.025 ∼ 약 0.15이다.
본 발명의 상기 양태에서 상기 유기 용매(들), 킬레이트화제(들), 부식 억제제(들), 에칭제(들) 및 계면활성제(들)은 앞서 본 원에서 상기 기술되었다. 바람직하게는, 상기 용매는 트리프로필렌 글리콜 메틸 에테르, 프로필렌 글리콜, 감마-부틸로락톤 및/또는 3-클로로-1,2-프로판디올을 포함한다. 바람직하게는, 상기 킬레이트화제는 메탄설폰산, 디이소프로필아민, 펜타메틸디에틸렌트리아민 및 이의 조합을 포함한다. 바람직한 에칭제는 PG/HE (96:4), PG/테트라부틸암모늄 플루오라이드 (85/15), TBA-BF4 또는 이의 조합을 포함한다.
상기 물은 탈이온화되는 것이 바람직하다.
다양한 바람직한 실시양태에서, 본 발명의 상기 양태의 수성 세정 조성물은 하기 제제 AA∼AY로 제제화시키며, 여기서 모든 백분율은 제제의 총중량을 기준으로 한 중량%이다:
제제 AA: 30.0 중량% 디에틸렌 글리콜 부틸 에테르; 62.87 중량% 물; 5.63 중량% HCl; 1.00 중량% 트리에탄올아민; 0.50 중량% 아스코르브산
제제 AB: 30.0 중량% 디에틸렌 글리콜 부틸 에테르; 54.00 중량% 물; 10.00 중량% 메탄설폰산; 5.00 중량% 아세틸아세톤; 0.50 중량% 이미노디아세트산; 0.50 중량% 아스코르브산
제제 AC: 30.0 중량% 디에틸렌 글리콜 부틸 에테르; 15.0 중량% 디에틸렌 글리콜 메틸 에테르; 44.00 중량% 물; 10.00 중량% 메탄설폰산; 0.50 중량% 이미노디아세트산; 0.50 중량% 아스코르브산
제제 AD; 30.0 중량% 디에틸렌 글리콜 부틸 에테르; 15.0 중량% 트리프로필렌 글리콜 메틸 에테르; 44.00 중량% 물; 10.00 중량% 메탄설폰산; 0.50 중량% 이미노디아세트산; 0.50 중량% 아스코르브산
제제 AE: 90.0 중량% 3-클로로-1,2-프로판디올; 10.0 중량% 메탄설폰산
제제 AF: 90.0 중량% 3-클로로-1,2-프로판디올; 9.0 중량% 메탄설폰산; 1.0 중량% 테트라메틸암모늄 클로라이드
제제 AG: 80.0 중량% 3-클로로-1,2-프로판디올; 20.0 중량% 디이소프로필아민
제제 AH: 80.0 중량% 트리프로필렌 글리콜 메틸 에테르; 20.0 중량% 디이소프로필아민
제제 AI: 80.0 중량% 트리프로필렌 글리콜 메틸 에테르; 20.0 중량% 펜타메틸디에틸렌트리아민
제제 AJ: 40.0 중량% 3-클로로-1,2-프로판디올; 40.0 중량% 트리프로필렌 글리콜 메틸 에테르; 20.0 중량% 펜타메틸디에틸렌트리아민
제제 AK: 30.0 중량% 3-클로로-1,2-프로판디올; 30.0 중량% 트리프로필렌 글리콜 메틸 에테르; 30.0 중량% 프로필렌 카르보네이트; 10.0 중량% 메탄설폰산
제제 AL: 메탄설폰산: 10.00 중량%; 트리(프로필렌 글리콜) 메틸 에테르: 50.00 중량%; 3-클로로-1,2-프로판디올: 40.00 중량%; pH = 1.70 (물과의 50:1 희석); 밀도 = 1.14 g mL-1; 25℃에서의 점도 = 31.35 cSt
제제 AM: 펜타메틸디에틸렌트리아민: 10.00 중량%; 트리 (프로필렌 글리콜) 메틸 에테르: 50.00 중량%; 프로필렌 글리콜: 40.00 중량%; pH = 10.56 (물과의 50:1 희석); 밀도 = 0.98 g mL-1; 25℃에서의 점도= 14.55 cSt
제제 AN: 펜타메틸디에틸렌트리아민: 10.00 중량%; 트리(프로필렌 글리콜) 메틸 에테르: 50.00 중량%; 프로필렌 글리콜: 39.25 중량%; PG/HF (96:4): 0.75 중량%; pH = 10.40 (물과의 50:1 희석); 밀도 = 0.98 g/mL
제제 AO: 펜타메틸디에틸렌트리아민: 10.00 중량%; 트리(프로필렌 글리콜) 메틸 에테르: 50.00 중량%; 프로필렌 글리콜: 39.50 중량%; PG/HF (96:4): 0.50 중량%; pH = 10.40 (물과의 50:1 희석); 밀도 = 0.98 g/mL
제제 AP: 펜타메틸디에틸렌트리아민: 20.00 중량%; 트리(프로필렌 글리콜) 메틸 에테르: 44.444 중량%; 프로필렌 글리콜: 35.556 중량%; pH = 10.56 (물과의 50:1 희석); 밀도 = 0.98 g/mL
제제 AQ: 펜타메틸디에틸렌트리아민: 9.756 중량%; 트리(프로필렌 글리콜) 메틸 에테르: 48.780 중량%; 프로필렌 글리콜: 39.024 중량%; PG/테트라부틸 불화암모늄 (85:15): 2.440 중량%
제제 AR: 펜타메틸디에틸렌트리아민: 9.756 중량%; 트리(프로필렌 글리콜) 메틸 에테르: 48.780 중량%; 프로필렌 글리콜: 39.024 중량%; PG/벤질 메틸 불화암모늄 (85:15): 2.440 중량%
제제 AS: 펜타메틸디에틸렌트리아민: 20.00 중량%; 트리(프로필렌 글리콜) 메틸 에테르: 44.20 중량%; 프로필렌 글리콜: 35.30 중량%; 테트라부틸암모늄 테트라플루오로보레이트 (TBA-BF4): 0.50 중량%
제제 AT: 펜타메틸디에틸렌트리아민: 20.00 중량%; 트리(프로필렌 글리콜) 메틸 에테르: 39.75 중량%; 프로필렌 글리콜: 39.75 중량%; 테트라부틸암모늄 테트라플루오로보레이트 (TBA-BF4): 0.50 중량%
제제 AU: 펜타메틸디에틸렌트리아민: 20.00 중량%; 트리(프로필렌 글리콜) 메틸 에테르: 22.30 중량%; 프로필렌 글리콜: 57.20 중량%; 테트라부틸암모늄 테트라플루오로보레이트 (TBA-BF4): 0.50 중량%
제제 AV: 펜타메틸디에틸렌트리아민: 20.00 중량%; 트리(프로필렌 글리콜) 메틸 에테르: 20.00 중량%; 프로필렌 글리콜: 42.00 중량%; 감마-부티로락톤 (GBL): 15.00 중량%; PG/HF (96:4): 3.00 중량%
제제 AW: 펜타메틸디에틸렌트리아민: 20.00 중량%; 프로필렌 글리콜: 52.00 중량%; 감마-부티로락톤: 25.00 중량%; PG/HF (96:4): 3.00 중량%; pH = 9.90 (물과의 50:1 희석); 밀도 = 1.03 g/mL
제제 AX: 펜타메틸디에틸렌트리아민: 20.00 중량%; 프로필렌 글리콜: 52.00 중량%; 트리(프로필렌 글리콜) 메틸 에테르: 25.00 중량%; PG/HF (96:4): 3.00 중량%
제제 AY: 펜타메틸디에틸렌트리아민: 19.98 중량%; 프로필렌 글리콜: 51.31 중량%; 감마-부티로락톤: 24.97 중량%; PG/HF (96:4): 2.99 중량%; 벤조트리아졸: 0.75 중량%; pH = 10.03 (물과의 50:1 희석); 밀도 = 1.03 g/mL
제2 양태의 또다른 실시양태에서, 본 원에서 기술된 세정 조성물은 플라즈마 에칭 후 잔류물을 추가로 포함하며, 여기서 상기 플라즈마 에칭 후 잔류물은 티탄 함유 잔류물, 중합체 잔류물, 구리 함유 잔류물, 텅스텐 함유 잔류물, 코발트 함유 잔류물 및 이의 조합으로 구성된 군으로부터 선택된 잔류물 물질을 포함한다. 중요하게는, 상기 잔류물 물질은 본 발명의 수성 조성물에 용해되고, 및/또는 현탁될 수 있다.
제2 양태의 특히 바람직한 실시양태에서, 세정 조성물은 1 이상의 글리콜 에테르, 물, 메탄설폰산, 이미노디아세트산 및 아스코르브산을 포함하며, 여기서 상기 1 이상의 글리콜 에테르는 디에틸렌 글리콜 부틸 에테르 및/또는 트리프로필렌 글리콜 메틸 에테르를 포함한다. 제2 양태의 또다른 바람직한 실시양태에서, 세정 조성물은 펜타메틸디에틸렌트리아민, 프로필렌 글리콜, 감마-부티로락톤 및 PG/HF를 포함한다. 또다른 바람직한 실시양태에서, 세정 조성물은 펜타메틸디에틸렌트리아민, 프로필렌 글리콜, 감마-부티로락톤, PG/HF 및 벤조트리아졸을 포함한다.
본 발명의 제1 양태의 조성물은 패턴화되거나 블랭킷팅된 텅스텐 층, TiN, 구리 층 및/또는 ULK 층의 상당한 에칭 없이 측벽 잔류물 및/또는 에칭 후 잔류물을 선택적으로 제거하는 데 유용하다. 액체 용액 이외에, 본 발명의 양태 둘 모두의 조성물은 또한 발포체, 연무, 아임계 또는 초임계 유체(즉, 용매가 물 대신에 CO2 등임)로서 제제화할 수 있다는 것이 고려된다.
유리하게는, 본 발명의 양태 둘 모두의 세정 조성물은 마이크로전자 소자의 정상부 표면, 측벽 및 비아 및 라인으로부터 플라즈마 에칭 후 잔류물을, 상기 소자 상에 존재하는 ILD, 캡핑층 및/또는 금속 상호접속층을 손상시키지 않고 효과적으로 제거한다. 또한, 상기 조성물은 트렌치 또는 비아가 먼저 에칭되는지에 상관 없이 사용할 수 있다.
일반적인 세정 적용에서, 극도의 희석으로 사용되는 고농축 발포체 제조를 실시하는 것이 일반적인 것으로 이해되게 된다. 예를 들어, 상기 세정 조성물은 가용성 목적으로 약 20 중량% 이상을 비롯한 더욱 농축된 형태로 제조하고, 이후 제조기에서 추가 용매(예를 들어, 물 및/또는 유기 용매)로 제조에서 사용하기 전 및/또는 도중에 희석할 수 있다. 희석 비율은 희석액 약 0.1 부:제거 조성물 농축물 1 부 ∼ 희석액 약 3 부:제거 조성물 농축물 1 부, 바람직하게는 1:1 범위에 있을 수 있다. 희석 시 제거 조성물의 많은 성분의 중량% 비율이 변하지 않고 유지된다.
본 발명의 양태 둘 모두의 조성물은 개개의 성분을 단순히 첨가하고 균일 조건으로 혼합하여 용이하게 제조한다. 또한, 상기 조성물은 단일 패키지 제제 또는 사용 시 혼합되는 다중 부분 제제, 바람직하게는 다중 부분 제제로서 용이하게 제조할 수 있다. 다중 부분 제제의 개별 부분은 상기 툴에서 또는 상기 툴 하류의 저장 탱크에서 혼합할 수 있다. 개별 성분의 농도는 본 발명의 광범위한 실시에서 상기 조성물의 특정 배수로, 즉, 더욱 희석되거나 더욱 농축되어 광범위하게 변할 수 있고, 본 발명의 조성물은 본 원의 개시와 일치하는 임의 조합의 성분을 다양하고 대안적으로 포함하거나, 이로 구성되거나, 이를 필수로 구성되는 것이 이해되게 된다.
따라서, 본 발명의 또다른 양태는 1 이상의 용기에 본 발명의 조성물을 형성하도록 제조된 1 이상의 성분을 포함하는 키트에 관한 것이다. 바람직하게는, 상기 키트는 제조 시 또는 사용 시에 추가의 물 및/또는 유기 용매와 배합하거나 배합하지 않기 위해, 1 이상의 용기에 1 이상의 에칭제 공급원, 1 이상의 금속 킬레이트화제, 임의로 물, 임의로 1 이상의 유기 용매, 임의로 1 이상의 부식 억제제, 임의로 1 이상의 저-k 부동태화제, 임의로 1 이상의 계면활성제 및 임의로 실리카 공급원의 바람직한 조합을 포함한다. 대안적으로, 상기 키트는 제조 시 또는 사용 시에 추가의 물 및/또는 유기 용매와 배합하거나 배합하지 않기 위해 1 이상의 용기에 1 이상의 에칭제 공급원, 1 이상의 금속 부식 억제제, 임의로 물, 임의로 1 이상의 유기 용매, 임의로 1 이상의 킬레이트화제, 임의로 1 이상의 저-k 부동태화제, 임의로 1 이상의 계면활성제 및 임의로 실리카 공급원의 바람직한 조합을 포함한다. 대안적으로 상기 키트는 제조 시 또는 사용 시에 추가의 물 및/또는 유기 용매와 배합하거나 배합하지 않기 위해 1 이상의 용기에 1 이상의 에칭제 공급원, 1 이상의 유기 용매, 임의로 물, 임의로 1 이상의 금속 부식 억제제, 임의로 1 이상의 킬레이트화제, 임의로 1 이상의 저-k 부동태화제, 임의로 1 이상의 계면활성제 및 임의로 실리카 공급원의 바람직한 조합을 포함한다. 대안적으로, 상기 키트는 제조 시 또는 사용 시에 추가의 물 및/또는 유기 용매와 배합하거나 배합하지 않기 위해 1 이상의 용기에 1 이상의 유기 용매 및 1 이상의 금속 킬레이트화제, 임의로 1 이상의 계면활성제, 임의로 1 이상의 부식 억제제, 임의로 1 이상의 저-k 부동태화제, 임의로 1 이상의 에칭제 및 임의로 물의 바람직한 조합을 포함한다. 상기 키트의 용기는 상기 세정 조성물을 저장 및 운송하는데 적합해야만 하며, 예를 들어 NOWPak® 용기(어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드, 미국 코너티컷 댄버리 소재)가 있다. 제거 조성물의 성분을 함유하는 1 이상의 용기는 배합 및 분배를 위해 유체 연통되는 상기 1 이상의 용기 중의 성분을 이송하기 위한 수단을 포함하는 것이 바람직하다. 예를 들어, NOWPak® 용기를 참조하면, 기압을 상기 1 이상의 용기의 라이너 외부에 적용하여 상기 라이너의 적어도 일부가 배출되고 이로써 배합 및 분배를 위한 유체 연통이 가능하도록 할 수 있다. 대안적으로, 기압을 통상의 가압 가능한 용기의 헤드 공간에 적용할 수 있거나, 펌프를 사용하여 유체 연통이 가능하도록 할 수 있다. 또한, 상기 시스템은 배합된 제거 조성물을 공정 툴로 분배시키기 위한 분배 부분을 포함하는 것이 바람직하다.
실질적으로 화학적 불활성인 불순물이 없는 가용성 및 탄성 중합체 필름 물질, 예컨대 고밀도 폴리에틸렌을 사용하여 상기 1 이상의 용기를 위한 라이너를 제작하는 것이 바람직하다. 공압출 또는 배리어층 필요 없이, 및 상기 라이너에 위치하는 성분에 대한 순도 필요 요건에 악영향을 미칠 수 있는 임의의 안료, UV 억제제 또는 가공 제제 없이 바람직한 라이너 물질을 가공한다. 소정의 라이너 물질의 목록은 버진 (무첨가물) 폴리에틸렌, 버진 폴리테트라플루오로에틸렌 (PTFE), 폴리프로필렌, 폴리우레탄, 폴리비닐리덴 클로라이드, 폴리비닐클로라이드, 폴리아세탈, 폴리스티렌, 폴리아크릴로니트릴, 폴리부틸렌 등을 포함하는 필름들을 포함한다. 이러한 라이너 물질의 바람직한 두께는 약 5 mil (0.005 inch) ∼ 약 30 mil (0.030 inch) 범위, 예를 들어 두께 20 mil (0.020 inch)인 것이 바람직하다.
본 발명의 키트를 위한 용기와는 상관 없이, 하기 특허 및 특허 출원의 개시는 이를 각각 전체로 참조하여 본 원에서 참조된다: 'APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS'의 표제의 미국 특허 7,188,644호; 'RETURNABLE AND REUSABLE, BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM'의 표제의 미국 특허 6,698,619호; 및 "SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION"의 표제의 미국 특허 출원 60/916,966호 (John E.Q. Hughes의 이름으로 2007년 5월 9일에 출원).
마이크로전자 제조 조작에 적용함에 따라, 본 발명의 양태 둘 모두의 세정 조성물을 유용하게 적용하여 상기 마이크로전자 소자의 표면으로부터 플라즈마 에칭 후 잔류물을 세정하고, 제제된 다른 조성물을 적용하기 전 또는 후에 상기 표면에 적용하여 상기 소자의 표면으로부터 대체 물질을 제거할 수 있다. 중요하게는, 본 발명의 조성물은 상기 소자 표면 상의 ILD 물질을 손상시키지 않고, 제거 가공 이전의 소자 상에 존재하는 잔류물의 90% 이상을 제거하는 것이 바람직하며, 더욱 바람직하게는 제거하려는 잔류물의 95% 이상, 가장 바람직하게는 99% 이상을 제거한다.
플라즈마 에칭 후 잔류물 제거 적용에서, 상기 조성물을 세정하려는 소자에 임의의 적합한 방법, 예를 들어 상기 조성물을 세정하려는 소자의 표면 상에 스프레이 처리함으로써, 정적 또는 동적 부피의 상기 조성물에 세정하려는 소자를 함침시킴으로써, 세정하려는 소자를 조성물이 상부에 흡수되는 또다른 물질, 예를 들어 패드 또는 섬유성 흡작제 도포 부재와 접촉시킴으로써, 또는 상기 조성물을 세정하려는 소자와 제거 접촉시키는 임의의 다른 적합한 수단, 방식 또는 기법에 의해 적용할 수 있다. 또는, 본 원에서 회분식 또는 단일 웨이퍼 가공이 고려된다.
플라즈마 에칭 후 잔류물을 이를 상부에 갖는 마이크로전자 소자로부터 제거하기 위한 본 발명의 양태 둘 모두의 조성물을 사용함에 있어서, 상기 조성물은 상기 소자와 약 20℃ ∼ 약 90℃, 바람직하게는 약 40℃ ∼ 약 70℃, 가장 바람직하게는 약 50℃ ∼ 약 60℃ 범위의 온도에서 약 1 분 ∼ 약 30 분, 바람직하게는 약 1 분 ∼ 10 분 동안 정적 또는 동적으로 접촉시키는 것이 전형적이다. 바람직하게는 상기 접촉은 정적이다. 이러한 접촉 시간 및 온도는 예시적이며, 본 발명의 광범위한 실행 내에서, 에칭 후 잔류물 물질을 상기 소자로부터 적어도 부분적으로 제거하는 데 효과적인 임의의 다른 적합한 시간 및 온도 조건을 적용할 수 있다. 상기 마이크로전자 소자로부터의 상기 잔류물 물질의 '적어도 부분적인 제거'는 상기 물질의 90% 이상, 바람직하게는 95% 이상 제거를 의미한다. 가장 바람직하게는 상기 잔류물 물질의 99% 이상을 본 발명의 조성물을 이용하여 제거한다.
소정의 제거 작용 달성 후에, 본 발명의 양태 둘 모두의 조성물은, 예를 들어 세척, 씻김 또는 기타 제거 단계(들)에 의해 앞서 적용된 소자로부터 용이하게 제거될 수 있으며, 이는 본 발명의 조성물의 소정의 최종 사용 용도에서 바람직하고 효과적일 수 있다. 예를 들어, 상기 소자는 탈이온수를 포함하는 세척액으로 세척하고, 및/또는 건조(예를 들어 스핀 건조, N2, 증기 건조 등) 처리할 수 있다.
필요한 경우, 세정 후 소성 단계 및/또는 이소프로판올 증기 건조 단계는 IDL 물질의 공극으로 흡수할 수 있는 비휘발성 물질을 제거하여 저-k 유전체 물질의 전기 용량을 변화시키지 않는 데 필요할 수 있다.
본 발명의 또다른 양태는 본 발명이 방법에 따라 제조된 향상된 마이크로전자 소자, 및 이러한 마이크로전자 소자를 함유하는 생산물에 관한 것이다.
본 발명의 추가 양태는 마이크로전자 소자를 포함하는 제품의 제조 방법으로서, 플라즈마 에칭 후 잔류물을 상기 잔류물을 상부에 갖는 마이크로전자 소자로부터 세정하는 데 충분한 시간 동안 상기 마이크로전자 소자를 조성물과 접촉시키는 단계, 및 상기 마이크로전자 소자를 상기 제품에 일체화시키는 단계를 포함하고, 여기서 상기 조성물은 1 이상의 에칭제 공급원, 1 이상의 금속 킬레이트화제, 물, 임의로 1 이상의 유기 용매, 임의로 1 이상의 부식 억제제, 임의로 1 이상의 저-k 부동태화제, 임의로 1 이상의 계면활성제 및 임의로 실리카 공급원을 포함하는 것인 방법에 관한 것이다.
본 발명의 추가 양태는 마이크로전자 소자를 포함하는 제품의 제조 방법으로서, 플라즈마 에칭 후 잔류물을 상기 잔류물을 상부에 갖는 마이크로전자 소자로부터 세정하는 데 충분한 시간 동안 상기 마이크로전자 소자를 조성물과 접촉시키는 단계 및 및 상기 마이크로전자 소자를 상기 제품에 일체화시키는 단계를 포함하고, 여기서, 상기 조성물은 1 이상의 에칭제 공급원, 1 이상의 금속 부식 억제제, 물, 임의로 1 이상의 유기 용매, 임의로 1 이상의 킬레이트화제, 임의로 1 이상의 저-k 부동태화제, 임의로 1 이상의 계면활성제 및 임의로 실리카 공급원을 포함하는 것인 방법에 관한 것이다.
본 발명의 추가 양태는 마이크로전자 소자를 포함하는 제품의 제조 방법으로서, 플라즈마 에칭 후 잔류물을 상기 잔류물을 위에 포함하는 마이크로전자 소자로부터 세정하는 데 충분한 시간 동안 상기 마이크로전자 소자를 조성물과 접촉시키는 단계 및 상기 마이크로전자 소자를 상기 물품에 일체화시키는 단계를 포함하고, 상기 조성물은 1 이상의 에칭제 공급원, 1 이상의 유기 용매, 물, 임의로 1 이상의 금속 부식 억제제, 임의로 1 이상의 킬레이트화제, 임의로 1 이상의 저-k 부동태화제, 임의로 1 이상의 계면활성제 및 임의로 실리카 공급원을 포함하는 것인 방법에 관한 것이다.
본 발명의 추가 양태는 마이크로전자 소자를 포함하는 제품의 제조 방법으로서, 플라즈마 에칭 후 잔류물을 상기 잔류물을 상부에 갖는 마이크로전자 소자로부터 세정하는 데 충분한 시간 동안 상기 마이크로전자 소자를 조성물과 접촉시키는 단계 및 상기 마이크로전자 소자를 상기 제품에 일체화시키는 단계를 포함하고, 여기서 상기 조성물은 1 이상의 유기 용매 및 1 이상의 금속 킬레이트화제, 임의로 1 이상의 계면활성제, 임의로 1 이상의 부식 억제제, 임의로 1 이상의 저-k 부동태화제, 임의로 1 이상의 에칭제 및 임의로 물을 포함하는 것인 방법에 관한 것이다.
또다른 양태에서, 본 발명의 양태 둘 모두의 조성물은 마이크로전자 소자 제조 공정의 다른 양태에서, 즉, 플라즈마 에칭 후 잔류물의 세정 단계 이후에 사용할 수 있다. 예를 들어, 상기 조성물을 희석하고, 화학 기계 연마 (CMP) 후 세정으로서 사용할 수 있다. 대안적으로, 본 발명의 조성물을 사용하여 포토마스크 물질로부터 이의 재사용을 위해 오염 물질을 제거할 수 있다. 또다른 대체예에서, 본 발명의 제1 양태의 조성물을 사용하여 TiN 하드마스크를 에칭시킬 수 있으며, 이는 당업자에 의해 용이하게 결정된다.
TiN 하드마스크를 포함하는 BEOL 구조와 관련된 한 단점은 TiOF 결정 형성이다. 따라서, 또다른 양태에서, 본 발명은 TiOF 결정을 이를 상부에 갖는 마이크로전자 소자로부터 제거하는 것을 포함하는 방법으로서, 상기 TiOF 촉매를 상기 마이크로전자 소자로부터 적어도 부분적으로 제거하는 데 충분한 시간 동안 상기 마이크로전자 소자를 수성 세정 조성물과 접촉시키는 단계를 포함하고, 여기서 상기 수성 세정 조성물은 1 이상의 유기 용매, 1 이상의 에칭제, 실리카 공급원, 1 이상의 텅스텐 부식 억제제 및 물을 포함하는 것인 방법에 관한 것이다. 접촉 조건은 약 35℃ ∼ 약 75℃, 바람직하게는 약 50℃ ∼ 약 60℃ 범위의 온도를 포함하고, 시간은 약 10 분 ∼ 약 50 분, 바람직하게는 약 20 분 ∼ 약 35 분이다. 중요하게는, 수성 세정 조성물이 존재할 수 있는 ULK, Cu 및/또는 W 물질을 실질적으로 손상시키지 않는다는 것이 필수적이다. 특히 바람직한 실시양태에서, 수성 조성물은 1 이상의 유기 용매, 에칭제, 실리카 공급원, 1 이상의 W 부식 억제제 및 물을 포함하고, 여기서 에칭제에 대한 유기 용매(들)의 중량% 비율은 약 3 ∼ 약 7이고, 에칭제에 대한 물의 중량% 비율은 약 88 ∼ 약 93이며, 에칭제에 대한 실리카 공급원의 중량% 비율은 약 0.1 ∼ 약 0.5이고, 에칭제에 대한 W 부식 억제제(들)의 중량% 비율은 약 1 ∼ 약 4이다.
또다른 양태에서, 본 발명은 마이크로전자 소자 기판, 잔류물 물질 및 세정 조성물을 포함하는 제조 물품으로서, 여기서 상기 세정 조성물은 본 원에서 기술되는 임의로 조성물일 수 있고, 상기 잔류물 물질은 티탄 함유 잔류물, 중합체 잔류물, 구리 함유 잔류물, 텅스텐 함유 잔류물, 코발트 함유 잔류물 및 이의 조합으로 구성된 군으로부터 선택되는 것인 물품에 관한 것이다.
본 발명의 특징 및 이점은 하기 비한정 실시예에 의해 더욱 완전히 예시되며, 여기서 모든 부 및 백분율은 달리 특히 언급되지 않는 한 중량에 의한 것이다.
[실시예]
실시예 1
제제 A∼H 중 블랭킷팅된 ULK, 질화티탄, Cu 및 W의 에칭 속도를 측정하였다. 블랭킷팅된 물질의 두께는 50℃의 제제 A∼H 중의 함침 전 및 후에 측정하였다. 두께를 4점 탐침 측정(여기서 조성물의 저항은 잔류 필름의 두께 및 이로부터 계산한 에칭 속도와 상관관계가 있음)을 이용하여 측정하였다. 실험 에칭 속도는 표 1에 나타내었다.
제제 A∼H 중 함침 후의 ULK, TiN, Cu 및 W의 에칭 속도 (Å분-1)
제제 에칭 속도/Å분-1
ULK TiN Cu W
A 0 0 0 0
B 0 0 0 0
C 0 0 0 0
D 0 0 0 0
E 0 0 0 0
F 0 0 0 0
G 0 0 0 0
H 0 0 0 2.2
ULK 상용성 연구는 또한 푸리에 변환 적외선 분광법 (FTIR) 및 전기 용량 데이타를 이용하여 실시하였다. 특히 2800∼3000 cm-1 탄화수소 흡수 영역에서, ULK 대조군과 관련하여 제제 A 및 B와 각각 접촉한 ULK에서는 식별가능한 변화가 관찰되지 않음을 도 1 및 2에서 확인할 수 있으며, 이는 유기 불순물이 ULK에 흡수되지 않았다는 것을 나타낸다. Hg 탐침을 이용하여 측정한 전기 용량 데이타는 ULK가 본 발명의 제제에 의해 부정적으로 영향을 받지 않았음을 또한 나타낸다(표 2 참조).
제제 A, B 및 H에 함침된 ULK와 관련한 ULK 대조군의 전기 용량
샘플 전기 용량 (pF)
대조군 35.5 ± 0.4
제제 A 35.7 ± 0.3
제제 B 35.7 ± 0.3
제제 H 35.6 ± 0.3
실시예 2
제제 AA 및 AB 중 블랭킷팅된 ULK, 질화티탄, Cu 및 W의 에칭 속도를 측정하였다. 블랭킷팅된 물질의 두께는 50℃의 제제 AA 및 AB 중의 함침 전 및 후에 측정하였다. 두께를 4점 탐침 측정(여기서 조성물의 저항은 잔류 필름의 두께 및 이로부터 계산한 에칭 속도와 상관관계가 있음)을 이용하여 측정하였다. 실험 에칭 속도는 표 3에 나타내었다.
제제 AA 및 AB 중 함침 후의 ULK, TiN, Cu 및 W의 에칭 속도 (Å분-1)
제제 에칭 속도/Å분-1
ULK TiN Cu W
A 0 0 0 0
B 0 0 0 0
ULK 상용성 연구는 또한 FTIR 및 전기 용량 데이타를 이용하여 실시하였다. 특히 2800∼3000 cm-1 탄화수소 흡수 영역에서, ULK 대조군과 관련하여 제제 AB와 접촉한 ULK에서는 식별가능한 변화가 관찰되지 않았으며, 이는 유기 불순물이 ULK에 흡수되지 않았다는 것을 나타낸다. Hg 탐침을 이용하여 측정한 전기 용량 데이타는 ULK가 본 발명의 제제에 의해 부정적으로 영향을 받지 않았음을 또한 나타낸다(표 4 참조).
제제 AB에 함침된 ULK와 관련한 ULK 대조군의 전기 용량
샘플 전기 용량 (pF)
에칭 후 ULK 대조군 44.0 ± 0.6
제제 AB (5 분 동안 50℃)
+ 후소성
44.2 ± 0.6
코발트 상용성을 또한 측정하였다. 두께가 1300Å인 블랭킷팅된 CoWP 웨이퍼를 50℃의 제제 AB에 2 시간 동안 함침시켰다. 중량 분석을 기준으로, 함침 전 및 후의 쿠폰의 중량은 변함이 없었으며, 이는 제제 AB가 CoWP를 에칭하지 않았음을 나타낸다. 이는 도 3A 및 3B[제제 AB에서의 가공 전(도 3A) 및 후 (도 3B)의 블랭킷팅된 CoWP 웨이퍼의 현미경 사진]에서 추가로 확인된다.
실시예 3
제제 AC∼AK 중 블랭킷팅된 ULK, 질화티탄, Cu 및 W의 에칭 속도를 측정하였다. 블랭킷팅된 물질의 두께는 50℃의 제제 AC∼AK 중 65 분 동안의 함침 전 및 후에 측정하였다. 두께를 4점 탐침 측정(여기서 조성물의 저항은 잔류 필름의 두께 및 이로부터 계산한 에칭 속도와 상관관계가 있음)을 이용하여 측정하였다. 실험 에칭 속도는 표 5에 나타내었다.
제제 AC∼AK 중 함침 후의 ULK, TiN, Cu 및 W의 에칭 속도 (Å분-1)
제제 에칭 속도/Å분-1
ULK TiN Cu W
AC 0 0 1.5 0
AD 0 0 0.2 0
AE 0 0 0 0
AF 0 0 0 0
AG 0 0 1.2 0
AH 0 0 0 0
AI - - 6.3 0
AJ - - 2.6 0
AK - - 2.4 0
ULK 상용성 연구는 또한 50℃에서 65분 동안 FTIR 및 전기 용량 데이타를 이용하여 실시하였다. Hg 탐침을 이용하여 측정한 전기 용량 데이타는 표 6에 나타내었다. 적용가능한 경우, 후소성 단계를 200∼210℃에서 10 분 동안 실시하였다.
제제 AD∼AF에 함침된 ULK와 관련한 ULK 대조군의 전기 용량
샘플 전기 용량 (pF)
에칭 후 ULK 대조군 43.4 ± 1.5
제제 AD 48.0 ± 0.9
제제 AD + 후소성 42.5 ± 0.7
제제 AE 48.0 ± 1.7
제제 AE + 후소성 42.3 ± 0.5
제제 AF 45.0 ± 1.8
제제 AF + 후소성 41.7 ± 0.4
제제 AF + IPA 건조 41.7 ± 0.6
후소성 또는 IPA 건조를 적용하는 경우 에칭 후 ULK에 대해서 상기 제제들은 유의적인 전기 용량 증가를 유발시키지 않는다는 것을 확인할 수 있다. 또한, 특히 2800∼3000 cm-1 탄화수소 흡수 영역에서, 에칭 후 ULK 대조군과 관련하여 제제 AE 또는 AF(후소성 및 IPA 건조 둘 모두 아님)와 접촉한 에칭 후 ULK에서는 식별가능한 변화가 관찰되지 않았으며, 이는 유기 불순물이 ULK에 흡수되지 않았다는 것을 나타낸다.
실시예 4
제제 AL∼AY 중 블랭킷팅된 ULK, 질화티탄, Cu 및 W의 에칭 속도를 측정하였다. 블랭킷팅된 물질의 두께는 달리 언급되지 않는 한 50℃의 제제 AL∼AY 중 65 분 동안의 함침 전 및 후에 측정하였다. 두께를 4점 탐침 측정(여기서 조성물의 저항은 잔류 필름의 두께 및 이로부터 계산한 에칭 속도와 상관관계가 있음)을 이용하여 측정하였다. 실험 에칭 속도는 표 7에 나타내었다.
제제 AL∼AY 중 함침 후의 ULK, TiN, Cu 및 W의 에칭 속도 (Å분-1)
제제 에칭 속도/Å분-1
ULK TiN Cu W
AL 0 0 0.7 0
AM 0 0 0 0
AN 0 0 0.8 0
AO 0 0 0.5 0
AP 0 0 0 0
AQ 0 0 0 0
AR 0 0 0 0
AS 0 0 0 0
AT 0 0 0 0
AU 0 0 0 0
AV 0 0 (35 분) 4.8 0
AW 0 - (35 분) - 0
AX 0 - (35 분) - 0
AY 0 0 (35 분) 0.2 0
ULK 상용성 연구는 또한 50℃에서 65분 동안 AM, AN, AO 및/또는 AU에 대한 FTIR 및 전기 용량 데이타를 이용하여 실시하였다. Hg 탐침을 이용하여 측정한 전기 용량 데이타는 표 8에 나타내었다.
제제 AN∼AO에 함침된 ULK와 관련한 ULK 대조군의 전기 용량
샘플 전기 용량 (pF)
에칭 후 ULK 대조군 30.8 ± 2.1
제제 AN 29.3 ± 0.4
제제 AO 30.3 ± 0.5
후소성 또는 IPA 건조를 적용하는 경우 에칭 후 ULK에 대해서 상기 제제들은 유의적인 전기 용량 증가를 유발시키지 않는다는 것을 확인할 수 있다. 또한, 에칭 후 ULK 대조군과 관련하여 제제 AM, AN, AO 및/또는 AU와 접촉한 에칭 후 ULK에서는 식별가능한 변화가 관찰되지 않았으며, 이는 유기 불순물이 ULK에 흡수되지 않았다는 것을 나타낸다.
실시예 5
제제 I∼L 중 블랭킷팅된 ULK, 질화티탄, Cu 및 W의 에칭 속도를 측정하였다. 블랭킷팅된 물질의 두께는 달리 언급되지 않는 한 50℃의 제제 I∼L 중 65 분 동안의 함침 전 및 후에 측정하였다. 두께를 4점 탐침 측정(여기서 조성물의 저항은 잔류 필름의 두께 및 이로부터 계산한 에칭 속도와 상관관계가 있음)을 이용하여 측정하다. 실험 에칭 속도는 표 9에 나타내었다.
제제 I∼L 중 함침 후의 ULK, TiN, Cu 및 W의 에칭 속도 (Å분-1)
제제 에칭 속도/Å분-1
ULK TiN Cu W
I 0 5 (35 mm) 0 0
J - 50 (35 mm) - 0
K - - 0.3 0
L - - 0.1 0
M 0 13 (35 mm) 0.5 0
중요하게는, 제제 I, J 및 M은 필요한 경우 TiN 하드마스크의 제거에 유용한 것으로 확인할 수 있다.
실시예 6
제제 N∼R 중 블랭킷팅된 ULK, 질화티탄, Cu 및 W의 에칭 속도를 측정하였다. 블랭킷팅된 물질의 두께는 50℃의 제제 N∼R 중 30 분 동안의 함침 전 및 후에 측정하였다. 두께를 4점 탐침 측정(여기서 조성물의 저항은 잔류 필름의 두께 및 이로부터 계산한 에칭 속도와 상관관계가 있음)을 이용하여 측정하였다. 실험 에칭 속도는 표 10에 나타내었다.
제제 N∼R 중 함침 후의 ULK, TiN, Cu 및 W의 에칭 속도 (Å분-1)
제제 에칭 속도/Å분-1
ULK TiN (ΔRs) Cu W
N 0 3.0 0 0
O 0 2.6 9.0 0
P 0 2.8 0.13 0
Q 0 2.4 0 0
R 0 2.2 0 0
본 발명은 예시적 실시양태 및 특징을 참조하여 본 원에서 다양하게 개시되었지만, 본 원에서 기술되는 실시양태 및 특징은 본 발명을 한정하는 것으로 의도되지 않으며 본 원의 개시를 바탕으로 다른 변경예, 변형예 및 기타 실시양태가 당업자에게 시사됨이 이해될 것이다. 따라서, 본 발명은 이후 언급하게 되는 청구의 범위의 사상 및 범위 내에 상기 모든 변경예, 수정예 및 대체예를 포괄하는 것으로 광범위하게 간주되어야 한다.

Claims (25)

1 이상의 에칭제, 물, 1 이상의 금속 부식 억제제, 임의로 1 이상의 저-k 부동태화제, 임의로 1 이상의 유기 용매, 임의로 1 이상의 금속 킬레이트화제, 임의로 1 이상의 계면활성제 및 임의로 실리카 공급원을 포함하는 수성 세정 조성물로서,
세정 조성물의 pH는 0 ~ 4.5 범위에 있고, 상기 수성 세정 조성물은 플라즈마 에칭 후 잔류물을 갖는 마이크로전자 소자로부터 상기 잔류물을 세정하는 데 적합한 것인 수성 세정 조성물.
제1항에 있어서, 1 이상의 에칭제는 규불산을 포함하는 것인 세정 조성물.
제1항에 있어서, 1 이상의 에칭제는 불화수소산, 규불산, 붕불산, 테트라메틸암모늄 헥사플루오로포스페이트, 불화암모늄 염, 중불화암모늄 염, 암모늄 플루오로실리케이트, 테트라부틸암모늄 테트라플루오로보레이트, 프로필렌 글리콜/HF, 프로필렌 글리콜/테트라알킬암모늄 플루오라이드, 프로필렌 글리콜/벤질트리메틸암모늄 플루오라이드 및 이의 조합으로 구성된 군으로부터 선택되는 불화물 화학종을 포함하는 것인 세정 조성물.
제1항에 있어서, 알콜, 에테르, 피롤리디논, 아민, 글리콜, 글리콜 에테르 및 이의 조합으로 구성된 군으로부터 선택되는 1 이상의 유기 용매를 더 포함하는 것인 세정 조성물.
제1항에 있어서, 메탄올, 에탄올, 이소프로판올, 디올, 3-클로로-1,2-프로판디올, 트리올, 3-클로로-1-프로판티올, 1-클로로-2-프로판올, 2-클로로-1-프로판올, 3-클로로-1-프로판올, 3-브로모-1,2-프로판디올, 1-브로모-2-프로판올, 3-브로모-1-프로판올, 3-요오도-1-프로판올, 4-클로로-1-부탄올, 2-클로로에탄올, 디클로로메탄, 클로로포름, 아세트산, 프로피온산, 트리플루오로아세트산, 테트라히드로푸란 (THF), N-메틸피롤리디논 (NMP), 시클로헥실피롤리디논, N-옥틸피롤리디논, N-페닐피롤리디논, 메틸디에탄올아민, 메틸 포르메이트, 디메틸 포름아미드 (DMF), 디메틸설폭시드 (DMSO), 테트라메틸렌 설폰 (설포란), 디에틸 에테르, 페녹시-2-프로판올 (PPh), 프로프리오페논, 에틸 락테이트, 에틸 아세테이트, 에틸 벤조에이트, 아세토니트릴, 아세톤, 에틸렌 글리콜, 프로필렌 글리콜, 1,3-프로판디올, 1,4-프로판디올, 디옥산, 부티릴 락톤, 부틸렌 카르보네이트, 에틸렌 카르보네이트, 프로필렌 카르보네이트, 디프로필렌 글리콜, 디에틸렌 글리콜 모노메틸 에테르, 트리에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 모노에틸 에테르, 트리에틸렌 글리콜 모노에틸 에테르, 에틸렌 글리콜 모노프로필 에테르, 에틸렌 글리콜 모노부틸 에테르, 디에틸렌 글리콜 모노부틸 에테르, 트리에틸렌 글리콜 모노부틸 에테르, 에틸렌 글리콜 모노헥실 에테르, 디에틸렌 글리콜 모노헥실 에테르, 에틸렌 글리콜 페닐 에테르, 프로필렌 글리콜 메틸 에테르, 디프로필렌 글리콜 메틸 에테르, 트리프로필렌 글리콜 메틸 에테르, 디프로필렌 글리콜 디메틸 에테르, 디프로필렌 글리콜 에틸 에테르, 프로필렌 글리콜 n-프로필 에테르, 디프로필렌 글리콜 n-프로필 에테르 (DPGPE), 트리프로필렌 글리콜 n-프로필 에테르, 프로필렌 글리콜 n-부틸 에테르, 디프로필렌 글리콜 n-부틸 에테르, 트리프로필렌 글리콜 n-부틸 에테르, 프로필렌 글리콜 페닐 에테르, 감마-부티로락톤 및 이의 조합으로 구성된 군으로부터 선택되는 1 이상의 유기 용매를 더 포함하는 것인 세정 조성물.
제1항에 있어서, 1,1,1,5,5,5-헥사플루오로-2,4-펜탄디온 (hfacH), 1,1,1-트리플루오로-2,4-펜탄디온 (tfac) 및 아세틸아세토네이트 (acac), 이미노디아세트산, 피라졸레이트, 아미디네이트, 구아니디네이트, 케토이민, 디엔, 폴리아민, 에틸렌디아민테트라아세트산 (EDTA), 1,2-시클로헥산디아민-N,N,N',N'-테트라아세트산 (CDTA), 에티드론산, 메탄설폰산, 염산, 아세트산, 알킬아민, 아릴아민, 글리콜아민, 알칸올아민, 트리아졸, 티아졸, 테트라졸, 이미다졸, 1,4-벤조퀴논; 8-히드록시퀴놀린; 살리실리덴 아닐린; 테트라클로로-1,4-벤조퀴논; 2-(2-히드록시페닐)-벤족사졸; 2-(2-히드록시페닐)-벤조티아졸; 히드록시퀴놀린 설폰산 (HQSA); 설포살리실산 (SSA); 살리실산 (SA), 테트라메틸암모늄 플루오라이드, 테트라메틸암모늄 클로라이드, 테트라메틸암모늄 브로마이드, 테트라메틸암모늄 요오다이드, 피리딘, 2-에틸피리딘, 2-메톡시피리딘, 3-메톡시피리딘, 2-피콜린, 피리딘 유도체, 디메틸피리딘, 피페리딘, 피페라진, 트리에틸아민, 트리에탄올아민, 에틸아민, 메틸아민, 이소부틸아민, tert-부틸아민, 트리부틸아민, 디프로필아민, 디메틸아민, 디글리콜 아민, 모노에탄올아민, 메틸디에탄올아민, 피롤, 이속사졸, 1,2,4-트리아졸, 비피리딘, 피리미딘, 피라진, 피리다진, 퀴놀린, 이소퀴놀린, 인돌, 이미다졸, N-메틸모르폴린-N-옥시드 (NMMO), 트리메틸아민-N-옥시드, 트리에틸아민-N-옥시드, 피리딘-N-옥시드, N-에틸모르폴린-N-옥시드, N-메틸피롤리딘-N-옥시드, N-에틸피롤리딘-N-옥시드, 1-메틸이미다졸, 디이소프로필아민, 디이소부틸아민, 아닐린, 아닐린 유도체, 펜타메틸디에틸렌트리아민 및 이의 조합으로 구성된 군으로부터 선택되는 1 이상의 킬레이트화제를 더 포함하는 것인 세정 조성물.
제1항에 있어서, 상기 1 이상의 금속 부식 억제제는 벤조트리아졸 (BTA), 1,2,4-트리아졸 (TAZ), 5-아미노테트라졸 (ATA), 1-히드록시벤조트리아졸, 5-아미노-1,3,4-티아디아졸-2-티올, 3-아미노-1H-1,2,4 트리아졸, 3,5-디아미노-1,2,4-트리아졸, 톨릴트리아졸, 5-페닐-벤조트리아졸, 5-니트로-벤조트리아졸, 3-아미노-5-메르캅토-1,2,4-트리아졸, 1-아미노-1,2,4-트리아졸, 2-(5-아미노-펜틸)-벤조트리아졸, 1-아미노-1,2,3-트리아졸, 1-아미노-5-메틸-1,2,3-트리아졸, 3-메르캅토-1,2,4-트리아졸, 3-이소프로필-1,2,4-트리아졸, 5-페닐티올-벤조트리아졸, 할로-벤조트리아졸 (할로 = F, Cl, Br 또는 I), 나프토트리아졸, 1H-테트라졸-5-아세트산, 2-메르캅토벤조티아졸 (2-MBT), 1-페닐-2-테트라졸린-5-티온, 2-메르캅토벤즈이미다졸 (2-MBI), 4-메틸-2-페닐이미다졸, 2-메르캅토티아졸린, 2,4-디아미노-6-메틸-1,3,5-트리아진, 티아졸, 이미다졸, 벤즈이미다졸, 트리아진, 메틸테트라졸, 비스무티올 I, 1,3-디메틸-2-이미다졸리디논, 1,5-펜타메틸렌테트라졸, 1-페닐-5-메르캅토테트라졸, 디아미노메틸트리아진, 이미다졸린 티온, 4-메틸-4H-1,2,4-트리아졸-3-티올, 5-아미노-1,3,4-티아디아졸-2-티올, 벤조티아졸, 트리톨릴 포스페이트, 인다졸, 아데닌, 시토신, 구아닌, 티민, 포스페이트 억제제, 아민, 피라졸, 프로판티올, 실란, 2차 아민, 벤조히드록삼산, 복소환 질소 억제제, 시트르산, 아스코르브산, 티오우레아, 1,1,3,3-테트라메틸우레아, 우레아, 우레아 유도체, 요산, 칼륨 에틸크산테이트, 글리신, 이미노디아세트산, 산, 붕산, 말론산, 숙신산, 니트릴로트리아세트산, 설포란, 2,3,5-트리메틸피라진, 2-에틸-3,5-디메틸피라진, 퀴녹살린, 아세틸 피롤, 피리다진, 히스타딘, 피라진, 글루타티온 (환원됨), 시스테인, 시스틴, 티오펜, 메르캅토 피리딘 N-옥시드, 티아민 HCl, 테트라에틸 티우람 디설파이드, 2,5-디메르캅토-1,3-티아디아졸아스코르브산, 아스코르브산 및 이의 조합으로 구성된 군으로부터 선택되는 화학종을 포함하는 것인 세정 조성물.
제1항에 있어서, 실리카 공급원을 더 포함하고, 상기 실리카 공급원은 TEOS를 포함하는 것인 세정 조성물.
제1항에 있어서, 티탄 함유 잔류물, 중합체 잔류물, 구리 함유 잔류물, 텅스텐 함유 잔류물, 코발트 함유 잔류물 및 이의 조합으로 구성된 군으로부터 선택되는 플라즈마 에칭 후 잔류물을 더 포함하는 것인 세정 조성물.
제1항에 있어서, 1 이상의 부동태화제를 더 포함하는 것인 세정 조성물.
제1항에 있어서, 1 이상의 계면활성제를 더 포함하는 것인 세정 조성물.
제1항에 있어서, 실리카 공급원은 테트라알콕시실란 화합물을 포함하는 것인 세정 조성물.
제1항에 있어서, pH는 0 ~ 2.5 범위에 있는 것인 세정 조성물.
물질을 갖는 마이크로전자 소자로부터 상기 물질을 제거하는 방법으로서,
상기 마이크로전자 소자로부터 상기 물질을 적어도 부분적으로 제거하는 시간 동안 마이크로전자 소자를 수성 세정 조성물과 접촉시키는 것을 포함하고,
상기 수성 세정 조성물은 1 이상의 에칭제, 물, 1 이상의 금속 부식 억제제, 임의로 1 이상의 저-k 부동태화제, 임의로 1 이상의 유기 용매, 임의로 1 이상의 금속 킬레이트화제, 임의로 1 이상의 계면활성제 및 임의로 실리카 공급원을 포함하는 수성 세정 조성물이며,
상기 세정 조성물의 pH는 0 ~ 4.5 범위에 있는 것인 방법.
제14항에 있어서, 상기 물질은 플라즈마 에칭 후 잔류물, TiN 또는 이의 조합을 포함하는 것인 방법.
제14항에 있어서, 상기 플라즈마 에칭 후 잔류물은 티탄 함유 화합물, 중합체 화합물, 구리 함유 화합물, 텅스텐 함유 화합물, 코발트 함유 화합물 및 이의 조합으로 구성된 군으로부터 선택되는 잔류물을 포함하는 것인 방법.
제14항에 있어서, 상기 접촉은 1 분 ~ 30 분의 시간, 40 ℃ ∼ 70 ℃범위의 온도 및 이의 조합으로 구성된 군으로부터 선택되는 조건을 포함하는 것인 방법.
제14항에 있어서, 마이크로전자 소자는 반도체 기판, 평판 디스플레이 및 마이크로전자기계 시스템 (MEMS)로 구성된 군으로부터 선택되는 물품인 것인 방법.
제14항에 있어서, 상기 마이크로전자 소자는 초저-k 유전체 층을 포함하는 것인 방법.
제14항에 있어서, 1 이상의 에칭제는 불화수소산, 규불산, 붕불산, 테트라메틸암모늄 헥사플루오로포스페이트, 불화암모늄 염, 중불화암모늄 염, 암모늄 플루오로실리케이트, 테트라부틸암모늄 테트라플루오로보레이트, 프로필렌 글리콜/HF, 프로필렌 글리콜/테트라알킬암모늄 플루오라이드, 프로필렌 글리콜/벤질트리메틸암모늄 플루오라이드 및 이의 조합으로 구성된 군으로부터 선택되는 불화물 화학종을 포함하는 것인 방법.
제14항에 있어서, 1 이상의 금속 부식 억제제는 벤조트리아졸 (BTA), 1,2,4-트리아졸 (TAZ), 5-아미노테트라졸 (ATA), 1-히드록시벤조트리아졸, 5-아미노-1,3,4-티아디아졸-2-티올, 3-아미노-1H-1,2,4 트리아졸, 3,5-디아미노-1,2,4-트리아졸, 톨릴트리아졸, 5-페닐-벤조트리아졸, 5-니트로-벤조트리아졸, 3-아미노-5-메르캅토-1,2,4-트리아졸, 1-아미노-1,2,4-트리아졸, 2-(5-아미노-펜틸)-벤조트리아졸, 1-아미노-1,2,3-트리아졸, 1-아미노-5-메틸-1,2,3-트리아졸, 3-메르캅토-1,2,4-트리아졸, 3-이소프로필-1,2,4-트리아졸, 5-페닐티올-벤조트리아졸, 할로-벤조트리아졸 (할로 = F, Cl, Br 또는 I), 나프토트리아졸, 1H-테트라졸-5-아세트산, 2-메르캅토벤조티아졸 (2-MBT), 1-페닐-2-테트라졸린-5-티온, 2-메르캅토벤즈이미다졸 (2-MBI), 4-메틸-2-페닐이미다졸, 2-메르캅토티아졸린, 2,4-디아미노-6-메틸-1,3,5-트리아진, 티아졸, 이미다졸, 벤즈이미다졸, 트리아진, 메틸테트라졸, 비스무티올 I, 1,3-디메틸-2-이미다졸리디논, 1,5-펜타메틸렌테트라졸, 1-페닐-5-메르캅토테트라졸, 디아미노메틸트리아진, 이미다졸린 티온, 4-메틸-4H-1,2,4-트리아졸-3-티올, 5-아미노-1,3,4-티아디아졸-2-티올, 벤조티아졸, 트리톨릴 포스페이트, 인다졸, 아데닌, 시토신, 구아닌, 티민, 포스페이트 억제제, 아민, 피라졸, 프로판티올, 실란, 2차 아민, 벤조히드록삼산, 복소환 질소 억제제, 시트르산, 아스코르브산, 티오우레아, 1,1,3,3-테트라메틸우레아, 우레아, 우레아 유도체, 요산, 칼륨 에틸크산테이트, 글리신, 이미노디아세트산, 산, 붕산, 말론산, 숙신산, 니트릴로트리아세트산, 설포란, 2,3,5-트리메틸피라진, 2-에틸-3,5-디메틸피라진, 퀴녹살린, 아세틸 피롤, 피리다진, 히스타딘, 피라진, 글루타티온 (환원됨), 시스테인, 시스틴, 티오펜, 메르캅토 피리딘 N-옥시드, 티아민 HCl, 테트라에틸 티우람 디설파이드, 2,5-디메르캅토-1,3-티아디아졸아스코르브산, 아스코르브산 및 이의 조합으로 구성된 군으로부터 선택되는 화학종을 포함하는 것인 방법.
제14항에 있어서, 상기 마이크로전자 소자를 상기 조성물과 접촉시킨 후, 탈이온수로 세척하는 것을 추가로 포함하는 것인 방법.
제14항에 있어서, 상기 세정 조성물의 pH는 0 ~ 2.5 범위에 있는 것인 방법.
제14항에 있어서, 상기 마이크로전자 소자로부터 비휘발성 물질을 제거하기 위한 후소성 단계를 추가로 포함하는 것인 방법.
제14항에 있어서, 마이크로전자 소자로부터 비휘발성 물질을 제거하기 위한 이소프로판올 증기 건조 단계를 추가로 포함하는 것인 방법.


KR1020167017567A 2006-12-21 2007-12-21 에칭 후 잔류물의 제거를 위한 액체 세정제 KR20160085902A (ko)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US87136206P 2006-12-21 2006-12-21
US60/871,362 2006-12-21
US88683007P 2007-01-26 2007-01-26
US60/886,830 2007-01-26
US89530207P 2007-03-16 2007-03-16
US60/895,302 2007-03-16
US94717807P 2007-06-29 2007-06-29
US60/947,178 2007-06-29
PCT/US2007/088644 WO2008080097A2 (en) 2006-12-21 2007-12-21 Liquid cleaner for the removal of post-etch residues

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020147011326A Division KR101636996B1 (ko) 2006-12-21 2007-12-21 에칭 후 잔류물의 제거를 위한 액체 세정제

Publications (1)

Publication Number Publication Date
KR20160085902A true KR20160085902A (ko) 2016-07-18

Family

ID=39296041

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020147011326A KR101636996B1 (ko) 2006-12-21 2007-12-21 에칭 후 잔류물의 제거를 위한 액체 세정제
KR1020167017567A KR20160085902A (ko) 2006-12-21 2007-12-21 에칭 후 잔류물의 제거를 위한 액체 세정제
KR1020097015278A KR101449774B1 (ko) 2006-12-21 2007-12-21 에칭 후 잔류물의 제거를 위한 액체 세정제

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020147011326A KR101636996B1 (ko) 2006-12-21 2007-12-21 에칭 후 잔류물의 제거를 위한 액체 세정제

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020097015278A KR101449774B1 (ko) 2006-12-21 2007-12-21 에칭 후 잔류물의 제거를 위한 액체 세정제

Country Status (7)

Country Link
US (1) US20100163788A1 (ko)
EP (1) EP2108039A2 (ko)
JP (1) JP5237300B2 (ko)
KR (3) KR101636996B1 (ko)
SG (2) SG10201610631UA (ko)
TW (3) TWI449784B (ko)
WO (1) WO2008080097A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190129631A (ko) * 2018-05-11 2019-11-20 삼성엔지니어링 주식회사 불산폐수 재이용 방법 및 불산폐수 재이용장치

Families Citing this family (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007120259A2 (en) * 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
US9058975B2 (en) * 2006-06-09 2015-06-16 Lam Research Corporation Cleaning solution formulations for substrates
SG177201A1 (en) 2006-12-21 2012-01-30 Advanced Tech Materials Compositions and methods for the selective removal of silicon nitride
KR20100044777A (ko) * 2007-07-26 2010-04-30 미츠비시 가스 가가쿠 가부시키가이샤 세정 방식용 조성물 및 반도체소자 또는 표시소자의 제조 방법
CA2705052C (en) * 2007-11-07 2016-03-22 Vitech International, Inc. Tetrafluoroborate compounds, compositions and related methods of use
WO2009064336A1 (en) * 2007-11-16 2009-05-22 Ekc Technology, Inc. Compositions for removal of metal hard mask etching residues from a semiconductor substrate
US8168577B2 (en) * 2008-02-29 2012-05-01 Avantor Performance Materials, Inc. Post plasma etch/ash residue and silicon-based anti-reflective coating remover compositions containing tetrafluoroborate ion
US8657966B2 (en) * 2008-08-13 2014-02-25 Intermolecular, Inc. Combinatorial approach to the development of cleaning formulations for glue removal in semiconductor applications
JP2010087258A (ja) * 2008-09-30 2010-04-15 Fujifilm Corp 半導体基板表面用洗浄剤及びそれを用いた半導体デバイスの洗浄方法
US9074170B2 (en) * 2008-10-21 2015-07-07 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
US8361237B2 (en) 2008-12-17 2013-01-29 Air Products And Chemicals, Inc. Wet clean compositions for CoWP and porous dielectrics
EP2226374B1 (en) 2009-03-06 2012-05-16 S.O.I. TEC Silicon Etching composition, in particular for silicon materials, method for characterizing defects of such materials and process of treating such surfaces with etching composition
JP4725905B2 (ja) * 2009-04-17 2011-07-13 ナガセケムテックス株式会社 フォトレジスト剥離剤組成物及びフォトレジスト剥離方法
JP5646882B2 (ja) 2009-09-30 2014-12-24 富士フイルム株式会社 洗浄組成物、洗浄方法、及び半導体装置の製造方法
US20110146724A1 (en) * 2009-12-19 2011-06-23 Mr. WAI MUN LEE Photoresist stripping solutions
KR101114502B1 (ko) * 2010-06-28 2012-02-24 램테크놀러지 주식회사 세정용 조성물 및 이를 이용한 반도체 패턴의 형성방법
JP2013533631A (ja) * 2010-07-16 2013-08-22 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド エッチング後残渣を除去するための水性洗浄剤
US9238850B2 (en) 2010-08-20 2016-01-19 Advanced Technology Materials, Inc. Sustainable process for reclaiming precious metals and base metals from e-waste
SG189292A1 (en) 2010-10-06 2013-05-31 Advanced Tech Materials Composition and process for selectively etching metal nitrides
TWI502065B (zh) 2010-10-13 2015-10-01 Entegris Inc 抑制氮化鈦腐蝕之組成物及方法
EP2460860A1 (de) * 2010-12-02 2012-06-06 Basf Se Verwendung von Mischungen zur Entfernung von Polyurethanen von Metalloberflächen
KR20140010002A (ko) * 2010-12-16 2014-01-23 카이젠 코포레이션 땜납 플럭스의 제거를 위한 세척제
EP2688688A4 (en) * 2011-03-21 2014-12-31 Basf Se AQUEOUS CLEANING COMPOSITION WITHOUT NITROGEN, PREPARATION AND USE THEREOF
KR20120138290A (ko) * 2011-06-14 2012-12-26 삼성디스플레이 주식회사 식각액 조성물, 및 이를 이용한 금속 배선과 박막 트랜지스터 기판 형성 방법
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
WO2013052809A1 (en) 2011-10-05 2013-04-11 Avantor Performance Materials, Inc. Microelectronic substrate cleaning compositions having copper/azole polymer inhibition
KR101428143B1 (ko) * 2011-10-13 2014-08-08 주식회사 포스코 내식성이 우수한 코팅용 아연분말, 아연분말의 제조방법 및 아연분말 코팅강판
JP6329909B2 (ja) * 2011-12-28 2018-05-23 インテグリス・インコーポレーテッド 窒化チタンを選択的にエッチングするための組成物および方法
CN104508072A (zh) 2012-02-15 2015-04-08 安格斯公司 用于cmp后去除的组合物及使用方法
EP2850495A4 (en) 2012-05-18 2016-01-20 Entegris Inc COMPOSITION AND METHOD FOR REMOVING PHOTOLACK FROM A SURFACE WITH TITANNITRIDE
WO2013187313A1 (ja) 2012-06-13 2013-12-19 三菱瓦斯化学株式会社 洗浄用液体組成物、半導体素子の洗浄方法、および半導体素子の製造方法
US9688912B2 (en) * 2012-07-27 2017-06-27 Fujifilm Corporation Etching method, and etching liquid to be used therein and method of producing a semiconductor substrate product using the same
KR102002131B1 (ko) * 2012-08-03 2019-07-22 삼성디스플레이 주식회사 식각액 조성물 및 이를 이용한 박막 트랜지스터 제조 방법
US9536730B2 (en) 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations
JP2014103179A (ja) * 2012-11-16 2014-06-05 Fujifilm Corp 半導体基板のエッチング液、これを用いたエッチング方法及び半導体素子の製造方法
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
US20150144160A1 (en) * 2012-12-13 2015-05-28 Beijing Sevenstar Electronics Co., Ltd. Etchant, preparation thereof and method of using the same in the cleaning process
US9102901B2 (en) 2012-12-20 2015-08-11 Rohm And Haas Electronic Materials Llc Methods and compositions for removal of metal hardmasks
KR102294726B1 (ko) * 2013-03-04 2021-08-30 엔테그리스, 아이엔씨. 티타늄 나이트라이드를 선택적으로 에칭하기 위한 조성물 및 방법
CN104102028A (zh) * 2013-04-10 2014-10-15 第一毛织株式会社 表面处理氧化铟锌基板的有机溶液及显示基板制备方法
JP6198672B2 (ja) * 2013-05-02 2017-09-20 富士フイルム株式会社 エッチング方法、これに用いるエッチング液およびエッチング液のキット、ならびに半導体基板製品の製造方法
EP3004287B1 (en) * 2013-06-06 2021-08-18 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
TWI683889B (zh) 2013-07-31 2020-02-01 美商恩特葛瑞斯股份有限公司 用於移除金屬硬遮罩及蝕刻後殘餘物之具有Cu/W相容性的水性配方
KR102091543B1 (ko) * 2013-08-01 2020-03-23 동우 화인켐 주식회사 망상형 고분자 용해용 조성물
SG11201601158VA (en) 2013-08-30 2016-03-30 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
US20150104952A1 (en) * 2013-10-11 2015-04-16 Ekc Technology, Inc. Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper
SG11201603122XA (en) 2013-10-21 2016-05-30 Fujifilm Electronic Materials Cleaning formulations for removing residues on surfaces
KR101964901B1 (ko) 2013-12-06 2019-04-02 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 표면 잔류물 제거용 세정 제형
US9771550B2 (en) * 2013-12-11 2017-09-26 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
TWI654340B (zh) * 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
WO2015095726A1 (en) 2013-12-20 2015-06-25 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US9472420B2 (en) 2013-12-20 2016-10-18 Air Products And Chemicals, Inc. Composition for titanium nitride hard mask and etch residue removal
KR102152909B1 (ko) * 2013-12-31 2020-09-07 세메스 주식회사 기판처리방법
KR102290209B1 (ko) 2013-12-31 2021-08-20 엔테그리스, 아이엔씨. 규소 및 게르마늄을 선택적으로 에칭하기 위한 배합물
TWI642763B (zh) * 2014-01-27 2018-12-01 三菱瓦斯化學股份有限公司 氮化鈦除去用液體組成物、利用該液體組成物之半導體元件之洗滌方法、及半導體元件之製造方法
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
CN106459850A (zh) * 2014-05-13 2017-02-22 巴斯夫欧洲公司 Tin障碍和清洁组合物
CN107155367B (zh) 2014-06-30 2021-12-21 恩特格里斯公司 利用钨及钴兼容性移除蚀刻后残余物的含水及半含水清洁剂
US9868902B2 (en) 2014-07-17 2018-01-16 Soulbrain Co., Ltd. Composition for etching
CN110734736B (zh) * 2014-08-08 2022-04-19 东丽株式会社 临时粘接用粘合剂、粘合剂层、晶片加工体及使用其的半导体器件的制造方法
KR102265414B1 (ko) * 2014-09-22 2021-06-15 동우 화인켐 주식회사 금속막용 세정제 조성물
KR102296739B1 (ko) * 2014-10-27 2021-09-01 삼성전자 주식회사 포토마스크용 세정 조성물을 이용한 집적회로 소자 제조 방법
DE102014222834A1 (de) * 2014-11-10 2016-05-12 Henkel Ag & Co. Kgaa Wasch- und Reinigungsmittel mit verbesserter Leistung
KR102347596B1 (ko) * 2015-06-26 2022-01-06 동우 화인켐 주식회사 잔류물 제거를 위한 수성 세정제 조성물
TWI819694B (zh) 2015-07-14 2023-10-21 美商富士軟片電子材料美國股份有限公司 清潔組成物及其使用方法
JP6761166B2 (ja) * 2015-07-23 2020-09-23 セントラル硝子株式会社 ウェットエッチング方法及びエッチング液
US9740094B2 (en) 2015-08-21 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Damage prevention on EUV mask
CN108779419B (zh) * 2015-12-25 2021-04-20 荒川化学工业株式会社 电子材料用清洗剂组合物、清洗剂原液和电子材料的清洗方法
JP6681750B2 (ja) * 2016-03-04 2020-04-15 東京応化工業株式会社 洗浄液及び洗浄方法
KR101856149B1 (ko) * 2016-04-06 2018-06-20 케이피엑스케미칼 주식회사 세정액 조성물, 및 그 세정액 조성물을 사용하는 반도체 소자의 제조방법 및 반도체 소자
KR101833219B1 (ko) * 2016-08-05 2018-04-13 주식회사 케이씨텍 텅스텐 베리어층 연마용 슬러리 조성물
KR102160019B1 (ko) * 2016-09-29 2020-09-28 후지필름 가부시키가이샤 처리액 및 적층체의 처리 방법
WO2018125673A2 (en) * 2016-12-28 2018-07-05 Invensas Bonding Technologies, Inc Processing stacked substrates
WO2018136511A1 (en) * 2017-01-18 2018-07-26 Entegris, Inc. Compositions and methods for removing ceria particles from a surface
SG11201908804VA (en) * 2017-03-31 2019-10-30 Kanto Kagaku Cleaning solution composition
CN107012471B (zh) * 2017-05-04 2019-11-12 太仓沪试试剂有限公司 一种管道清洁剂及其应用
SG11202001057VA (en) * 2017-08-22 2020-03-30 Fujifilm Electronic Materials Usa Inc Cleaning compositions
JP7090625B2 (ja) * 2017-08-31 2022-06-24 富士フイルム株式会社 処理液、キット、基板の洗浄方法
JP7184044B2 (ja) 2017-10-10 2022-12-06 三菱ケミカル株式会社 洗浄液、洗浄方法及び半導体ウェハの製造方法
US10961487B2 (en) 2017-11-30 2021-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device cleaning solution, method of use, and method of manufacture
CN111465679A (zh) * 2017-12-08 2020-07-28 巴斯夫欧洲公司 用于从半导体基板及对应方法中移除蚀刻后或灰化后残余物的清洁组合物
CN109976110A (zh) * 2017-12-27 2019-07-05 安集微电子(上海)有限公司 一种清洗液
IL301529A (en) 2018-03-28 2023-05-01 Fujifilm Electronic Mat Usa Inc cleaning products
CN111936936A (zh) * 2018-04-04 2020-11-13 巴斯夫欧洲公司 用于去除灰化后残留物和/或用于氧化蚀刻含TiN层料或掩模的含咪唑烷硫酮组合物
CN110713868A (zh) * 2018-07-13 2020-01-21 巴斯夫欧洲公司 可移除氮化钛的蚀刻后残渣清理溶液
US10952430B2 (en) 2019-02-06 2021-03-23 Virox Technologies Inc. Shelf-stable antimicrobial compositions
JP7274919B2 (ja) * 2019-04-11 2023-05-17 東京応化工業株式会社 洗浄液、及び金属レジストを備えた支持体の洗浄方法
EP4245834A3 (en) 2019-05-23 2023-12-20 Basf Se Composition and process for selectively etching a hard mask and/or an etch-stop layer in the presence of layers of low-k materials, copper, cobalt and/or tungsten
CN110273159A (zh) * 2019-07-02 2019-09-24 宜兴市中大凯水处理有限公司 一种新型高温缓蚀剂及其制备方法
KR102308898B1 (ko) * 2020-02-27 2021-10-05 권영선 송배전 설비용 애자의 세정을 위한 친환경성 애자 세정제 및 상기 친환경성 애자 세정제의 희석 장치
KR102192954B1 (ko) * 2020-03-26 2020-12-18 동우 화인켐 주식회사 고분자 세정용 조성물
KR20220083186A (ko) * 2020-12-11 2022-06-20 동우 화인켐 주식회사 고분자 처리용 공정액
KR20230127692A (ko) 2022-02-25 2023-09-01 동우 화인켐 주식회사 금속 산화막 박리액 조성물
CN115418642B (zh) * 2022-08-23 2023-06-02 湖北兴福电子材料股份有限公司 一种铜钼蚀刻液及其制备方法
CN115207167B (zh) * 2022-09-16 2022-11-22 英利能源发展有限公司 一种硅抛光面的清洗方法
KR102558255B1 (ko) * 2022-10-06 2023-07-20 윤새길 요소수 백화현상 세정 첨가제 및 그 제조방법

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3123438A (en) * 1964-03-03 Process for the production of ammonium
US3296124A (en) * 1964-10-23 1967-01-03 Sidney M Heins Process for preparing a useful water from a natural salt water and recovering values contained therein
US4178211A (en) * 1977-03-03 1979-12-11 Ethyl Corporation Process for producing citric acid
US5279771A (en) * 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
JP3160344B2 (ja) * 1991-01-25 2001-04-25 アシュランド インコーポレーテッド 有機ストリッピング組成物
US5320709A (en) * 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
US5421906A (en) * 1993-04-05 1995-06-06 Enclean Environmental Services Group, Inc. Methods for removal of contaminants from surfaces
US6129773A (en) * 1993-07-16 2000-10-10 Killick; Robert William Fuel blends
US6326130B1 (en) * 1993-10-07 2001-12-04 Mallinckrodt Baker, Inc. Photoresist strippers containing reducing agents to reduce metal corrosion
US5453659A (en) * 1994-06-10 1995-09-26 Texas Instruments Incorporated Anode plate for flat panel display having integrated getter
US5571447A (en) * 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
US7534752B2 (en) * 1996-07-03 2009-05-19 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US5698503A (en) * 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
US6896826B2 (en) * 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6224785B1 (en) * 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US6755989B2 (en) * 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
AU7833698A (en) * 1997-06-09 1998-12-30 Bridge Pharma, Inc. Compounds with combined antihistaminic and mast cell stabilizing activities, intended for ophthalmic use
US5856491A (en) * 1997-08-09 1999-01-05 Aristech Chemical Corp. Method of making teritiary hindered amines
US6280651B1 (en) * 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) * 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
US6306807B1 (en) * 1998-05-18 2001-10-23 Advanced Technology Materials, Inc. Boric acid containing compositions for stripping residues from semiconductor substrates
US6875733B1 (en) * 1998-10-14 2005-04-05 Advanced Technology Materials, Inc. Ammonium borate containing compositions for stripping residues from semiconductor substrates
US6207596B1 (en) * 1998-11-09 2001-03-27 The Procter & Gamble Company Disposable premoistened wipe containing an antimicrobial protease inhibitor
US6623535B1 (en) * 1999-07-02 2003-09-23 Horst Kief Fuel additive for reduction of pollutant emissions
US6344432B1 (en) * 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
KR100400030B1 (ko) * 2000-06-05 2003-09-29 삼성전자주식회사 금속막의 화학 및 기계적 연마용 슬러리 및 그 제조방법과상기 슬러리를 이용한 반도체 소자의 금속 배선 형성 방법
KR100547743B1 (ko) * 2000-09-28 2006-01-31 신에쯔 세끼에이 가부시키가이샤 반도체공업용 실리카유리지그 및 그 제조방법
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6566315B2 (en) * 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
MY143399A (en) * 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
US6773873B2 (en) * 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
CN1659481A (zh) * 2002-06-07 2005-08-24 马林克罗特贝克公司 包含氧化剂和有机溶剂的微电子清洁组合物
CA2488737A1 (en) * 2002-06-07 2003-12-18 Mallinckrodt Baker, Inc. Microelectronic cleaning and arc remover compositions
US6849200B2 (en) * 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US8236485B2 (en) * 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
TW200505975A (en) * 2003-04-18 2005-02-16 Ekc Technology Inc Aqueous fluoride compositions for cleaning semiconductor devices
US7160807B2 (en) * 2003-06-30 2007-01-09 Cabot Microelectronics Corporation CMP of noble metals
US7193027B2 (en) * 2003-08-08 2007-03-20 General Electric Company Functionalized silicone resins, methods for their preparation, and use as catalysts
US20070003492A1 (en) * 2003-09-11 2007-01-04 Kabushiki Kaisha Toyota Chuo Kenkyusho Porous silica having substance carried thereon
US7888301B2 (en) * 2003-12-02 2011-02-15 Advanced Technology Materials, Inc. Resist, barc and gap fill material stripping chemical and method
US20060021974A1 (en) * 2004-01-29 2006-02-02 Applied Materials, Inc. Method and composition for polishing a substrate
JP2005232559A (ja) * 2004-02-23 2005-09-02 Meltex Inc チタン剥離液
US8338087B2 (en) * 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US20060063687A1 (en) * 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060148666A1 (en) * 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
US20060154186A1 (en) * 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
KR101238471B1 (ko) * 2005-02-25 2013-03-04 이케이씨 테크놀로지, 인코포레이티드 구리 및 저 k 유전체 물질을 갖는 기판으로부터 레지스트,에칭 잔류물 및 구리 산화물을 제거하는 방법
US7381634B2 (en) * 2005-04-13 2008-06-03 Stats Chippac Ltd. Integrated circuit system for bonding
US20070251551A1 (en) * 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
CN102981377B (zh) * 2005-06-07 2014-11-12 高级技术材料公司 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
CN101233601A (zh) * 2005-06-13 2008-07-30 高级技术材料公司 在金属硅化物形成后用于选择性除去金属或金属合金的组合物及方法
EP1932174A4 (en) * 2005-10-05 2009-09-23 Advanced Tech Materials AQUEOUS OXIDIZING CLEANER FOR REMOVING RESIDUES AFTER A PLASMA ATTACK
US20090032766A1 (en) * 2005-10-05 2009-02-05 Advanced Technology Materials, Inc. Composition and method for selectively etching gate spacer oxide material
WO2007047365A2 (en) * 2005-10-13 2007-04-26 Advanced Technology Materials, Inc. Metals compatible photoresist and/or sacrificial antireflective coating removal composition
AU2006340825A1 (en) * 2005-11-09 2007-10-04 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
TW200734448A (en) * 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US8025811B2 (en) * 2006-03-29 2011-09-27 Intel Corporation Composition for etching a metal hard mask material in semiconductor processing
US20080125342A1 (en) * 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
SG177201A1 (en) * 2006-12-21 2012-01-30 Advanced Tech Materials Compositions and methods for the selective removal of silicon nitride

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190129631A (ko) * 2018-05-11 2019-11-20 삼성엔지니어링 주식회사 불산폐수 재이용 방법 및 불산폐수 재이용장치

Also Published As

Publication number Publication date
EP2108039A2 (en) 2009-10-14
US20100163788A1 (en) 2010-07-01
TW200846462A (en) 2008-12-01
KR101636996B1 (ko) 2016-07-07
TWI611047B (zh) 2018-01-11
KR101449774B1 (ko) 2014-10-14
JP2010515246A (ja) 2010-05-06
TW201710556A (zh) 2017-03-16
JP5237300B2 (ja) 2013-07-17
WO2008080097A3 (en) 2008-10-09
TWI572746B (zh) 2017-03-01
TWI449784B (zh) 2014-08-21
SG10201610631UA (en) 2017-02-27
TW201435143A (zh) 2014-09-16
WO2008080097A2 (en) 2008-07-03
KR20140074966A (ko) 2014-06-18
KR20090096728A (ko) 2009-09-14
SG177915A1 (en) 2012-02-28

Similar Documents

Publication Publication Date Title
KR101449774B1 (ko) 에칭 후 잔류물의 제거를 위한 액체 세정제
US9063431B2 (en) Aqueous cleaner for the removal of post-etch residues
KR102405063B1 (ko) 텅스텐 및 코발트 상용성을 갖는 에치후 잔류물을 제거하기 위한 수성 및 반-수성 세정제
TWI622639B (zh) 金屬及介電相容犠牲抗反射塗層清洗及移除組成物
US8058219B2 (en) Metals compatible post-etch photoresist remover and/or sacrificial antireflective coating etchant
WO2007120259A2 (en) Formulations for removing copper-containing post-etch residue from microelectronic devices
KR20170015452A (ko) 금속, 유전체 및 니트라이드 상용성을 가진 반사-방지 코팅 세정 및 에칭-후 잔류물 제거 조성물

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E601 Decision to refuse application