TWI611047B - 用以移除蝕刻後殘餘物之液體清洗劑 - Google Patents

用以移除蝕刻後殘餘物之液體清洗劑 Download PDF

Info

Publication number
TWI611047B
TWI611047B TW105139970A TW105139970A TWI611047B TW I611047 B TWI611047 B TW I611047B TW 105139970 A TW105139970 A TW 105139970A TW 105139970 A TW105139970 A TW 105139970A TW I611047 B TWI611047 B TW I611047B
Authority
TW
Taiwan
Prior art keywords
acid
weight
residue
etchant
microelectronic device
Prior art date
Application number
TW105139970A
Other languages
English (en)
Other versions
TW201710556A (zh
Inventor
潘蜜拉M 維辛汀
蔣平
麥可B 柯珊斯基
大衛W 民斯克
艾曼紐I 庫柏
許銘案
克里斯丁A 佛列契
Original Assignee
恩特葛瑞斯股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 恩特葛瑞斯股份有限公司 filed Critical 恩特葛瑞斯股份有限公司
Publication of TW201710556A publication Critical patent/TW201710556A/zh
Application granted granted Critical
Publication of TWI611047B publication Critical patent/TWI611047B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/10Etching, surface-brightening or pickling compositions containing an inorganic acid containing a boron compound
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/38Cationic compounds
    • C11D1/62Quaternary ammonium compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/042Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/24Organic compounds containing halogen
    • C11D3/245Organic compounds containing halogen containing fluorine
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/28Organic compounds containing halogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)

Abstract

本發明提供用於自一其上具有電漿蝕刻後殘餘物之微電子設備清洗該殘餘物的清洗組合物及過程。該組合物達成對殘餘物材料(包括來自微電子設備之含鈦、含銅、含鎢及/或含鈷蝕刻後殘餘物)的非常有效之清洗,而同時不會損害亦存在於微電子設備上之層間介電質、金屬互連材料及/或覆蓋層。另外,該組合物可用於自一其上具有氮化鈦層之微電子設備移除該等氮化鈦層。

Description

用以移除蝕刻後殘餘物之液體清洗劑
本發明係關於用於自微電子設備移除蝕刻後殘餘物(包括含鈦、含銅及/或含鎢蝕刻後殘餘物)的組合物及製造並使用該等組合物之方法。
半導體電路中之互連電路由導電金屬電路組成,導電金屬電路由絕緣介電材料包圍。在過去,將由正矽酸四乙酯(TEOS)氣相沈積之矽酸鹽玻璃廣泛用作介電材料,而將鋁合金用於金屬互連。對更高處理速度的需求已引起電路元件之更小尺寸設定,以及由更高效能之材料來替代TEOS及鋁合金。鋁合金已歸因於銅之更高導電率而由銅或銅合金所替代。TEOS及氟化矽酸鹽玻璃(FSG)已由所謂的低k介電質所替代,低k介電質包括諸如有機聚合物、混合有機/無機材料、有機矽酸鹽玻璃(OSG)及摻碳氧化物(CDO)玻璃的低極性材料。在此等材料中併入氣孔(亦即,填有空氣之孔)進一步降低材料之介電常數。
在積體電路之雙鑲嵌處理(dual-damascene processing)期間,使用光微影術將圖案成像於設備晶圓上。光微影技術包含塗佈、曝光及顯影步驟。用正或負光阻物質塗佈晶圓且隨後用光罩覆蓋晶圓,此光罩定義將在後續過程中予以保持或移除之圖案。在恰當地定位光罩之後,光罩導引一束單色輻射(諸如紫外(UV)光或深UV(DUV)光(
Figure TWI611047BD00001
250 nm或193nm))穿過其,以使被曝光之光阻材料或多或少可溶於選定之沖洗溶液中。接著移除或"顯影"可溶光阻材料,留下與光罩相同之圖案。
其後,使用氣相電漿蝕刻將經顯影之光阻塗層之圖案轉移至下伏層,該等層可包括硬式光罩、層間介電質(ILD)及/或蝕刻終止層。電漿蝕刻後殘餘物通常被沈積於後段製程(BEOL)結構上,且若不將其移除則可能會干擾後續的矽化(silicidation)或接點形成。電漿蝕刻後殘餘物通常包括存在於基板上及電漿氣體中的化學元素。舉例而言,若利用TiN硬式光罩(例如,作為ILD上之覆蓋層),則電漿蝕刻後殘餘物包括使用習知濕式清洗化學物難以移除的含鈦物質。此外,習知清洗化學物通常會損害ILD,吸附至ILD之孔中從而增加介電常數,且/或腐蝕金屬結構。舉例而言,經緩衝之氟化物及基於溶劑之化學物不能完全移除含Ti殘餘物,而含羥胺化學物及過氧化氨化學物會腐蝕銅。
除了需要移除含鈦之電漿蝕刻後殘餘物之外,亦較佳移除在電漿蝕刻後過程期間所沈積之額外材料,諸如圖案化設備之側壁上的聚合殘餘物、該設備之開放通道(open via)結構中的含銅殘餘物及含鎢殘餘物。迄今尚無單一濕式清洗組合物能成功地移除所有殘餘物材料而同時與ILD、其他低k介電材料及金屬互連材料相容。
將諸如低k介電質之新材料整合於微電子設備中對清洗效能提出了新的需求。同時,縮小之設備尺寸減少了對臨界尺寸之改變的容許度及對設備元件之損害。可修改蝕刻條件以便滿足新材料之需求。同樣地,必須修改電漿蝕刻後清洗組合物。重要的是,清洗劑不應損害下伏介電材料或腐蝕設備上之金屬互連材料(例如,銅、鎢、鈷、鋁、釕、鈦及其氮化物與矽化物)。
為此目的,本發明之一目標係提供用於自微電子設備有效地移 除電漿蝕刻後殘餘物(包括(但不限於)含鈦殘餘物、聚合側壁殘餘物、含銅通道殘餘物、含鎢殘餘物及/或含鈷殘餘物)的經改良之組合物,該等組合物與ILD、金屬互連材料及/或覆蓋層相容。
本發明大體而言係關於清洗組合物及製造並使用該等清洗組合物之方法。本發明之一態樣係關於一種組合物及過程,其用於自一微電子設備(該微電子設備上具有該殘餘物)清洗蝕刻後殘餘物,而同時不會損害微電子設備表面上之金屬及ILD材料。
在一態樣中,本發明係關於一種水性清洗組合物,其包含至少一蝕刻劑、至少一螯合劑及水、(視情況)至少一有機溶劑、(視情況)至少一腐蝕抑制劑(corrosion inhibitor)、(視情況)至少一低k鈍化劑、(視情況)至少一界面活性劑(surfactant)及(視情況)一二氧化矽源,其中該水性清洗組合物適用於自一微電子設備清洗電漿蝕刻後殘餘物,該微電子設備上具有該殘餘物。
在另一態樣中,本發明係關於一種水性清洗組合物,其包含氟矽酸(fluorosilicic acid)、至少一螯合劑及水,其中水之量係以該組合物之總重量計小於約75重量%,且其中該水性清洗組合物適用於自一微電子設備清洗電漿蝕刻後殘餘物,該微電子設備上具有該殘餘物。
在又一態樣中,本發明係關於一種水性清洗組合物,其包含至少一蝕刻劑、至少一金屬腐蝕抑制劑及水、(視情況)至少一有機溶劑、(視情況)至少一金屬螯合劑、(視情況)至少一低k鈍化劑、(視情況)至少一界面活性劑及(視情況)一二氧化矽源,其中該水性清洗組合物適用於自一微電子設備清洗電漿蝕刻後殘餘物,該微電子設備上具有該殘餘物。
在另一態樣中,本發明係關於一水性清洗組合物,其包含氟矽酸、至少一金屬腐蝕抑制劑及水,其中水之量係以該組合物之總重量 計小於約75重量%,且其中該水性清洗組合物適用於自一微電子設備清洗電漿蝕刻後殘餘物,該微電子設備上具有該殘餘物。
在又一態樣中,本發明係關於一水性清洗組合物,其包含至少一蝕刻劑、至少一有機溶劑及水、(視情況)至少一金屬腐蝕抑制劑、(視情況)至少一金屬螯合劑、(視情況)至少一低k鈍化劑、(視情況)至少一界面活性劑及(視情況)一二氧化矽源,其中該水性清洗組合物適用於自一微電子設備清洗電漿蝕刻後殘餘物,該微電子設備上具有該殘餘物。
在又一樣中,本發明係關於一種水性清洗組合物,其包含至少一蝕刻劑源、至少一有機溶劑、至少一螯合劑、至少一金屬腐蝕抑制劑及水,其中該水性清洗組合物適用於自一微電子設備清洗電漿蝕刻後殘餘物,該微電子設備上具有該殘餘物。
在再一態樣中,本發明係關於一種水性清洗組合物,其包含氟矽酸、至少一有機溶劑、至少一螯合劑、至少一金屬腐蝕抑制劑及水,其中水之量係以該組合物之總重量計小於約75重量%,且其中該水性清洗組合物適用於自一微電子設備清洗電漿蝕刻後殘餘物,該微電子設備上具有該殘餘物。
本發明之另一態樣係關於一種水性清洗組合物,其包含至少一有機溶劑、至少一蝕刻劑、至少一螯合劑、一二氧化矽源、至少一腐蝕抑制劑及水,其中該(等)有機溶劑相對於一或多個蝕刻劑之重量百分比比率(weight percent ratio)為約5至約8,水相對於一或多個蝕刻劑之重量百分比比率為約85至約91,二氧化矽源相對於一或多個蝕刻劑之重量百分比比率為約0.1至約0.5,該或該等螯合劑相對於一或多個蝕刻劑之重量百分比比率為約0.5至約2.5,且該或該等腐蝕抑制劑相對於一或多個蝕刻劑之重量百分比比率為約1至約4。
本發明之又一態樣係關於一種水性清洗組合物,其包含至少一 有機溶劑、至少一蝕刻劑、一二氧化矽源、至少一腐蝕抑制劑及水,其中該或該等有機溶劑相對於一或多個蝕刻劑之重量百分比比率為約3至約7,水相對於一或多個蝕刻劑之重量百分比比率為約88至約93,二氧化矽源相對於一或多個蝕刻劑之重量百分比比率為約0.1至約0.5,且該或該等腐蝕抑制劑相對於一或多個蝕刻劑之重量百分比比率為約1至約4。
本發明之另一態樣係關於一種水性清洗組合物,其包含至少一有機溶劑、至少一蝕刻劑、至少一腐蝕抑制劑及水,其中該或該等有機溶劑相對於該或該等蝕刻劑之重量百分比比率為約60至約90,水相對於一或多個蝕刻劑之重量百分比比率為約2至約30,且該或該等腐蝕抑制劑相對於一或多個蝕刻劑之重量百分比比率為約0.01至約0.5。
本發明之再一態樣係關於一種清洗組合物,其包含至少一有機溶劑、至少一金屬螯合劑、(視情況)至少一界面活性劑、(視情況)至少一腐蝕抑制劑、(視情況)至少一低k鈍化劑、(視情況)至少一蝕刻劑,及(視情況)水,其中該清洗組合物適用於自一微電子設備清洗電漿蝕刻後殘餘物,該微電子設備上具有該殘餘物。
在又一態樣中,本發明係關於一種清洗組合物,其包含至少一有機溶劑、至少一金屬螯合劑、至少一腐蝕抑制劑及水,其中該清洗組合物適用於自一微電子設備清洗電漿蝕刻後殘餘物,該微電子上具有該殘餘物。
在再一態樣中,本發明係關於一種清洗組合物,其包含至少一有機溶劑及至少一金屬螯合劑,其中該清洗組合物適用於自一微電子設備清洗電漿蝕刻後殘餘物,該微電子設備上具有該殘餘物。
在又一態樣中,本發明係關於一種由至少一有機溶劑及至少一金屬螯合劑組成之清洗組合物,其中該清洗組合物適用於自一微電子設備清洗電漿蝕刻後殘餘物,該微電子設備上具有該殘餘物。
本發明之另一態樣係關於一套組(kit),該套組在一或多個容器中包含以下用於形成水性清洗組合物之試劑中的一或多者,該或該等試劑係選自由以下各物組成之群:至少一蝕刻劑、水、(視情況)至少一低k鈍化劑、(視情況)至少一界面活性劑及(視情況)一二氧化矽源,其中該組合物之進一步特徵在於包括組份(I)、(II)或(III):(I)至少一螯合劑、(視情況)至少一有機溶劑,及(視情況)至少一腐蝕抑制劑;(II)至少一金屬腐蝕抑制劑、(視情況)至少一有機溶劑,及(視情況)至少一金屬螯合劑;或(III)至少一有機溶劑、(視情況)至少一螯合劑,及(視情況)至少一腐蝕抑制劑,且其中該套組經調適以形成適用於自一微電子設備清洗電漿蝕刻後殘餘物的水性清洗組合物,該微電子設備上具有該殘餘物。
本發明之又一態樣係關於一套組,該套組在一或多個容器中包含以下用於形成清洗組合物之試劑中的一或多者,該或該等試劑係選自由以下各物組成之群:至少一有機溶劑、至少一金屬螯合劑、(視情況)至少一界面活性劑、(視情況)至少一腐蝕抑制劑、(視情況)至少一低k鈍化劑、(視情況)至少一蝕刻劑,及(視情況)水,且其中該套組經調適以形成適用於自一微電子設備清洗電漿蝕刻後殘餘物的水性清洗組合物,該微電子設備上具有該殘餘物。
本發明之又一態樣係關於一種自一微電子設備移除電漿蝕刻後殘餘物的方法,該微電子設備上具有該殘餘物,該方法包含使該微電子設備與一水性清洗組合物接觸,歷時足夠的時間以自該微電子設備至少部分地移除該殘餘物,其中該水性清洗組合物包括至少一蝕刻劑、至少一螯合劑及水、(視情況)至少一有機溶劑、(視情況)至少一腐蝕抑制劑、(視情況)至少一低k鈍化劑、(視情況)至少一界面活性 劑,及(視情況)一二氧化矽源。
本發明之再一態樣係關於一種自一微電子設備移除電漿蝕刻後殘餘物的方法,該微電子設備上具有該殘餘物,該方法包含使該微電子設備與一水性清洗組合物接觸,歷時足夠的時間以自該微電子設備至少部分地移除該殘餘物,其中該水性清洗組合物包括至少一蝕刻劑、至少一金屬腐蝕抑制劑及水、(視情況)至少一有機溶劑、(視情況)至少一金屬螯合劑、(視情況)至少一低k鈍化劑、(視情況)至少一界面活性劑,及(視情況)一二氧化矽源。
本發明之另一態樣係關於一種自一微電子設備移除電漿蝕刻後殘餘物的方法,該微電子設備上具有該殘餘物,該方法包含使該微電子設備與一水性清洗組合物接觸,歷時足夠的時間以自該微電子設備至少部分地移除該殘餘物,其中該水性清洗組合物包括至少一蝕刻劑、至少一有機溶劑及水、(視情況)至少一螯合劑、(視情況)至少一腐蝕抑制劑、(視情況)至少一低k鈍化劑、(視情況)至少一界面活性劑,及(視情況)一二氧化矽源。
本發明之另一態樣係關於一種自一微電子設備移除電漿蝕刻後殘餘物的方法,該微電子設備上具有該殘餘物,該方法包含使該微電子設備與一水性清洗組合物接觸,歷時足夠的時間以自該微電子設備至少部分地移除該殘餘物,其中該水性清洗組合物包括至少一蝕刻劑源、至少一有機溶劑、至少一螯合劑、至少一金屬腐蝕抑制劑及水。
本發明之一另外的態樣係關於一種自一微電子設備移除電漿蝕刻後殘餘物的方法,該微電子設備上具有該殘餘物,該方法包含使該微電子設備與一清洗組合物接觸,歷時足夠的時間以自該微電子設備至少部分地移除該殘餘物,其中該清洗組合物包括至少一有機溶劑、至少一金屬螯合劑、(視情況)至少一界面活性劑、(視情況)至少一腐蝕抑制劑、(視情況)至少一低k鈍化劑、(視情況)至少一蝕刻劑,及 (視情況)水。
在再一態樣中,本發明係關於一種自一微電子設備移除電漿蝕刻後殘餘物的方法,該微電子設備上具有該殘餘物,該方法包含使該微電子設備與一清洗組合物接觸,歷時足夠的時間以自該微電子設備至少部分地移除該殘餘物,其中該清洗組合物包括至少一有機溶劑及至少一螯合劑。
本發明之另一態樣係關於一種製造物品,其包含本發明之水性清洗組合物、微電子設備及電漿蝕刻後殘餘物。
在一另外的態樣中,本發明係關於一種製造一微電子設備之方法,該方法包含使該微電子設備與本發明之水性清洗組合物接觸,歷時足夠的時間以自該微電子設備至少部分地移除電漿蝕刻後殘餘物,該微電子設備上具有該殘餘物。
本發明之另一態樣係關於一種製造物品,其包含本發明之清洗組合物、包括超低k介電層之微電子設備及電漿蝕刻後殘餘物。
在一另外的態樣中,本發明係關於一種製造一微電子設備之方法,該方法包含使該微電子設備與本發明之清洗組合物接觸,歷時足夠的時間以自該微電子設備至少部分地移除電漿蝕刻後殘餘物,該微電子設備上具有該殘餘物。
本發明之另一態樣係關於一種自一微電子設備移除TiOF晶體的方法,該微電子設備上具有該等TiOF晶體,該方法包含使該微電子設備與一水性清洗組合物接觸,歷時足夠的時間以自該微電子設備至少部分地移除該等TiOF晶體,其中該水性清洗組合物包含至少一有機溶劑、至少一蝕刻劑、一二氧化矽源、至少一鎢腐蝕抑制劑及水。
本發明之再一態樣係關於使用本發明之方法製造而成的經改良之微電子設備及併入有該等微電子設備之產品,該等方法包含:使用本文中所描述之方法及/或組合物自該微電子設備清洗電漿蝕刻後殘 餘物,該微電子設備上具有該殘餘物;及視情況將該微電子設備併入產品中。
本發明之其他態樣、特徵及優勢將自隨後之揭示內容及附加之申請專利範圍而更充分地顯而易見。
圖1為毯覆式ULK晶圓在使用本發明之調配物A來清洗晶圓之前及之後的FTIR光譜;圖2為毯覆式ULK晶圓在使用本發明之調配物B來清洗晶圓之前及之後的FTIR光譜;圖3A及圖3B為毯覆式CoWP晶圓在50℃下浸入於調配物AB中歷時2個小時之前(3A)及之後(3B)的顯微圖。
本發明係關於用於自微電子設備移除殘餘物的組合物,殘餘物較佳為蝕刻後殘餘物,更佳為含鈦之蝕刻後殘餘物、聚合側壁殘餘物、含銅通道及線路殘餘物及/或含鎢蝕刻後殘餘物,該等微電子設備上具有該殘餘物,該等組合物較佳與微電子設備表面上之超低k(ULK)ILD材料(諸如OSG及多孔CDO)、金屬互連材料(例如,銅及鎢)、硬式光罩覆蓋層(例如,TiN)及鈷覆蓋層(例如,CoWP)相容。此外,本發明係關於使用組合物自微電子設備移除殘餘物的方法,殘餘物較佳為蝕刻後殘餘物,更佳為含鈦之蝕刻後殘餘物、聚合側壁殘餘物、含銅通道及線路殘餘物、含鎢蝕刻後殘餘物及/或含鈷蝕刻後殘餘物,該等微電子設備上具有該殘餘物,該等組合物較佳與微電子設備表面上之超低k(ULK)ILD材料、金屬互連材料及覆蓋層相容。
為易於參考,"微電子設備"對應於經製造以供微電子、積體電路或電腦晶片應用中使用的半導體基板、平板顯示器及微機電系統(MEMS)。應理解,術語"微電子設備"並不意謂以任何方式具有限制 性,而是包括任何將最終變成微電子設備或微電子總成的基板。值得注意的是,微電子設備基板可經圖案化、毯覆及/或為測試基板。
如本文中所使用,"蝕刻後殘餘物"及"電漿蝕刻後殘餘物"對應於在氣相電漿蝕刻過程(例如,BEOL雙鑲嵌處理)之後剩餘的材料。蝕刻後殘餘物本質上可為有機、有機金屬、有機矽或無機殘餘物,例如,含矽材料、含鈦材料、含氮材料、含氧材料、聚合殘餘物材料、含銅殘餘物材料(包括氧化銅殘餘物)、含鎢殘餘物材料、含鈷殘餘物材料、蝕刻氣體殘餘物(諸如氯及氟)及其組合。
如本文中所定義,"低k介電材料"及ULK對應於任何在一分層式微電子設備中用作介電材料之材料,其中該材料具有小於約3.5之介電常數。較佳地,低k介電材料包括低極性材料,諸如含矽有機聚合物、含矽混合有機/無機材料、有機矽酸鹽玻璃(OSG)、TEOS、氟化矽酸鹽玻璃(FSG)、二氧化矽及摻碳氧化物(CDO)玻璃。最佳地,使用有機矽烷及/或有機矽氧烷前驅體來沈積低k介電材料。應瞭解,低k介電材料可具有變化之密度及變化之孔隙率。
如本文中所定義,術語"聚合側壁殘餘物"對應於在電漿蝕刻後過程之後保留於圖案化設備之側壁上的殘餘物。該殘餘物本質上大體上為聚合殘餘物,然而,應瞭解,無機物質(例如,含鈦物質、含矽物質、含鎢物質、含鈷物質及/或含銅物質)亦可存在於側壁殘餘物中。
如本文中所使用,"約"意欲對應於陳述值之±5%。
如本文中所使用,自一微電子設備(該微電子設備上具有該殘餘物)清洗蝕刻後殘餘物的"適合性"對應於自該微電子設備至少部分地移除該殘餘物。較佳地,自微電子設備移除待移除的一或多個材料之至少約90%,較佳為待移除的一或多個材料之至少95%,且最佳為待移除的一或多個材料之至少99%。
如本文中所使用之"覆蓋層"對應於沈積於介電材料及/或金屬材 料(例如,鈷)上之材料,其用以在電漿蝕刻步驟期間保護介電材料及/或金屬材料。硬式光罩覆蓋層傳統上為矽、氮化矽、氮氧化矽、氮化鈦、氮氧化鈦、鈦、鉭、氮化鉭、鉬、鎢,其組合及其他類似化合物。鈷覆蓋層包括CoWP及其他含鈷材料或含鎢材料。
本文中將"大體上無"定義為小於2重量%,較佳為小於1重量%,更佳為小於0.5重量%,且最佳為小於0.1重量%。
如本文中所使用,術語"半水性(semi-aqueous)"指代水與有機組份之混合物。"非水性(Non-aqueous)"指代大體上無水之組合物。
如本文中所更充分地描述,本發明之組合物可體現為廣泛多種特定調配物。
在所有此等組合物中,其中就重量百分比範圍(包括零下限)而言論述了組合物之特定組份,應理解,此等組份可存在於或不存在於組合物之各種特定實施例中,且在其中存在此等組份之情況下,其可以低至0.001重量%(以利用此等組份之組合物的總重量計)之濃度而存在。
眾所周知使用先前技術之含氨組合物難以移除含鈦之蝕刻後殘餘物材料。本發明者發現一清洗組合物,其大體上無氨及/或強鹼(例如,NaOH、KOH等等)且較佳大體上無氧化劑,其可自一微電子設備(該微電子設備上具有該含鈦殘餘物)之表面有效地且選擇性地移除含鈦殘餘物。另外,該組合物將在大體上不損害下伏之ILD、金屬互連材料(例如,Cu、Al、Co及W)及/或覆蓋層的情況下大體上移除聚合側壁殘餘物、含銅殘餘物、含鈷殘餘物及/或含鎢殘餘物。此外,不管是首先蝕刻溝槽還是首先蝕刻通道(亦即,先溝槽還是先通道機制),均可使用該等組合物。重要的是,必要時本發明之一些組合物可有效地蝕刻TiN層。
在第一態樣中,本發明之清洗組合物係水性或半水性組合物, 且包括至少一蝕刻劑源、至少一金屬螯合劑、水、(視情況)至少一有機溶劑、(視情況)至少一腐蝕抑制劑、(視情況)至少一低k鈍化劑、(視情況)至少一界面活性劑及(視情況)一二氧化矽源,此組合物用於自一微電子設備之表面移除電漿蝕刻後殘餘物,該微電子設備上具有該殘餘物,其中該電漿蝕刻後殘餘物包含選自由以下各物組成之群的物質:含鈦殘餘物、聚合殘餘物、含銅殘餘物、含鎢殘餘物、含鈷殘餘物及其組合。在另一實施例中,本發明之清洗組合物包括氟矽酸、至少一金屬螯合劑及水。在再一實施例中,本發明之清洗組合物包括至少一蝕刻劑源、至少一金屬腐蝕抑制劑、水、(視情況)至少一有機溶劑、(視情況)至少一螯合劑、(視情況)至少一低k鈍化劑、(視情況)至少一界面活性劑及(視情況)一二氧化矽源。在又一實施例中,本發明之清洗組合物包括氟矽酸、至少一金屬腐蝕抑制劑及水。在又一實施例中,本發明之清洗組合物包括至少一蝕刻劑源、至少一有機溶劑、至少一金屬螯合劑及水。在另一實施例中,本發明之清洗組合物包括氟矽酸、至少一有機溶劑、至少一金屬螯合劑及水。在又一實施例中,本發明之清洗組合物包括至少一蝕刻劑源、至少一有機溶劑、至少一金屬腐蝕抑制劑及水。在再一實施例中,本發明之清洗組合物包括氟矽酸、至少一有機溶劑、至少一金屬腐蝕抑制劑及水。在另一實施例中,本發明之清洗組合物包括至少一蝕刻劑源、至少一有機溶劑、至少一金屬螯合劑、至少一金屬腐蝕抑制劑及水。在另一實施例中,本發明之清洗組合物包括氟矽酸、至少一有機溶劑、至少一金屬螯合劑、至少一金屬腐蝕抑制劑及水。在每一實施例中,可添加至少一界面活性劑。在又一實施例中,本發明之清洗組合物包括至少一蝕刻劑源、至少一有機溶劑、至少一金屬螯合劑、至少一金屬腐蝕抑制劑、經溶解之二氧化矽及水。在又一實施例中,本發明之清洗組合物包括氟矽酸、至少一有機溶劑、至少一金屬螯合劑、至少一金屬腐蝕 抑制劑、經溶解之二氧化矽及水。在又一實施例中,本發明之清洗組合物包括至少一蝕刻劑源、至少一有機溶劑、至少一金屬腐蝕抑制劑、經溶解之二氧化矽及水。在又一實施例中,本發明之清洗組合物包括氟矽酸、至少一有機溶劑、至少一金屬腐蝕抑制劑、經溶解之二氧化矽及水。在另一實施例中,本發明之清洗組合物包括至少一蝕刻劑、至少一有機溶劑、至少一低k鈍化劑、至少一腐蝕抑制劑及水。在再一實施例中,本發明之清洗組合物包括至少一蝕刻劑、至少一有機溶劑、水、(視情況)至少一螯合劑、(視情況)至少一腐蝕抑制劑、(視情況)至少一低k鈍化劑、(視情況)至少一界面活性劑及(視情況)至少一二氧化矽源。
在第一態樣之一實施例中,本發明係關於一種用於清洗電漿蝕刻後殘餘物之水性組合物,該等電漿蝕刻後殘餘物係選自由以下各物組成之群:含鈦殘餘物、聚合殘餘物、含銅殘餘物、含鎢殘餘物、含鈷殘餘物及其組合,該組合物包括以以下範圍(以該組合物之總重量計)而存在之至少一蝕刻劑源、至少一螯合劑、水、(視情況)至少一有機溶劑、(視情況)至少一金屬腐蝕抑制劑、(視情況)至少一低k鈍化劑、(視情況)一二氧化矽源及(視情況)至少一界面活性劑。
Figure TWI611047BD00002
在第一態樣之另一實施例中,本發明係關於一種用於清洗電漿 蝕刻後殘餘物之水性組合物,該等電漿蝕刻後殘餘物係選自由以下各物組成之群:含鈦殘餘物、聚合殘餘物、含銅殘餘物、含鎢殘餘物、含鈷殘餘物及其組合,該組合物包括以以下範圍(以該組合物之總重量計)而存在之至少一蝕刻劑源、至少一腐蝕抑制劑、水、(視情況)至少一有機溶劑、(視情況)至少一螯合劑、(視情況)至少一低k鈍化劑、(視情況)一二氧化矽源及(視情況)至少一界面活性劑。
Figure TWI611047BD00003
在第一態樣之再一實施例中,本發明係關於一種用於清洗電漿蝕刻後殘餘物之水性組合物,該等電漿蝕刻後殘餘物係選自由以下各物組成之群:含鈦殘餘物、聚合殘餘物、含銅殘餘物、含鎢殘餘物、含鈷殘餘物及其組合,該組合物包括以以下範圍(以該組合物之總重量計)而存在之至少一蝕刻劑源、至少一有機溶劑、水、(視情況)至少一腐蝕抑制劑、(視情況)至少一螯合劑、(視情況)至少一低k鈍化劑、(視情況)一二氧化矽源及(視情況)至少一界面活性劑。
Figure TWI611047BD00004
值得注意的是,至少一蝕刻劑之重量%包括"純"蝕刻劑或者丙二醇/蝕刻劑混合物之量,而不管丙二醇與蝕刻劑之重量比如何。熟習此項技術者應瞭解,清洗組合物中之蝕刻劑的重量%小於添加至清洗組合物之PG/蝕刻劑組份的重量%。舉例而言,包括0.5重量%之PG/HF(96:4)混合物的清洗組合物中之HF的重量%實際上為0.02重量%。
在本發明之廣泛實踐中,第一態樣之清洗組合物可包含以下各物、由以下各物組成或基本上由以下各物組成:(i)至少一蝕刻劑源、至少一金屬螯合劑及水;(ii)氟矽酸、至少一金屬螯合劑及水;(iii)至少一蝕刻劑源、至少一金屬腐蝕抑制劑及水;(iv)氟矽酸、至少一金屬腐蝕抑制劑及水;(v)至少一蝕刻劑源、至少一有機溶劑、至少一金屬螯合劑及水;(vi)氟矽酸、至少一有機溶劑、至少一金屬螯合劑及水;(vii)至少一蝕刻劑源、至少一有機溶劑、至少一金屬腐蝕抑制劑及水;(viii)氟矽酸、至少一有機溶劑、至少一金屬腐蝕抑制劑及水;(ix)至少一蝕刻劑源、至少一有機溶劑、至少一金屬螯合劑、至少一金屬腐蝕抑制劑及水;(x)氟矽酸、至少一有機溶劑、至少一金屬螯合劑、至少一金屬腐蝕抑制劑及水;(xi)至少一蝕刻劑源、至少一有機溶劑、至少一金屬螯合劑、至少一金屬腐蝕抑制劑、經溶解之 二氧化矽及水;(xii)氟矽酸、至少一有機溶劑、至少一金屬螯合劑、至少一金屬腐蝕抑制劑、經溶解之二氧化矽及水;(xiii)至少一蝕刻劑源、至少一有機溶劑、至少一金屬腐蝕抑制劑、經溶解之二氧化矽及水;(xiv)氟矽酸、至少一有機溶劑、至少一金屬腐蝕抑制劑、經溶解之二氧化矽及水;(xv)至少一蝕刻劑、至少一有機溶劑、至少一低k鈍化劑、至少一腐蝕抑制劑及水;或(xvi)至少一蝕刻劑、至少一有機溶劑及水。
包括水,其用以充當溶劑且幫助溶解殘餘物,例如,水溶性氧化銅殘餘物。水較佳經去離子。
在本發明之一較佳實施例中,第一態樣之水性清洗組合物大體上無氧化劑,諸如含過氧化物之化合物及硝酸。在另一較佳實施例中,第一態樣之水性清洗組合物在與待清洗之基板接觸之前大體上無研磨材料。
第一態樣之水性清洗組合物之pH值範圍為約0至約5,較佳為約0至約4.5,且最佳為約0至約2.5。
蝕刻劑源幫助分解並溶解蝕刻後殘餘物物質,幫助聚合物側壁殘餘物移除及輕微地蝕刻TiN硬式光罩。本文中所涵蓋之蝕刻劑源包括(但不限於):氫氟酸(HF);氟矽酸(H2SiF6);氟硼酸;氟矽酸銨鹽((NH4)2SiF6);六氟磷酸四甲銨(tetramethylammonium hexafluorophosphate);氟化銨鹽;氟化氫銨鹽;四氟硼酸四丁基銨(TBA-BF4);重量比為約90:10至約99:1,較佳為約93:7至約98:2的丙二醇/HF;重量比為約75:25至約95:5,較佳為約80:20至約90:10的丙二醇/氟化四烷銨(tetraalkylammonium fluoride),其中烷基可彼此相同或不同且係選自由直鏈或分枝之C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基、己基)組成之群;重量比為約75:25至約95:5,較佳為約80:20至約90:10的丙二醇/氟化四丁基銨 (tetrabutylammonium fluoride);重量比為約75:25至約95:5,較佳為約80:20至約90:10的丙二醇/氟化苄基三甲銨(benzyltrimethylammonium fluoride);及其組合。較佳地,蝕刻劑源包含氟矽酸、丙二醇/HF混合物、TBA-BF4及其組合。當與含銅層之相容性為重要的時,可使用氟矽酸銨,使得水性清洗組合物之pH值更高(例如,在約2至約4之範圍中,更佳為約3)且因此與含銅層更為相容。
有機溶劑幫助滲透/潤脹及/或溶解有機殘餘物,弄濕微電子設備結構之表面以促進殘餘物移除,防止殘餘物再沈積,且/或鈍化下伏材料(例如,ULK)。本文中所涵蓋之有機溶劑包括(但不限於)醇、醚、吡咯啶酮(pyrrolidinone)、乙二醇、胺及乙二醇醚,其包括(但不限於)甲醇、乙醇、異丙醇、丁醇及更高碳數醇(higher alcohol)(諸如C2-C4二醇及C2-C4三醇)、鹵代醇(諸如3-氯基-1,2-丙二醇、3-氯基-1-丙硫醇、1-氯基-2-丙醇、2-氯基-1-丙醇、3-氯基-1-丙醇、3-溴基-1,2-丙二醇、1-溴基-2-丙醇、3-溴基-1-丙醇、3-碘基-1-丙醇、4-氯基-1-丁醇、2-氯乙醇)、二氯甲烷、氯仿、乙酸、丙酸、三氟乙酸、四氫呋喃(THF)、N-甲基吡咯啶酮(NMP)、環己基吡咯啶酮、N-辛基吡咯啶酮、N-苯基吡咯啶酮、甲基二乙醇胺、甲酸甲酯、二甲基甲醯胺(DMF)、二甲亞碸(DMSO)、四亞甲基碸(環丁碸)、乙醚、苯氧基-2-丙醇(PPh)、苯丙酮、乳酸乙酯、乙酸乙酯、苯甲酸乙酯、乙腈、丙酮、乙二醇、丙二醇(PG)、1,3-丙二醇、1,4-丙二醇、二噁烷、丁醯基內酯(butyryl lactone)、碳酸丁二酯、碳酸乙二酯、碳酸丙二酯、二丙二醇、二甘醇單甲醚、三甘醇單甲醚、二甘醇單乙醚、三甘醇單乙醚、乙二醇單丙醚、乙二醇單丁醚、二甘醇單丁醚(亦即,丁基卡必醇)、三甘醇單丁醚、乙二醇單己醚、二甘醇單己醚、乙二醇苯醚、丙二醇甲醚、二丙二醇甲醚(DPGME)、三丙二醇甲醚(TPGME)、二丙 二醇二甲醚、二丙二醇乙醚、丙二醇正丙醚、二丙二醇正丙醚(DPGPE)、三丙二醇正丙醚、丙二醇正丁醚、二丙二醇正丁醚、三丙二醇正丁醚、丙二醇苯醚及其組合。另外,有機溶劑可包含其他兩親媒性物質,亦即,類似於界面活性劑之含有親水性部分與疏水性部分兩者的物質。疏水特性可通常由包括由烴基團或碳氟基團組成之分子基團賦予,且親水特性可通常由包括離子或不帶電之極性官能基賦予。較佳地,有機溶劑包括三丙二醇甲醚(TPGME)、二丙二醇甲醚(DPGME)、丙二醇、γ-丁內酯及其組合。當存在時,其包括以該組合物之總重量計至少0.01重量%之有機溶劑。
金屬腐蝕抑制劑用於消除金屬(例如,銅、鎢及/或鈷互連金屬)之過度蝕刻。適宜之腐蝕抑制劑包括(但不限於):唑(諸如苯并三唑(BTA)、1,2,4-三唑(TAZ)、5-胺基四唑(ATA)、1-羥基苯并三唑、5-胺基-1,3,4-噻二唑-2-硫醇、3-胺基-1H-1,2,4-三唑、3,5-二胺基-1,2,4-三唑、甲苯基三唑、5-苯基-苯并三唑、5-硝基-苯并三唑、3-胺基-5-巰基-1,2,4-三唑、1-胺基-1,2,4-三唑、2-(5-胺基-戊基)-苯并三唑、1-胺基-1,2,3-三唑、1-胺基-5-甲基-1,2,3-三唑、3-巰基-1,2,4-三唑、3-異丙基-1,2,4-三唑、5-苯基硫醇-苯并三唑、鹵基-苯并三唑(鹵基=F、Cl、Br或I)、萘并三唑、1H-四唑-5-乙酸、2-巰基苯并噻唑(2-MBT)、1-苯基-2-四唑啉-5-硫酮、2-巰基苯并咪唑(2-MBI)、4-甲基-2-苯基咪唑、2-巰基噻唑啉、2,4-二胺基-6-甲基-1,3,5-三嗪、噻唑、咪唑、苯并咪唑、三嗪、甲基四唑、試鉍硫醇I(Bismuthiol I)、1,3-二甲基-2-咪唑啶酮、1,5-伸戊基四唑、1-苯基-5-巰基四唑、二胺基甲基三嗪、咪唑啉硫酮、4-甲基-4H-1,2,4-三唑-3-硫醇、5-胺基-1,3,4-噻二唑-2-硫醇、苯并噻唑、磷酸三甲苯酯、吲唑)、DNA鹼(例如,腺嘌呤、胞嘧啶、鳥嘌呤、胸嘧啶)、磷酸鹽抑制劑、胺、吡唑、亞胺二乙酸(IDA)、丙硫醇、矽烷、二級胺苯甲羥肟酸(benzohydroxamic acid)、雜環氮抑制 劑、檸檬酸、抗壞血酸、硫脲、1,1,3,3-四甲脲、尿素、尿素衍生物、尿酸、乙基黃原酸鉀、甘胺酸及其混合物。二羧酸(諸如草酸、丙二酸、丁二酸、氮基三乙酸及其組合)亦為有用之銅鈍化劑物質。通常可接受唑化學吸附至銅表面上並形成不溶性亞銅表面複合物。較佳地,腐蝕抑制劑包括抗壞血酸、亞胺二乙酸(IDA)及苯并三唑(BTA)。當存在時,組合物包括以該組合物之總重量計至少0.01重量%之腐蝕抑制劑。
包括螯合劑用以螯合蝕刻後殘餘物物質中被氧化之銅及/或鎢金屬且/或與TiN及/或含鈦殘餘物反應。適宜之螯合劑包括(但不限於):氟化β-二酮螯合劑(諸如1,1,1,5,5,5-六氟-2,4-戊二酮(hfacH)、1,1,1-三氟-2,4-戊二酮(tfac)及乙醯基丙酮鹽(acac));亞胺二乙酸;吡唑鹽(pyrazolate);脒鹽(amidinate);胍鹽(guanidinate);酮亞胺;二烯;多元胺(polyamine);乙二胺四乙酸(EDTA);1,2-環己二胺-N,N,N',N'-四乙酸(CDTA);依替膦酸;甲磺酸;鹽酸;乙酸;乙醯丙酮;烷基胺;芳基胺;二醇胺;烷醇胺;三唑;噻唑;四唑;咪唑;1,4-苯醌;8-羥基喹啉;亞柳基苯胺;四氯-1,4-苯醌;2-(2-羥苯基)-苯并噁唑;2-(2-羥苯基)-苯并噻唑;羥基喹啉磺酸(HQSA);磺酸基水楊酸(SSA);水楊酸(SA);鹵化四甲銨(例如,氟化四甲銨、氯化四甲銨、溴化四甲銨、碘化四甲銨);及胺與胺-N-氧化物(包括(但不限於)吡啶、2-乙基吡啶、2-甲氧基吡啶及其衍生物(諸如,3-甲氧基吡啶、2-甲基吡啶、吡啶衍生物、二甲基吡啶)、哌啶、哌嗪)、三乙胺、三乙醇胺、乙胺、甲胺、異丁胺、第三丁胺、三丁胺、二丙胺、二甲胺、二甘醇胺(diglycol amine)、單乙醇胺、甲基二乙醇胺、吡咯、異噁唑、1,2,4-三唑、聯吡啶、嘧啶、吡嗪、嗒嗪、喹啉、異喹啉、吲哚、咪唑、N-甲基嗎啉-N-氧化物(NMMO)、三甲胺-N-氧化物、三乙胺-N-氧化物、吡啶-N-氧化物、N-乙基嗎啉-N-氧化物、N-甲基吡咯 啶-N-氧化物、N-乙基吡咯啶-N-氧化物、1-甲基咪唑、二異丙胺、二異丁胺、苯胺、苯胺衍生物、五甲基二伸乙三胺(PMDETA)及以上任一者的組合)。較佳地,螯合劑為甲磺酸、鹽酸、PMDETA及其組合。當存在時,組合物包括以該組合物之總重量計至少0.01重量%之螯合劑。
本發明之第一態樣之組合物可視情況進一步包括界面活性劑,其用以幫助殘餘物移除,弄濕表面,且/或防止殘餘物再沈積。說明性界面活性劑包括(但不限於)兩性鹽、陽離子界面活性劑、陰離子界面活性劑、氟烷基界面活性劑、SURFONYL® 104、TRITON® CF-21、ZONYL® UR、ZONYL® FSO-100、ZONYL® FSN-100、3M Fluorad氟界面活性劑(亦即,FC-4430及FC-4432)、磺基琥珀酸二辛鹽(dioctylsulfosuccinate salt)、2,3-二巰基-1-丙烷磺酸鹽、十二烷基苯磺酸、聚乙二醇、聚丙二醇、聚乙二醇醚或聚丙二醇醚、羧酸鹽、R1苯磺酸或R1苯磺酸鹽(其中R1為直鏈或分枝之C8-C18烷基)、兩親媒性氟聚合物、聚乙二醇、聚丙二醇、聚乙二醇醚或聚丙二醇醚、羧酸鹽、十二烷基苯磺酸、聚丙烯酸酯聚合物、二壬苯基聚氧乙烯(dinonylphenyl polyoxyethylene)、聚矽氧或經改質之聚矽氧聚合物、炔二醇(acetylenic diol)或經改質之炔二醇、烷基銨或經改質之烷基銨鹽,以及包含上述界面活性劑、十二烷基硫酸鈉、兩性離子界面活性劑、氣溶膠-OT(AOT)及其氟化類似物、烷基銨、全氟聚醚界面活性劑、2-磺基琥珀酸鹽、以磷酸鹽為主之界面活性劑(phosphate-based surfactant)、以硫為主之界面活性劑及以乙醯乙酸鹽為主之聚合物中之至少一者的組合。當存在時,組合物包括以該組合物之總重量計至少0.01重量%之界面活性劑。
本發明之第一態樣之組合物可進一步包括二氧化矽源。吾人驚訝地發現,可使用一包括蝕刻劑加經溶解之二氧化矽的水性組合物來 獲得高的TiN:ULK選擇性。可較佳以約4:1至約5:1的蝕刻劑與二氧化矽源比率將二氧化矽添加至組合物,作為細二氧化矽粉末或作為四烷氧矽烷(諸如TEOS)。在一特定較佳之實施例中,蝕刻劑源為氟矽酸,且二氧化矽源為TEOS。該較佳實施例進一步包括以乙二醇為主之溶劑,其用以促進二氧化矽源溶解於組合物中。當存在時,組合物包括以該組合物之總重量計至少0.01重量%之二氧化矽。
可包括低k鈍化劑以減少低k層之化學腐蝕並防止晶圓的另外氧化。硼酸為當前較佳之低k鈍化劑,但為此目的亦可有利地利用其他羥基添加劑,例如,3-羥基-2-萘甲酸、丙二酸、亞胺二乙酸及其混合物。較佳地,低k鈍化劑包含亞胺二乙酸。當存在時,組合物包括以該組合物之總重量計至少0.01重量%之低k鈍化劑。較佳地,使用本發明之移除組合物所蝕刻/移除之下伏的低k材料為以下伏之低k材料的總重量計小於2重量%,更佳小於1重量%,最佳小於0.5重量%。
在各種較佳實施例中,本發明之第一態樣之水性清洗組合物係按以下調配物A-S予以調配,其中所有百分比均為以調配物之總重量計的重量%:
調配物A:氟矽酸:1.2重量%;丁基卡必醇:15.0重量%;水:72.8重量%;抗壞血酸:1.0重量%;甲磺酸:10.0重量%
調配物B:氟矽酸:1.2重量%;丁基卡必醇:15.0重量%;水:72.8重量%;抗壞血酸:1.0重量%;乙酸:10.0重量%
調配物C:氟矽酸:1.2重量%;水:87.8重量%;ZONYL FSO-100:0.5重量%;抗壞血酸:0.5重量%;乙酸:10.0重量%
調配物D:氟矽酸:0.7重量%;丁基卡必醇:8.0重量%;水:86.1重量%;抗壞血酸:0.2重量%;甲磺酸:5.0重量%
調配物E:氟矽酸:0.9重量%;丁基卡必醇:32.4重量%;水:59.9重量%;抗壞血酸:0.3重量%;甲磺酸:6.5重量%
調配物F:氟矽酸:0.6重量%;丁基卡必醇:19.7重量%;水:67.7重量%;抗壞血酸:0.2重量%;甲磺酸:11.8重量%
調配物G:氟矽酸:0.7重量%;丁基卡必醇:8.0重量%;水:85.9重量%;抗壞血酸:0.2重量%;甲磺酸:5.0重量%;鹽酸:0.2重量%
調配物H:氟矽酸:0.7重量%;丁基卡必醇:8.0重量%;水:88.3重量%;抗壞血酸:0.5重量%;NMMO:2.5重量%
調配物I:水:88.63重量%;二(丙二醇)甲醚:6.75重量%;H2SiF6:1.01重量%;TEOS:0.29重量%;五甲基二伸乙三胺:1.20重量%;抗壞血酸:2.41重量%;pH=3;密度=1.01g/mL
調配物J:水:91.64重量%;二(丙二醇)甲醚:5.00重量%;H2SiF6:1.01重量%;TEOS:0.35重量%;環丁碸:2.00重量%;pH=1.60;密度=1.01g/mL
調配物K:3-氯基-1,2-丙二醇:40.00重量%;水:43.40重量%;硼酸:1.00重量%;三丙二醇甲醚:25.00重量%;抗壞血酸:0.50重量%;TBA-BF4:0.10重量%
調配物L:3-氯基-1,2-丙二醇:40.00重量%;水:35.50重量%;硼酸:1.00重量%;三丙二醇甲醚:20.00重量%;抗壞血酸:2.00重量%;TBA-BF4:0.50重量%;丙二酸:1.00重量%
調配物M:水:88.97重量%;二(丙二醇)甲醚:6.71重量%;H2SiF6:1.01重量%;TEOS:0.30重量%;抗壞血酸:2.39重量%;亞胺二乙酸:0.62重量%
調配物N:水:89.45重量%;二(丙二醇)甲醚:6.83重量%;(NH4)2SiF6:0.99重量%;TEOS:0.29重量%;抗壞血酸:2.44重量%;pH=2.9;密度=1.01g/mL
調配物O:水:79.0重量%;3-氯基-1,2-丙二醇:20.0重量%;Bz TMAF:0.15重量%;丙二醇:0.85重量%;pH=2.7
調配物P:水:78.7重量%;3-氯基-1,2-丙二醇:20.0重量%;Bz TMAF:0.15重量%;丙二醇:0.85重量%;BTA:0.3重量%;pH=3.5
調配物Q:水:90.6重量%;3-氯基-1,2-丙二醇:8.0重量%;Bz TMAF:0.2重量%;丙二醇:1.1重量%;BTA:0.1重量%;pH=3.6
調配物R:水:90.45重量%;3-氯基-1,2-丙二醇:8.0重量%;Bz TMAF:0.19重量%;丙二醇:1.06重量%;BTA:0.3重量%;pH=3.5
調配物S:水:79.50-79.99重量%;DMSO:20.0重量%;六氟磷酸四甲銨0.01-0.5重量%
在第一態樣之另一實施例中,本發明之水性組合物包括氟矽酸、至少一螯合劑及水,其中該或該等螯合劑相對於氟矽酸之重量百分比比率為約5至約20,且其中水之量以該組合物之總重量計小於75重量%。在一特定較佳之實施例中,螯合劑包含甲磺酸。
在此態樣之另一實施例中,本發明之第一態樣之水性組合物包括氟矽酸、至少一金屬腐蝕抑制劑及水,其中該或該等金屬腐蝕抑制劑相對於氟矽酸之重量百分比比率為約0.30至約0.35或為約0.80至約0.85,且其中水之量以該組合物之總重量計小於75重量%。在一特定較佳之實施例中,螯合劑包含抗壞血酸。
在第一態樣之另一實施例中,本發明之水性組合物包括氟矽酸、至少一有機溶劑、至少一螯合劑、至少一金屬腐蝕抑制劑及水,其中該或該等有機溶劑相對於氟矽酸的重量百分比比率為約10至約15,該或該等螯合劑相對於氟矽酸之重量百分比比率為約5至約12,該或該等腐蝕抑制劑相對於氟矽酸之重量百分比比率為約0.80至約0.85,且其中水之量以該組合物之總重量計小於75重量%。在一特定較佳之實施例中,水性組合物包含氟矽酸、二甘醇丁醚(diethylene glycol butyl ether)及抗壞血酸。
在第一態樣之另一實施例中,本發明之水性組合物包括氟矽酸、至少一有機溶劑、至少一螯合劑、至少一金屬腐蝕抑制劑及水,其中該或該等有機溶劑相對於氟矽酸之重量百分比比率為約30至約38,該或該等螯合劑相對於氟矽酸之重量百分比比率為約5至約20,該或該等金屬腐蝕抑制劑相對於氟矽酸之重量百分比比率為約0.30至約0.35,且其中水之量以該組合物之總重量計小於75重量%。在一特定較佳之實施例中,水性組合物包含氟矽酸、二甘醇丁醚、抗壞血酸及甲磺酸。
在第一態樣之另一實施例中,水性組合物包括至少一有機溶劑、至少一蝕刻劑、至少一螯合劑、一二氧化矽源、至少一鎢腐蝕抑制劑及水。適宜之鎢腐蝕抑制劑包括(但不限於)環丁碸、2-巰基噻唑啉、2,3,5-三甲基吡嗪、2-乙基-3,5-二甲基吡嗪、喹喏啉、乙醯基吡咯、嗒嗪、組胺酸、吡嗪、甘胺酸、苯并咪唑、苯并三唑(BTA)、亞胺二乙酸(IDA)、麩胱甘肽(已還原)、半胱胺酸、2-巰基苯并咪唑、胱胺酸、噻吩、巰基吡啶N-氧化物、噻胺HCl、二硫化四乙基雙甲硫羰醯胺、1,2,4-三唑、2,5-二巰基-1,3-噻二唑抗壞血酸、抗壞血酸及其組合,較佳為環丁碸、吡嗪、甘胺酸、組胺酸、抗壞血酸及其組合。在一特定較佳之實施例中,水性組合物包括至少一有機溶劑、蝕刻劑、至少一螯合劑、一二氧化矽源、至少一W腐蝕抑制劑及水,其中該或該等有機溶劑相對於蝕刻劑之重量百分比比率為約5至約8,較佳為約6.5至約7,水相對於蝕刻劑之重量百分比比率為約85至約91,較佳為約86至約89,二氧化矽源相對於蝕刻劑之重量百分比比率為約0.1至約0.5,較佳為約0.25至約0.35,該或該等螯合劑相對於蝕刻劑之重量百分比比率為約0.5至約2.5,較佳為約1至約1.5,且該或該等W腐蝕抑制劑相對於蝕刻劑之重量百分比比率為約1至約4,較佳為約2至約2.5。可使用此實施例之組合物在大體上不移除ULK、Cu或W的情況 下或針對Cu或W CMP而蝕刻TiN。較佳地,蝕刻劑包含氟矽酸。舉例而言,在一實施例中,水性組合物包含以下各物、由以下各物組成或基本上由以下各物組成:水、二(丙二醇)甲醚、五甲基二伸乙三胺、氟矽酸、TEOS及抗壞血酸。
在第一態樣之另一實施例中,水性組合物包括至少一有機溶劑、至少一蝕刻劑、一二氧化矽源、至少一鎢腐蝕抑制劑及水。在一特定較佳之實施例中,水性組合物包括至少一有機溶劑、蝕刻劑、一二氧化矽源、至少一W腐蝕抑制劑及水,其中該或該等有機溶劑相對於蝕刻劑之重量百分比比率為約3至約7,較佳為約4.5至約7,水相對於蝕刻劑之重量百分比比率為約88至約93,較佳為約90至約91,二氧化矽源相對於蝕刻劑之重量百分比比率為約0.1至約0.5,較佳為約0.25至約0.35,且該或該等W腐蝕抑制劑相對於蝕刻劑之重量百分比比率為約1至約4,較佳為約2至約2.5。可使用此實施例之組合物在大體上不移除ULK、Cu或W的情況下或可針對Cu或W CMP而蝕刻TiN。較佳地,蝕刻劑包含氟矽酸。舉例而言,在一實施例中,水性組合物包含以下各物、由以下各物組成或基本上由以下各物組成:水、二(丙二醇)甲醚、氟矽酸、TEOS及環丁碸。在另一實施例中,水性組合物包含以下各物、由以下各物組成或基本上由以下各物組成:水、二(丙二醇)甲醚、氟矽酸銨、TEOS及抗壞血酸。
在第一態樣之另一實施例中,水性組合物包括至少一蝕刻劑、至少一有機溶劑、至少一低k鈍化劑、至少一腐蝕抑制劑及水。在一特定較佳之實施例中,水性組合物包括TBA-BF4、至少一有機溶劑、至少一低k鈍化劑、至少一腐蝕抑制劑及水,其中該或該等有機溶劑相對於該或該等低k鈍化劑之重量百分比比率為約30至約70,較佳為約50至約65;水相對於該或該等低k鈍化劑之重量百分比比率為約25至約60,較佳為約35至約50;該或該等腐蝕抑制劑相對於該或該等低 k鈍化劑之重量百分比比率為約0.1至約5,較佳為約0.5至約3;且該或該等蝕刻劑相對於一或多個低k鈍化劑之重量百分比比率為約0.01至約2,較佳為約0.05至約1。
在本發明之第一態樣之又一實施例中,水性組合物包括水、至少一有機溶劑、至少一腐蝕抑制劑及至少一蝕刻劑。在一特定較佳之實施例中,水性組合物包括水、至少一有機溶劑及丙二醇/氟化苄基三甲銨蝕刻劑,其中該或該等有機溶劑相對於PG/氟化苄基三甲銨蝕刻劑的重量百分比比率為約60至約90,較佳為約70至約80;水相對於PG/氟化苄基三甲銨蝕刻劑的重量百分比比率為約2至約30,較佳為約15至約25;且該或該等腐蝕抑制劑相對於PG/氟化苄基三甲銨蝕刻劑的重量百分比比率為0.01至約0.5,較佳為約0.1至約0.3。舉例而言,在一實施例中,水性組合物包含以下各物、由以下各物組成或基本上由以下各物組成:水、3-氯基-1,2-丙二醇、氟化苄基三甲銨:丙二醇及苯并三唑。
在第一態樣之另一實施例中,本文中所描述之水性組合物進一步包括電漿蝕刻後殘餘物,其中該電漿蝕刻後殘餘物包含選自由以下各物組成之群的殘餘物材料:含鈦殘餘物、聚合殘餘物、含銅殘餘物、含鎢殘餘物、含鈷殘餘物及其組合。殘餘物材料可溶解及/或懸浮於本發明之水性組合物中。
在第一態樣之又一實施例中,本文中所描述之水性組合物進一步包括氮化鈦殘餘物材料。殘餘物材料可溶解及/或懸浮於本發明之水性組合物中。
本發明之第一態樣之組合物可用於在大體上不蝕刻圖案化或毯覆式鎢層、銅層及/或ULK層的情況下選擇性地移除TiN、側壁殘餘物及/或蝕刻後殘餘物。除水溶液之外,本文中亦涵蓋,可將水性清洗組合物調配為泡沫、霧、亞臨界或超臨界流體(亦即,其中溶劑為CO2 等而非水)。
在第二態樣中,本發明之清洗組合物為半水性或非水性組合物,且包括至少一有機溶劑及至少一金屬螯合劑、(視情況)至少一界面活性劑、(視情況)至少一腐蝕抑制劑、(視情況)至少一低k鈍化劑、(視情況)至少一蝕刻劑,及(視情況)水,該等清洗組合物用於自一微電子設備之表面移除電漿蝕刻後殘餘物,該微電子設備上具有該電漿蝕刻後殘餘物,其中該電漿蝕刻後殘餘物包含選自由以下各物組成之群的物質:含鈦殘餘物、聚合殘餘物、含銅殘餘物、含鎢殘餘物、含鈷殘餘物及其組合。在另一實施例中,該等清洗組合物包括至少一有機溶劑、至少一金屬螯合劑及至少一腐蝕抑制劑。在又一實施例中,該等清洗組合物包括至少一有機溶劑、至少一金屬螯合劑、至少一腐蝕抑制劑及水。在另一實施例中,本發明之清洗組合物包括至少一有機溶劑、至少一金屬螯合劑、至少一腐蝕抑制劑、至少一界面活性劑及水。在再一實施例中,本發明之清洗組合物包括至少一有機溶劑、至少一金屬螯合劑、至少一腐蝕抑制劑、至少一低k鈍化劑及水。在又一實施例中,本發明之清洗組合物包括至少一有機溶劑、至少一金屬螯合劑、至少一腐蝕抑制劑、至少一界面活性劑、至少一低k鈍化劑及水。在另一實施例中,本發明之清洗組合物包括至少一有機溶劑、至少一金屬螯合劑及至少一蝕刻劑。在另一實施例中,本發明之清洗組合物包括至少一有機溶劑、至少一金屬螯合劑、至少一腐蝕抑制劑及至少一蝕刻劑。本發明之此態樣之清洗組合物移除電漿蝕刻後殘餘物而同時不會損害金屬層,金屬層包括鈷及含鈷合金(例如微電子設備表面上之CoWP、TiN及ILD材料)。
在第二態樣之一實施例中,本發明係關於一種用於清洗電漿蝕刻後殘餘物的水性組合物,該等電漿蝕刻後殘餘物係選自由以下各物組成之群:含鈦殘餘物、聚合殘餘物、含銅殘餘物、含鎢殘餘物、含 鈷殘餘物及其組合,該組合物包括以以下範圍(以該組合物之總重量計)而存在之至少一有機溶劑、至少一金屬螯合劑、至少一腐蝕抑制劑、水、(視情況)至少一界面活性劑及(視情況)至少一低k鈍化劑。
Figure TWI611047BD00005
在第二態樣之另一實施例中,本發明係關於一種用於清洗電漿蝕刻後殘餘物之非水性組合物,該等電漿蝕刻後殘餘物係選自由以下各物組成之群:含鈦殘餘物、聚合殘餘物、含銅殘餘物、含鎢殘餘物、含鈷殘餘物及其組合,該組合物包括以以下範圍(以該組合物之總重量計)而存在之至少一有機溶劑及至少一金屬螯合劑。
Figure TWI611047BD00006
在第二態樣之再一實施例中,本發明係關於一種用於清洗電漿蝕刻後殘餘物的組合物,該等電漿蝕刻後殘餘物係選自由以下各物組成之群:含鈦殘餘物、聚合殘餘物、含銅殘餘物、含鎢殘餘物、含鈷殘餘物及其組合,該組合物包括以以下範圍(以該組合物之總重量計)而存在之至少一有機溶劑、至少一金屬螯合劑及至少一蝕刻劑。
Figure TWI611047BD00007
值得注意的是,至少一蝕刻劑之重量%包括"純"蝕刻劑或者丙二醇/蝕刻劑混合物之量,而不管丙二醇與蝕刻劑之重量比如何。熟習此項技術者應瞭解,清洗組合物中之蝕刻劑的重量%小於添加至清洗組合物之PG/蝕刻劑組份的重量%。舉例而言,包括0.5重量%之PG/HF(96:4)混合物的清洗組合物中之HF的重量%實際上為0.02重量%。
在第二態樣之再一實施例中,本發明係關於一用於清洗電漿蝕刻後殘餘物之組合物,該等電漿蝕刻後殘餘物係選自由以下各物組成之群:含鈦殘餘物、聚合殘餘物、含銅殘餘物、含鎢殘餘物、含鈷殘餘物及其組合,該組合物包括以以下範圍(以該組合物之總重量計)而存在之至少一有機溶劑、至少一金屬螯合劑、至少一腐蝕抑制劑及至少一蝕刻劑。
Figure TWI611047BD00008
值得注意的是,該至少一蝕刻劑之重量%包括"純"蝕刻劑或者丙二醇/蝕刻劑混合物之量,而不管丙二醇與蝕刻劑之重量比如何。熟習此項技術者應瞭解,清洗組合物中之蝕刻劑的重量%小於添加至清洗組合物之PG/蝕刻劑組份的重量%。舉例而言,包括0.5重量%之PG/HF(96:4)混合物的清洗組合物中之HF的重量%實際上為0.02重量%。
在本發明之廣泛實踐中,清洗組合物可包含以下各物、由以下各物組成或基本上由以下各物組成:(i)至少一有機溶劑及至少一螯合劑;(ii)至少一有機溶劑、至少一金屬螯合劑及至少一腐蝕抑制劑;(iii)至少一有機溶劑、至少一金屬螯合劑、至少一腐蝕抑制劑及水;(iv)至少一有機溶劑、至少一金屬螯合劑、至少一腐蝕抑制劑及水;(v)至少一有機溶劑、至少一金屬螯合劑、至少一腐蝕抑制劑、至少一界面活性劑及水;(vi)至少一有機溶劑、至少一金屬螯合劑、至少一腐蝕抑制劑、至少一低k鈍化劑及水;(vii)至少一有機溶劑、至少一金屬螯合劑、至少一腐蝕抑制劑、至少一界面活性劑、至少一低k鈍化劑及水;(viii)至少一有機溶劑、至少一金屬螯合劑及至少一蝕刻劑;及(ix)至少一有機溶劑、至少一金屬螯合劑、至少一腐蝕抑制劑及至少一蝕刻劑。
移除組合物之組份之重量百分比比率的範圍為:一或多個有機溶劑相對於一或多個螯合劑之重量百分比比率為約0.1至約20,較佳為約3.5至約15,甚至更佳為約3.5至約5;水(當存在時)相對於一或多個螯合劑之重量百分比比率為約0.1至約50,較佳為約1至約25且最佳為約2至約12;金屬腐蝕抑制劑(當存在時)相對於一或多個螯合劑之重量百分比比率為約0.001至約0.2,較佳為約0.01至約0.1;一或多個低k鈍化劑(當存在時)相對於一或多個螯合劑之重量百分比比率為約0.001至約0.2,較佳為約0.01至約0.1;且蝕刻劑或PG/蝕刻劑混合物 (當存在時)相對於一或多個螯合劑之重量百分比比率為約0.01至約1,較佳為約0.025至約0.35,甚至更佳為約0.025至約0.15。
先前已在上文中描述了用於本發明之此態樣的該或該等有機溶劑、該或該等螯合劑、該或該等腐蝕抑制劑、該或該等蝕刻劑及該或該等界面活性劑。較佳地,溶劑包括三丙二醇甲醚、丙二醇、γ-丁基內酯及/或3-氯基-1,2-丙二醇。較佳地,螯合劑包括甲磺酸、二異丙胺、五甲基二伸乙三胺及其組合。較佳之蝕刻劑包括PG/HF(96:4)、PG/氟化四丁基銨(85/15)、TBA-BF4或其組合。
水較佳經去離子。
在各種較佳之實施例中,將本發明之此態樣之水性清洗組合物調配成以下調配物AA-AY,其中所有百分比均為以調配物之總重量計的重量%。
調配物AA:30.0重量%之二甘醇丁醚;62.87重量%之水;5.63重量%之HCl;1.00重量%之三乙醇胺;0.50重量%之抗壞血酸
調配物AB:30.0重量%之二甘醇丁醚;54.00重量%之水;10.00重量%之甲磺酸;5.00重量%之乙醯丙酮;0.50重量%之亞胺二乙酸;0.50重量%之抗壞血酸
調配物AC:30.0重量%之二甘醇丁醚;15.0重量%之二甘醇甲醚;44.00重量%之水;10.00重量%之甲磺酸;0.50重量%之亞胺二乙酸;0.50重量%之抗壞血酸
調配物AD:30.0重量%之二甘醇丁醚;15.0重量%之三丙二醇甲醚;44.00重量%之水;10.00重量%之甲磺酸;0.50重量%之亞胺二乙酸;0.50重量%之抗壞血酸
調配物AE:90.0重量%之3-氯基-1,2-丙二醇;10.0重量%之甲磺酸
調配物AF:90.0重量%之3-氯基-1,2-丙二醇;9.0重量%之甲磺酸;1.0重量%之氯化四甲銨
調配物AG:80.0重量%之3-氯基-1,2-丙二醇;20.0重量%之二異丙胺
調配物AH:80.0重量%之三丙二醇甲醚;20.0重量%之二異丙胺
調配物AI:80.0重量%之三丙二醇甲醚;20.0重量%之五甲基二伸乙三胺
調配物AJ:40.0重量%之3-氯基-1,2-丙二醇;40.0重量%之三丙二醇甲醚;20.0重量%之五甲基二伸乙三胺
調配物AK:30.0重量%之3-氯基-1,2-丙二醇;30.0重量%之三丙二醇甲醚;30.0重量%之碳酸丙二酯;10.0重量%之甲磺酸
調配物AL:甲磺酸:10.00重量%;三(丙二醇)甲醚:50.00重量%;3-氯基-1,2-丙二醇:40.00重量%;pH=1.70(用水以50:1來稀釋);密度=1.14g mL-1;在25℃下黏度=31.35cSt
調配物AM:五甲基二伸乙三胺:10.00重量%;三(丙二醇)甲醚:50.00重量%;丙二醇:40.00重量%;pH=10.56(用水以50:1來稀釋);密度=0.98g mL-1;在25℃下黏度=14.55cSt
調配物AN:五甲基二伸乙三胺:10.00重量%;三(丙二醇)甲醚:50.00重量%;丙二醇:39.25重量%;PG/HF(96:4):0.75重量%;pH=10.40(用水以50:1來稀釋);密度=0.98g/mL
調配物AO:五甲基二伸乙三胺:10.00重量%;三(丙二醇)甲醚:50.00重量%;丙二醇:39.50重量%;PG/HF(96:4):0.50重量%;pH=10.40(用水以50:1來稀釋);密度=0.98g/mL
調配物AP:五甲基二伸乙三胺:20.00重量%;三(丙二醇)甲醚:44.444重量%;丙二醇:35.556重量%;pH=10.56(用水以50:1來稀釋);密度=0.98g/mL
調配物AQ:五甲基二伸乙三胺:9.756重量%;三(丙二醇)甲醚:48.780重量%;丙二醇:39.024重量%;PG/氟化四丁基銨(85: 15):2.440重量%
調配物AR:五甲基二伸乙三胺:9.756重量%;三(丙二醇)甲醚:48.780重量%;丙二醇:39.024重量%;PG/氟化苄基三甲銨(85:15):2.440重量%
調配物AS:五甲基二伸乙三胺:20.00重量%;三(丙二醇)甲醚:44.20重量%;丙二醇:35.30重量%;四氟硼酸四丁基銨(TBA-BF4):0.50重量%
調配物AT:五甲基二伸乙三胺:20.00重量%;三(丙二醇)甲醚:39.75重量%;丙二醇:39.75重量%;四氟硼酸四丁基銨(TBA-BF4):0.50重量%
調配物AU:五甲基二伸乙三胺:20.00重量%;三(丙二醇)甲醚:22.30重量%;丙二醇:57.20重量%;四氟硼酸四丁基銨(TBA-BF4):0.50重量%
調配物AV:五甲基二伸乙三胺:20.00重量%;三(丙二醇)甲醚:20.00重量%;丙二醇:42.00重量%;γ-丁內酯(GBL):15.00重量%;PG/HF(96:4):3.00重量%
調配物AW:五甲基二伸乙三胺:20.00重量%;丙二醇:52.00重量%;γ-丁內酯:25.00重量%;PG/HF(96:4):3.00重量%;pH=9.90(用水以50:1來稀釋);密度=1.03g/mL
調配物AX:五甲基二伸乙三胺:20.00重量%;丙二醇:52.00重量%;三(丙二醇)甲醚:25.00重量%;PG/HF(96:4):3.00重量%
調配物AY:五甲基二伸乙三胺:19.98重量%;丙二醇:51.31重量%;γ-丁內酯:24.97重量%;PG/HF(96:4):2.99重量%;苯并三唑:0.75重量%;pH=10.03(用水以50:1來稀釋);密度=1.03g/mL
在第二態樣之另一實施例中,本文中所描述之清洗組合物進一步包括電漿蝕刻後殘餘物,其中該電漿蝕刻後殘餘物包含選自由以下 各物組成之群的殘餘物材料:含鈦殘餘物、聚合殘餘物、含銅殘餘物、含鎢殘餘物、含鈷殘餘物及其組合。重要的是,殘餘物材料可溶解及/或懸浮於本發明之水性組合物中。
在第二態樣之一特定較佳之實施例中,清洗組合物包括至少一乙二醇醚、水、甲磺酸、亞胺二乙酸及抗壞血酸,其中該至少一乙二醇醚包括二甘醇丁醚及/或三丙二醇甲醚。在第二態樣之另一較佳之實施例中,清洗組合物包括五甲基二伸乙三胺、丙二醇、γ-丁內酯及PG/HF。在再一較佳之實施例中,清洗組合物包括五甲基二伸乙三胺、丙二醇、γ-丁內酯、PG/HF及苯并三唑。
本發明之第一態樣之組合物可用於在大體上不蝕刻圖案化或毯覆式鎢層、TiN、銅層及/或ULK層之情況下選擇性地移除側壁殘餘物及/或蝕刻後殘餘物。除液體溶液之外,本文中亦涵蓋,可將本發明之兩個態樣之組合物調配為泡沫、霧、亞臨界或超臨界流體(亦即,其中溶劑為CO2等而非水)。
有利地,本發明之兩個態樣之清洗組合物在不損害存在於設備上之ILD、覆蓋層及/或金屬互連層的情況下自微電子設備之頂表面、側壁及通道與線路有效地移除電漿蝕刻後殘餘物。另外,不管是首先蝕刻溝槽還是首先蝕刻通道,均可使用該等組合物。
應瞭解,在一般清洗應用中,普遍做法是以極端稀釋來使用高度濃縮之形式。舉例而言,可以更為濃縮之形式(為了可溶性目包括至少約20重量%)來製造清洗組合物,且其後在製造者處、在使用之前及/或在加工時之使用期間用額外溶劑(例如,水及/或有機溶劑)來稀釋該清洗組合物。稀釋率可在約0.1份稀釋劑:1份移除組合物濃縮物至約3份稀釋劑:1份移除組合物濃縮物的範圍中(較佳為約1:1)。應理解,在稀釋後,移除組合物之許多組份的重量百分比比率將保持不變。
可藉由簡單添加各別成份且混合至均勻狀態來容易地調配本發明之兩個態樣之組合物。此外,可不難將該等組合物調配為單包裝式調配物或在使用時予以混合之多份式調配物(較佳為多份式調配物)。可在工具處或在該工具上游之貯槽中混合多份式調配物之個別份。在本發明之廣泛實踐中,各別成份之濃度可在特定多種組合物中廣泛變化(亦即,更稀或更濃),且應瞭解,本發明之組合物可不同地且替代地包含與本文中之揭示內容一致之成份的任何組合,由或基本上由與本文中之揭示內容一致之成份的任何組合組成。
因此,本發明之另一態樣係關於一套組,該套組在一或多個容器中包括一或多個經調適以形成本發明之組合物的組份。較佳地,該套組在一或多個容器中包括至少一蝕刻劑源、至少一金屬螯合劑、(視情況)水、(視情況)至少一有機溶劑、(視情況)至少一腐蝕抑制劑、(視情況)至少一低k鈍化劑、(視情況)至少一界面活性劑及(視情況)一二氧化矽源之較佳組合,其用於在加工時或在使用時與或不與額外水及/或有機溶劑組合。或者,該套組在一或多個容器中包括至少一蝕刻劑源、至少一金屬腐蝕抑制劑、(視情況)水、(視情況)至少一有機溶劑、(視情況)至少一螯合劑、(視情況)至少一低k鈍化劑、(視情況)至少一界面活性劑及(視情況)一二氧化矽源之較佳組合,其用於在加工時或在使用時與或不與額外水及/或有機溶劑組合。或者,該套組在一或多個容器中包括至少一蝕刻劑源、至少一有機溶劑、(視情況)水、(視情況)至少一金屬腐蝕抑制劑、(視情況)至少一螯合劑、(視情況)至少一低k鈍化劑、(視情況)至少一界面活性劑及視情況二氧化矽源之較佳組合,其用於在加工時或在使用時與或不與額外水及/或有機溶劑組合。或者,該套組在一或多個容器中包括至少一有機溶劑及至少一金屬螯合劑、(視情況)至少一界面活性劑、(視情況)至少一腐蝕抑制劑、(視情況)至少一低k鈍化劑、(視情況)至少一 蝕刻劑及(視情況)水之較佳組合,其用於在加工時或在使用時與或不與額外水及/或有機溶劑組合。該套組之容器必須適用於儲存並運輸該等清洗組合物組份,例如,NOWPak®容器(Advanced Technology Materials有限公司(Danbury,Conn.,USA)。含有移除組合物之組份的該或該等容器較佳包括用於使該或該等容器中之組份流體連通便進行摻合及分配(dispense)的構件。舉例而言,參考NOWPak®容器,可將氣體壓力施加至該或該等容器中之襯墊的外部以導致該襯墊之內含物之至少一部分被排出,且因此致能流體連通以便進行摻合及分配。或者,可將氣體壓力施加至習知之可加壓容器之頭部空間,或可使用泵來致能流體連通。另外,該系統較佳包括用於將經摻合之移除組合物分配至處理工具的分配埠。
較佳使用大體上化學性質為惰性、不含雜質、具可撓性及彈性之聚合膜材料(諸如高密度聚乙烯)來製造用於該或該等容器之襯墊。處理所要之襯墊材料而不要求共擠出或障壁層,且無需任何顏料、UV抑制劑或可能會不利地影響將安置於襯墊中之組份之純度要求的處理劑。所要之襯墊材料的清單包括膜,此等膜包含天然(不含添加劑)聚乙烯、天然聚四氟乙烯(PTEE)、聚丙烯、聚胺甲酸酯、聚(二)氯亞乙烯、聚氯乙稀、聚甲醛、聚苯乙烯、聚丙烯腈、聚丁烯等等。此等襯墊材料之較佳厚度在約5密耳(0.005吋)至約30密耳(0.030吋)的範圍中,例如,厚度為20密耳(0.020吋)。
關於用於本發明之套組之容器,以下專利及專利申請案之揭示內容的各別全文以引用方式併入本文中:標題為"APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS"之美國專利第7,188,644號;標題為"RETURNABLE AND REUSABLE,BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM"之美國專利第6,698,619 號;及以John E.Q.Hughes之名義在2007年5月9日提出申請的標題為"SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION"的美國專利申請案第60/916,966號。
當應用至微電子製造操作時,本發明之兩個態樣之清洗組合物可有效用於自微電子設備之表面清洗電漿蝕刻後殘餘物,且可在施用經調配以自該設備之表面移除替代材料之其他組合物之前或之後將該清洗組合物施用至該表面。重要的是,本發明之組合物不會損害設備表面上之ILD材料,且較佳在移除處理之前移除存在於設備上之至少90%的殘餘物,更佳移除至少95%且最佳移除至少99%之待移除的殘餘物。
在電漿蝕刻後殘餘物移除應用中,可以任何適宜之方式將組合物施用至待清洗之設備,例如,藉由將該組合物噴灑於待清洗之設備表面上,藉由將待清洗之設備浸漬於該組合物之靜態或動態體積中,藉由使待清洗之設備與有該組合物吸收於其上的另一材料(例如,墊子或纖維吸收性施料器元件)接觸,或藉由任何其他適宜之使組合物與待清洗之設備形成移除接觸的構件、方式或技術。此外,本文中涵蓋分批或單一晶圓處理。
在使用本發明之兩個態樣之組合物於自其上具有電漿蝕刻後殘餘物之微電子設備移除該電漿蝕刻後殘餘物中,通常在約20℃至約90℃,較佳為約40℃至約70℃,且最佳為約50℃至約60℃範圍內之溫度下使該組合物與該設備靜態或動態接觸,歷時約1分鐘至約30分鐘,較佳為約1分鐘至10分鐘的時間。較佳地,該接觸為靜態的。此等接觸時間及溫度為說明性的,且可在本發明之廣泛實踐內利用任何其他適宜之可有效自該設備至少部分地移除蝕刻後殘餘物材料的時間及溫度條件。殘餘物材料自微電子設備之"至少部分移除"對應於該材料之至少90%的移除,較佳為至少95%之移除。最佳地,使用本發明之組 合物來移除殘餘物材料之至少99%。
在達成所要之移除作用之後,可不難自本發明之兩個態樣之組合物先前所施用至之設備將其移除,例如,藉由沖洗、洗滌或一或多個其他移除步驟,如在本發明之組合物之特定最終用途應用中可能需要且有效。舉例而言,可用包括去離子水之沖洗溶液來沖洗該設備及/或使其乾燥(例如,離心法脫水、N2、蒸氣乾燥等等)。
必要時,可能需要清洗後烘焙步驟及/或異丙醇蒸氣乾燥步驟來移除可能吸附至ILD材料之孔中的非揮發性材料以便不改變低k介電材料之電容。
本發明之另一態樣係關於根據本發明之方法製成的經改良之微電子設備及含有此等微電子設備之產品。
本發明之又一另外的態樣係關於製造一包含一微電子設備之物品的方法,該方法包含:使該微電子設備與一組合物接觸,歷時足夠的時間以自該微電子設備(該微電子設備上具有該殘餘物)清洗電漿蝕刻後殘餘物;及將該微電子設備併入該物品中,其中該組合物包括至少一蝕刻劑源、至少一金屬螯合劑、水、(視情況)至少一有機溶劑、(視情況)至少一腐蝕抑制劑、(視情況)至少一低k鈍化劑、(視情況)至少一界面活性劑及(視情況)一二氧化矽源。
本發明之又一另外的態樣係關於製造一包含一微電子設備之物品的方法,該方法包含:使該微電子設備與一組合物接觸,歷時足夠的時間以自該微電子設備(該微電子設備上具有該殘餘物)清洗電漿蝕刻後殘餘物;及將該微電子設備併入該物品中,其中該組合物包括至少一蝕刻劑源、至少一金屬腐蝕抑制劑、水、(視情況)至少一有機溶劑、(視情況)至少一螯合劑、(視情況)至少一低k鈍化劑、(視情況)至少一界面活性劑及(視情況)一二氧化矽源。
本發明之又一另外的態樣係關於製造一包含一微電子設備之物 品的方法,該方法包含:使該微電子設備與一組合物接觸,歷時足夠的時間以自該微電子設備(該微電子設備上具有該殘餘物)清洗電漿蝕刻後殘餘物;及將該微電子設備併入該物品中,其中該組合物包括至少一蝕刻劑源、至少一有機溶劑、水、(視情況)至少一金屬腐蝕抑制劑、(視情況)至少一螯合劑、(視情況)至少一低k鈍化劑、(視情況)至少一界面活性劑及(視情況)一二氧化矽源。
本發明之又一另外的態樣係關於製造一包含一微電子設備之物品的方法,該方法包含:使該微電子設備與一組合物接觸,歷時足夠的時間以自該微電子設備(該微電子設備上具有該殘餘物)清洗電漿蝕刻後殘餘物;及將該微電子設備併入該物品中,其中該組合物包括至少一有機溶劑及至少一金屬螯合劑、(視情況)至少一界面活性劑、(視情況)至少一腐蝕抑制劑、(視情況)至少一低k鈍化劑、(視情況)至少一蝕刻劑及(視情況)水。
在再一態樣中,可在微電子設備製造過程之其他態樣中(亦即,在電漿蝕刻後殘餘物清洗步驟之後)利用本發明之兩個態樣之組合物。舉例而言,可稀釋該等組合物並將其用作化學機械研磨(CMP)後清洗物。或者,可使用本發明之組合物自光罩材料移除污染材料以便再使用。光罩材料在再一替代例中,如熟習此項技術者不難判定,可使用本發明之第一態樣之組合物來蝕刻TiN硬式光罩。
與包括TiN硬式光罩之BEOL架構相關聯之一缺陷為TiOF晶體之形成。因此,在再一態樣中,本發明係關於一種包含自一微電子設備(該微電子設備上具有該等TiOF晶體)移除TiOF晶體之方法,該方法包含使該微電子設備與一水性清洗組合物接觸,歷時足夠的時間以自該微電子設備至少部分地移除該等TiOF晶體,其中該水性清洗組合物包含至少一有機溶劑、至少一蝕刻劑、一二氧化矽源、至少一鎢腐蝕抑制劑及水。較佳地,接觸條件包括在約35℃至約75℃,較佳約50℃至 約60℃之範圍中的溫度,且時間在約10分鐘至約50分鐘,較佳約20分鐘至約35分鐘的範圍中。重要的是,水性清洗組合物必須大體上不損害可能存在之ULK、Cu及/或W材料。在一特定較佳之實施例中,水性組合物包括至少一有機溶劑、蝕刻劑、一二氧化矽源、至少一W腐蝕抑制劑及水,其中該或該等有機溶劑相對於蝕刻劑之重量百分比比率為約3至約7,水相對於該蝕刻劑之重量百分比比率為約88至約93,二氧化矽源相對於蝕刻劑之重量百分比比率為約0.1至約0.5,且該或該等W腐蝕抑制劑相對於蝕刻劑之重量百分比比率為約1至約4。
在再一態樣中,本發明係關於一種製造物品,其包含一微電子設備基板、殘餘物材料及一清洗組合物,其中該清洗組合物可為本文中所描述之任何組合物,且其中該殘餘物材料係選自由以下各物組成之群:含鈦殘餘物、聚合殘餘物、含銅殘餘物、含鎢殘餘物、含鈷殘餘物及其組合。
本發明之特徵及優勢將藉由以下非限制性實例予以更充分地說明,其中除非另外明確陳述,否則所有份及百分比均以重量計。
實例1
判定毯覆式ULK、氮化鈦、Cu及W在調配物A-H中之蝕刻速率。量測毯覆式材料在50℃下浸入調配物A-H中之前及之後的厚度。使用4點探針量測來判定厚度,藉以使組合物之電阻率與剩餘之膜的厚度及由此計算出之蝕刻速率相關。表1中報告實驗性蝕刻速率。
Figure TWI611047BD00009
亦使用傅立葉變換紅外線光譜學(FTIR)及電容資料來執行ULK相容性研究。在圖1及圖2中可看出,在分別與調配物A及B接觸之ULK中觀測不到相對於ULK對照物(control)之可觀測改變,尤其是在2800至3000cm-1之烴基吸附區域中,此暗示有機雜質並未吸附至ULK。如使用Hg探針所判定,電容資料亦暗示ULK並未受到本發明之調配物的有害影響(見表2)。
Figure TWI611047BD00010
實例2
判定毯覆式ULK、氮化鈦、Cu及W在調配物AA及AB中之蝕刻速率。量測毯覆式材料在50℃下浸入調配物AA及AB中之前及之後的厚度。使用4點探針量測來判定厚度,藉以使組合物之電阻率與剩餘之膜的厚度及由此計算出之蝕刻速率相關。表3中報告實驗性蝕刻速 率。
Figure TWI611047BD00011
亦使用FTIR及電容資料來執行ULK相容性研究。在與調配物AB接觸之ULK中並未觀測到相對於ULK對照物之可觀測改變,尤其是在2800至3000cm-1之烴基吸附區域中,此暗示有機雜質並未吸附至ULK。如使用Hg探針所判定,電容資料亦暗示ULK並未受到本發明之調配物的有害影響(見表4)。
Figure TWI611047BD00012
亦判定鈷相容性。在50℃下將厚度為1300Å之毯覆式CoWP晶圓浸入調配物AB中,歷時2個小時。基於重量分析,試樣(coupon)在浸入之前及之後的重量未改變,此暗示調配物AB並未蝕刻CoWP。此在圖3A及圖3B中進一步得以證明,圖3A及圖3B為毯覆式CoWP晶圓在調配物AB中進行處理之前(圖3A)及之後(圖3B)的顯微圖。
實例3
判定毯覆式ULK、氮化鈦、Cu及W在調配物AC-AK中之蝕刻速率。量測毯覆式材料在50℃下浸入調配物AC-AK中歷時65分鐘之前及之後的厚度。使用4點探針量測來判定厚度,藉以使組合物之電阻率與剩餘之膜的厚度及由此計算出之蝕刻速率相關。表5中報告實驗性 蝕刻速率。
Figure TWI611047BD00013
亦使用FTIR及電容資料在50℃下執行ULK相容性研究,歷時65分鐘。表6中報告如使用Hg探針所判定之電容資料。在200-210℃下執行後烘焙步驟(適用時),歷時10分鐘。
Figure TWI611047BD00014
可看出,當利用後烘焙或IPA乾燥時,調配物並未導致蝕刻後ULK之顯著電容增加。此外,在與調配物AE或AF(兩者無後烘焙及IPA乾燥)接觸之蝕刻後ULK中並未觀測到相對於蝕刻後ULK對照物之可觀測改變,尤其是在2800至3000cm-1之烴基吸附區域中,此暗示有 機雜質並未吸附至ULK。
實例4
判定毯覆式ULK、氮化鈦、Cu及W在調配物AL-AY中之蝕刻速率。除非另外註釋,否則量測毯覆式材料在50℃下浸入於調配物AL-AY中歷時65分鐘之前及之後的厚度。使用4點探針量測來判定厚度,藉以使組合物之電阻率與剩餘之膜的厚度及由此計算出之蝕刻速率相關。表7中報告實驗性蝕刻速率。
Figure TWI611047BD00015
亦針對調配物AM、AN、AO及/或AU使用FTIR及電容資料在50℃下執行ULK相容性研究,歷時65分鐘。表8中報告如使用Hg探針所判定之電容資料。
Figure TWI611047BD00016
可看出,當利用後烘焙或IPA乾燥時,調配物並未導致蝕刻後ULK之顯著電容增加。此外,在與調配物AM、AN、AO或AU接觸之蝕刻後ULK中並未觀測到相對於蝕刻後ULK對照物的可觀測改變,此暗示有機雜質並未吸附至ULK。
實例5
判定毯覆式ULK、氮化鈦、Cu及W在調配物I-L中之蝕刻速率。除非另外註釋,否則量測毯覆式材料在50℃下浸入調配物I-L中歷時65分鐘之前及之後的厚度。使用4點探針量測來判定厚度,藉以使組合物之電阻率與剩餘之膜的厚度及由此計算出之蝕刻速率相關。表9中報告實驗性蝕刻速率。
Figure TWI611047BD00017
重要的是,可看出調配物I、J及M可用於移除TiN硬式光罩(需要時)。
實例6
判定毯覆式ULK、氮化鈦、Cu及W在調配物N-R中之蝕刻速率。量測毯覆式材料在50℃下浸入調配物N-R中歷時30分鐘之前及之後的厚度。使用4點探針量測來判定厚度,藉以使組合物之電阻率與剩餘之膜的厚度及由此計算出之蝕刻速率相關。表10中報告實驗性蝕刻速率。
Figure TWI611047BD00018
儘管本文中已參看說明性實施例及特徵以各種方式揭示了本發明,但應瞭解,上文所描述之實施例及特徵並不意欲限制本發明,且一般熟習此項技術者基於本文中之揭示內容可想到其他變化、修改及其他實施例。本發明因此應廣泛解釋為涵蓋在下文所陳述之申請專利範圍之精神及範疇內的所有此等變化、修改及替代實施例。

Claims (24)

  1. 一種水性清洗組合物,其包含至少一蝕刻劑、水、二氧化矽源、至少一有機溶劑及至少一金屬腐蝕抑制劑,其中該至少一蝕刻劑包含選自由以下所組成之群之氟化物種類:氫氟酸,氟矽酸,氟化銨鹽,氟化氫銨鹽,氟矽酸銨鹽,丙二醇/HF,及其組合;及其中該水性清洗組合物適用於自一其上具有電漿蝕刻後殘餘物之微電子設備清洗該殘餘物。
  2. 如請求項1之清洗組合物,其中該電漿蝕刻後殘餘物包含選自由以下所組成之群之殘餘物:含鈦殘餘物,聚合殘餘物,含銅殘餘物,含鎢殘餘物,含鈷殘餘物,及其組合。
  3. 如請求項1之清洗組合物,其中該至少一蝕刻劑包含氟矽酸。
  4. 如請求項1之清洗組合物,其中該至少一有機溶劑包含選自由以下所組成之群之種類:醇,醚,吡咯啶酮,胺,乙二醇,乙二醇醚,及其組合。
  5. 如請求項1之清洗組合物,其中該至少一有機溶劑包含選自由以下所組成之群之次種類:甲醇,乙醇,異丙醇,二醇,3-氯基-1,2-丙二醇,三醇,3-氯基-1-丙硫醇,1-氯基-2-丙醇,2-氯基-1-丙醇,3-氯基-1-丙醇,3-溴基-1,2-丙二醇,1-溴基-2-丙醇,3-溴基-1-丙醇,3-碘基-1-丙醇,4-氯基-1-丁醇,2-氯乙醇,二氯甲烷,氯仿,乙酸,丙酸,三氟乙酸,四氫呋喃(THF),N-甲基吡咯啶酮(NMP),環己基吡咯啶酮,N-辛基吡咯啶酮,N-苯基吡咯啶酮,甲基二乙醇胺,甲酸甲酯,二甲基甲醯胺(DMF),二甲亞碸(DMSO),四亞甲基碸(環丁碸),二乙醚,苯氧基-2-丙醇(PPh),苯丙酮,乳酸乙酯,乙酸乙酯,苯甲酸乙酯,乙腈,丙 酮,乙二醇,丙二醇,1,3-丙二醇,1,4-丙二醇,二噁烷,丁醯基內酯(butyryl lactone),碳酸丁二酯,碳酸乙二酯,碳酸丙二酯,二丙二醇,二甘醇單甲醚,三甘醇單甲醚,二甘醇單乙醚,三甘醇單乙醚,乙二醇單丙醚,乙二醇單丁醚,二甘醇單丁醚,三甘醇單丁醚,乙二醇單己醚,二甘醇單己醚,乙二醇苯醚,丙二醇甲醚,二丙二醇甲醚,三丙二醇甲醚,二丙二醇二甲醚,二丙二醇乙醚,丙二醇正丙醚,二丙二醇正丙醚(DPGPE),三丙二醇正丙醚,丙二醇正丁醚,二丙二醇正丁醚,三丙二醇正丁醚,丙二醇苯醚,γ-丁內酯,及其組合。
  6. 如請求項1之清洗組合物,其進一步包含至少一螯合劑,其中該至少一螯合劑包含選自由以下所組成之群之種類:1,1,1,5,5,5-六氟-2,4-戊二酮(hfacH),1,1,1-三氟-2,4-戊二酮(tfac),乙醯基丙酮鹽(acac),亞胺二乙酸,吡唑鹽(pyrazolate),脒鹽(amidinate),胍鹽(guanidinate),酮亞胺,二烯,多元胺(polyamine),乙二胺四乙酸(EDTA),1,2-環己二胺-N,N,N',N'-四乙酸(CDTA),依替膦酸(etidronic acid),甲磺酸,鹽酸,乙酸,烷基胺,芳基胺,二醇胺,烷醇胺,三唑,噻唑,四唑,咪唑,1,4-苯醌,8-羥基喹啉,亞柳基苯胺,四氯-1,4-苯醌,2-(2-羥苯基)-苯并噁唑,2-(2-羥苯基)-苯并噻唑,羥基喹啉磺酸(HQSA),磺酸基水楊酸(SSA),水楊酸(SA),氟化四甲銨,氯化四甲銨,溴化四甲銨,碘化四甲銨,吡啶,2-乙基吡啶,2-甲氧基吡啶,3-甲氧基吡啶,2-甲基吡啶,吡啶衍生物,二甲基吡啶,哌啶,哌嗪,三乙胺,三乙醇胺,乙胺,甲胺,異丁胺,第三丁胺,三丁胺,二丙胺,二甲胺,二甘醇胺(diglycol amine),單乙醇胺,甲基二乙醇胺,吡咯,異噁唑,1,2,4-三唑,聯吡啶,嘧啶,吡嗪,嗒嗪,喹啉,異喹啉,吲哚,咪唑,N-甲基嗎啉-N-氧化物 (NMMO),三甲胺-N-氧化物,三乙胺-N-氧化物,吡啶-N-氧化物,N-乙基嗎啉-N-氧化物,N-甲基吡咯啶-N-氧化物,N-乙基吡咯啶-N-氧化物,1-甲基咪唑,二異丙胺,二異丁胺,苯胺,苯胺衍生物,五甲基二伸乙三胺,及其組合。
  7. 如請求項1之清洗組合物,其中該至少一金屬腐蝕抑制劑包含選自由以下所組成之群之種類:苯并三唑(BTA),1,2,4-三唑(TAZ),5-胺基四唑(ATA),1-羥基苯并三唑,5-胺基-1,3,4-噻二唑-2-硫醇,3-胺基-1H-1,2,4-三唑,3,5-二胺基-1,2,4-三唑,甲苯基三唑,5-苯基-苯并三唑,5-硝基-苯并三唑,3-胺基-5-巰基-1,2,4-三唑,1-胺基-1,2,4-三唑,2-(5-胺基-戊基)-苯并三唑,1-胺基-1,2,3-三唑,1-胺基-5-甲基-1,2,3-三唑,3-巰基-1,2,4-三唑,3-異丙基-1,2,4-三唑,5-苯基硫醇-苯并三唑,鹵基-苯并三唑(鹵基=F,Cl,Br或I),萘并三唑,1H-四唑-5-乙酸,2-巰基苯并噻唑(2-MBT),1-苯基-2-四唑啉-5-硫酮,2-巰基苯并咪唑(2-MBI),4-甲基-2-苯基咪唑,2-巰基噻唑啉,2,4-二胺基-6-甲基-1,3,5-三嗪,噻唑,咪唑,苯并咪唑,三嗪,甲基四唑,試鉍硫醇I(Bismuthiol I),1,3-二甲基-2-咪唑啶酮,1,5-伸戊基四唑,1-苯基-5-巰基四唑,二胺基甲基三嗪,咪唑啉硫酮,4-甲基-4H-1,2,4-三唑-3-硫醇,5-胺基-1,3,4-噻二唑-2-硫醇,苯并噻唑,磷酸三甲苯酯,吲唑,腺嘌呤,胞嘧啶,鳥嘌呤,胸嘧啶,磷酸鹽抑制劑,胺,吡唑,丙硫醇,矽烷,二級胺,苯甲羥肟酸(benzohydroxamic acid),雜環氮抑制劑,檸檬酸,抗壞血酸,硫脲,1,1,3,3-四甲脲,尿素,尿素衍生物,尿酸,乙基黃原酸鉀,甘胺酸,亞胺二乙酸,硼酸,丙二酸,琥珀酸,氮基三乙酸,環丁碸,2,3,5-三甲基吡嗪,2-乙基-3,5-二甲基吡嗪,喹喏啉,乙醯基吡咯,嗒嗪,組胺酸,吡嗪,麩胱甘肽(已還原),半 胱胺酸,胱胺酸,噻吩,巰基吡啶N-氧化物,噻胺HCl,二硫化四乙基雙甲硫羰醯胺,2,5-二巰基-1,3-噻二唑抗壞血酸,抗壞血酸,及其組合。
  8. 如請求項1之清洗組合物,其中該二氧化矽源包含TEOS。
  9. 如請求項1之清洗組合物,其中該至少一蝕刻劑包含氟矽酸銨鹽。
  10. 如請求項1之清洗組合物,其包含至少一有機溶劑、至少一蝕刻劑、至少一螯合劑、二氧化矽源、至少一金屬腐蝕抑制劑及水,其中該或該等有機溶劑相對於該或該等蝕刻劑之重量百分比比率(weight percent ratio)為約5至約8,水相對於該或該等之重量百分比比率為約85至約91,二氧化矽源相對於該或該等蝕刻劑之重量百分比比率為約0.1至約0.5,該或該等螯合劑相對於該或該等蝕刻劑之重量百分比比率為約0.5至約2.5,且該或該等腐蝕抑制劑相對於該或該等蝕刻劑之重量百分比比率為約1至約4。
  11. 如請求項1之清洗組合物,其包含至少一有機溶劑、至少一蝕刻劑、二氧化矽源、至少一金屬腐蝕抑制劑及水,其中該或該等有機溶劑相對於該或該等蝕刻劑之重量百分比比率(weight percent ratio)為約3至約7,水相對於該或該等之重量百分比比率為約88至約93,二氧化矽源相對於該或該等蝕刻劑之重量百分比比率為約0.1至約0.5,且該或該等腐蝕抑制劑相對於該或該等蝕刻劑之重量百分比比率為約1至約4。
  12. 如請求項1之清洗組合物,其中該二氧化矽源包含四烷氧矽烷化合物。
  13. 如請求項1、11或12之清洗組合物,其pH值範圍為約0至約4.5。
  14. 如請求項1之清洗組合物,其中該組合物包含氟矽酸及TEOS。
  15. 一種自微電子設備上移除物質之方法,該物質係位於該微電子設備上方,該方法包含使該微電子設備與水性清洗組合物接觸,歷時足夠的時間以自該微電子設備至少部分地移除該物質,其中該水性清洗組合物包含至少一蝕刻劑、水、二氧化矽源、至少一有機溶劑、及至少一金屬腐蝕抑制劑,其中該至少一蝕刻劑包含選自由以下所組成之群之氟化物種類:氫氟酸,氟矽酸,氟化銨鹽,氟化氫銨鹽,氟矽酸銨鹽,丙二醇/HF,及其組合。
  16. 如請求項15之方法,其中該物質包含電漿蝕刻後殘餘物、TiN或其組合。
  17. 如請求項15之方法,其中該接觸包含選自由以下所組成之群之條件:歷時約1分鐘至約30分鐘,在約40℃至約70℃之溫度下,及其組合。
  18. 如請求項15之方法,其中該微電子設備係選自由以下所組成之群之裝置:半導體基板、平板顯示器及微機電系統(MEMS)。
  19. 如請求項15之方法,其中該微電子設備包含低k介電質層。
  20. 如請求項15之方法,其中該二氧化矽源包含四烷氧矽烷化合物。
  21. 如請求項15之方法,進一步包含在使該微電子設備與該組合物接觸之後,以去離子水沖洗該微電子設備。
  22. 如請求項15之方法,其中該物質包含電漿蝕刻後殘餘物、側壁上的殘餘物或電漿蝕刻後殘餘物及側壁上的殘餘物。
  23. 如請求項15之方法,進一步包含後烘焙步驟以自該微電子設備上移除非揮發性材料。
  24. 如請求項15之方法,進一步包含異丙醇蒸氣乾燥步驟以自該微電子設備上移除非揮發性材料。
TW105139970A 2006-12-21 2007-12-21 用以移除蝕刻後殘餘物之液體清洗劑 TWI611047B (zh)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US87136206P 2006-12-21 2006-12-21
US60/871,362 2006-12-21
US88683007P 2007-01-26 2007-01-26
US60/886,830 2007-01-26
US89530207P 2007-03-16 2007-03-16
US60/895,302 2007-03-16
US94717807P 2007-06-29 2007-06-29
US60/947,178 2007-06-29

Publications (2)

Publication Number Publication Date
TW201710556A TW201710556A (zh) 2017-03-16
TWI611047B true TWI611047B (zh) 2018-01-11

Family

ID=39296041

Family Applications (3)

Application Number Title Priority Date Filing Date
TW105139970A TWI611047B (zh) 2006-12-21 2007-12-21 用以移除蝕刻後殘餘物之液體清洗劑
TW103119145A TWI572746B (zh) 2006-12-21 2007-12-21 用以移除蝕刻後殘餘物之液體清洗劑
TW096149626A TWI449784B (zh) 2006-12-21 2007-12-21 用以移除蝕刻後殘餘物之液體清洗劑

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW103119145A TWI572746B (zh) 2006-12-21 2007-12-21 用以移除蝕刻後殘餘物之液體清洗劑
TW096149626A TWI449784B (zh) 2006-12-21 2007-12-21 用以移除蝕刻後殘餘物之液體清洗劑

Country Status (7)

Country Link
US (1) US20100163788A1 (zh)
EP (1) EP2108039A2 (zh)
JP (1) JP5237300B2 (zh)
KR (3) KR101449774B1 (zh)
SG (2) SG177915A1 (zh)
TW (3) TWI611047B (zh)
WO (1) WO2008080097A2 (zh)

Families Citing this family (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090301996A1 (en) * 2005-11-08 2009-12-10 Advanced Technology Materials, Inc. Formulations for removing cooper-containing post-etch residue from microelectronic devices
US9058975B2 (en) * 2006-06-09 2015-06-16 Lam Research Corporation Cleaning solution formulations for substrates
TWI509690B (zh) 2006-12-21 2015-11-21 Entegris Inc 選擇性移除氮化矽之組合物及方法
KR20100044777A (ko) * 2007-07-26 2010-04-30 미츠비시 가스 가가쿠 가부시키가이샤 세정 방식용 조성물 및 반도체소자 또는 표시소자의 제조 방법
ES2797952T3 (es) * 2007-11-07 2020-12-04 Vitech Int Inc Compuestos de tetrafluoroborato, composiciones y métodos de uso relacionados
CN101883688A (zh) * 2007-11-16 2010-11-10 Ekc技术公司 用来从半导体基板除去金属硬掩模蚀刻残余物的组合物
WO2009108474A1 (en) * 2008-02-29 2009-09-03 Mallinckrodt Baker, Inc. Microelectronic substrate cleaning compositions
WO2010019722A2 (en) * 2008-08-13 2010-02-18 Intermolecular, Inc. Combinatorial approach to the development of cleaning formulations for glue removal in semiconductor applications
JP2010087258A (ja) * 2008-09-30 2010-04-15 Fujifilm Corp 半導体基板表面用洗浄剤及びそれを用いた半導体デバイスの洗浄方法
CN102197124B (zh) * 2008-10-21 2013-12-18 高级技术材料公司 铜清洁及保护调配物
US8361237B2 (en) * 2008-12-17 2013-01-29 Air Products And Chemicals, Inc. Wet clean compositions for CoWP and porous dielectrics
EP2226374B1 (en) 2009-03-06 2012-05-16 S.O.I. TEC Silicon Etching composition, in particular for silicon materials, method for characterizing defects of such materials and process of treating such surfaces with etching composition
KR101668126B1 (ko) * 2009-04-17 2016-10-20 나가세케무텍쿠스가부시키가이샤 포토레지스트 박리제 조성물 및 포토레지스트 박리 방법
JP5646882B2 (ja) * 2009-09-30 2014-12-24 富士フイルム株式会社 洗浄組成物、洗浄方法、及び半導体装置の製造方法
US20110146724A1 (en) * 2009-12-19 2011-06-23 Mr. WAI MUN LEE Photoresist stripping solutions
KR101114502B1 (ko) * 2010-06-28 2012-02-24 램테크놀러지 주식회사 세정용 조성물 및 이를 이용한 반도체 패턴의 형성방법
JP2013533631A (ja) * 2010-07-16 2013-08-22 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド エッチング後残渣を除去するための水性洗浄剤
TW201716588A (zh) 2010-08-20 2017-05-16 恩特葛瑞斯股份有限公司 從電子廢棄物再生貴金屬和卑金屬之永續製程
SG10201508015RA (en) 2010-10-06 2015-10-29 Entegris Inc Composition and process for selectively etching metal nitrides
US9416338B2 (en) 2010-10-13 2016-08-16 Advanced Technology Materials, Inc. Composition for and method of suppressing titanium nitride corrosion
EP2460860A1 (de) * 2010-12-02 2012-06-06 Basf Se Verwendung von Mischungen zur Entfernung von Polyurethanen von Metalloberflächen
CN103168092A (zh) * 2010-12-16 2013-06-19 克兹恩公司 用于去除焊剂的清洗剂
KR101925272B1 (ko) * 2011-03-21 2019-02-27 바스프 에스이 질소-무함유 수성 세정 조성물, 이의 제조 및 용도
KR20120138290A (ko) * 2011-06-14 2012-12-26 삼성디스플레이 주식회사 식각액 조성물, 및 이를 이용한 금속 배선과 박막 트랜지스터 기판 형성 방법
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
WO2013052809A1 (en) 2011-10-05 2013-04-11 Avantor Performance Materials, Inc. Microelectronic substrate cleaning compositions having copper/azole polymer inhibition
KR101428143B1 (ko) * 2011-10-13 2014-08-08 주식회사 포스코 내식성이 우수한 코팅용 아연분말, 아연분말의 제조방법 및 아연분말 코팅강판
CN104145324B (zh) 2011-12-28 2017-12-22 恩特格里斯公司 用于选择性蚀刻氮化钛的组合物和方法
WO2013123317A1 (en) 2012-02-15 2013-08-22 Advanced Technology Materials, Inc. Post-cmp removal using compositions and method of use
TW201406932A (zh) 2012-05-18 2014-02-16 Advanced Tech Materials 用於自包含氮化鈦之表面脫除光阻劑之組成物及方法
CN104662643B (zh) 2012-06-13 2016-03-30 三菱瓦斯化学株式会社 清洗用液态组合物、半导体元件的清洗方法、以及半导体元件的制造方法
US9688912B2 (en) * 2012-07-27 2017-06-27 Fujifilm Corporation Etching method, and etching liquid to be used therein and method of producing a semiconductor substrate product using the same
KR102002131B1 (ko) * 2012-08-03 2019-07-22 삼성디스플레이 주식회사 식각액 조성물 및 이를 이용한 박막 트랜지스터 제조 방법
US9536730B2 (en) 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations
JP2014103179A (ja) * 2012-11-16 2014-06-05 Fujifilm Corp 半導体基板のエッチング液、これを用いたエッチング方法及び半導体素子の製造方法
WO2014089196A1 (en) 2012-12-05 2014-06-12 Advanced Technology Materials, Inc. Compositions for cleaning iii-v semiconductor materials and methods of using same
US20150144160A1 (en) * 2012-12-13 2015-05-28 Beijing Sevenstar Electronics Co., Ltd. Etchant, preparation thereof and method of using the same in the cleaning process
US9102901B2 (en) 2012-12-20 2015-08-11 Rohm And Haas Electronic Materials Llc Methods and compositions for removal of metal hardmasks
EP2964725B1 (en) * 2013-03-04 2021-06-23 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
CN104102028A (zh) * 2013-04-10 2014-10-15 第一毛织株式会社 表面处理氧化铟锌基板的有机溶液及显示基板制备方法
JP6198672B2 (ja) * 2013-05-02 2017-09-20 富士フイルム株式会社 エッチング方法、これに用いるエッチング液およびエッチング液のキット、ならびに半導体基板製品の製造方法
KR102338550B1 (ko) 2013-06-06 2021-12-14 엔테그리스, 아이엔씨. 질화 티타늄의 선택적인 에칭을 위한 조성물 및 방법
EP3027709A4 (en) 2013-07-31 2017-03-29 Entegris, Inc. AQUEOUS FORMULATIONS FOR REMOVING METAL HARD MASK AND POST-ETCH RESIDUE WITH Cu/W COMPATIBILITY
KR102091543B1 (ko) * 2013-08-01 2020-03-23 동우 화인켐 주식회사 망상형 고분자 용해용 조성물
SG11201601158VA (en) 2013-08-30 2016-03-30 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
US20150104952A1 (en) * 2013-10-11 2015-04-16 Ekc Technology, Inc. Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper
EP3060642B1 (en) * 2013-10-21 2019-11-06 FujiFilm Electronic Materials USA, Inc. Cleaning formulations for removing residues on surfaces
US9562211B2 (en) 2013-12-06 2017-02-07 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
JP6707451B2 (ja) * 2013-12-11 2020-06-10 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 表面の残留物を除去するための洗浄配合物
TWI654340B (zh) * 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
WO2015095726A1 (en) 2013-12-20 2015-06-25 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US9472420B2 (en) * 2013-12-20 2016-10-18 Air Products And Chemicals, Inc. Composition for titanium nitride hard mask and etch residue removal
WO2015103146A1 (en) 2013-12-31 2015-07-09 Advanced Technology Materials, Inc. Formulations to selectively etch silicon and germanium
KR102152909B1 (ko) * 2013-12-31 2020-09-07 세메스 주식회사 기판처리방법
TWI642763B (zh) * 2014-01-27 2018-12-01 三菱瓦斯化學股份有限公司 氮化鈦除去用液體組成物、利用該液體組成物之半導體元件之洗滌方法、及半導體元件之製造方法
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
US10170296B2 (en) 2014-05-13 2019-01-01 Basf Se TiN pull-back and cleaning composition
US11978622B2 (en) 2014-06-30 2024-05-07 Entegris, Inc. Aqueous and semi-aqueous cleaners for the removal of post-etch residues with tungsten and cobalt compatibility
US9868902B2 (en) 2014-07-17 2018-01-16 Soulbrain Co., Ltd. Composition for etching
SG11201700692RA (en) 2014-08-08 2017-03-30 Toray Industries Adhesive for temporary bonding, adhesive layer, method for manufacturing wafer work piece and semiconductor device using same, rework solvent, polyimide copolymer, polyimide mixed resin, and resin composition
KR102265414B1 (ko) * 2014-09-22 2021-06-15 동우 화인켐 주식회사 금속막용 세정제 조성물
KR102296739B1 (ko) * 2014-10-27 2021-09-01 삼성전자 주식회사 포토마스크용 세정 조성물을 이용한 집적회로 소자 제조 방법
DE102014222834A1 (de) 2014-11-10 2016-05-12 Henkel Ag & Co. Kgaa Wasch- und Reinigungsmittel mit verbesserter Leistung
KR102347596B1 (ko) * 2015-06-26 2022-01-06 동우 화인켐 주식회사 잔류물 제거를 위한 수성 세정제 조성물
TWI818893B (zh) 2015-07-14 2023-10-21 美商富士軟片電子材料美國股份有限公司 清潔組成物及其使用方法
JP6761166B2 (ja) * 2015-07-23 2020-09-23 セントラル硝子株式会社 ウェットエッチング方法及びエッチング液
US9740094B2 (en) 2015-08-21 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Damage prevention on EUV mask
TWI705132B (zh) * 2015-10-08 2020-09-21 日商三菱瓦斯化學股份有限公司 半導體元件之洗淨用液體組成物、半導體元件之洗淨方法及半導體元件之製造方法
CN108779419B (zh) * 2015-12-25 2021-04-20 荒川化学工业株式会社 电子材料用清洗剂组合物、清洗剂原液和电子材料的清洗方法
JP6681750B2 (ja) * 2016-03-04 2020-04-15 東京応化工業株式会社 洗浄液及び洗浄方法
KR101856149B1 (ko) * 2016-04-06 2018-06-20 케이피엑스케미칼 주식회사 세정액 조성물, 및 그 세정액 조성물을 사용하는 반도체 소자의 제조방법 및 반도체 소자
KR101833219B1 (ko) * 2016-08-05 2018-04-13 주식회사 케이씨텍 텅스텐 베리어층 연마용 슬러리 조성물
WO2018061582A1 (ja) * 2016-09-29 2018-04-05 富士フイルム株式会社 処理液および積層体の処理方法
WO2018125673A2 (en) * 2016-12-28 2018-07-05 Invensas Bonding Technologies, Inc Processing stacked substrates
KR20210090294A (ko) * 2017-01-18 2021-07-19 엔테그리스, 아이엔씨. 표면으로부터 세리아 입자를 제거하기 위한 조성물 및 방법
WO2018180256A1 (ja) * 2017-03-31 2018-10-04 関東化學株式会社 洗浄液組成物
CN107012471B (zh) * 2017-05-04 2019-11-12 太仓沪试试剂有限公司 一种管道清洁剂及其应用
WO2019040394A1 (en) * 2017-08-22 2019-02-28 Fujifilm Electronic Materials U.S.A., Inc. CLEANING COMPOSITIONS
WO2019044463A1 (ja) * 2017-08-31 2019-03-07 富士フイルム株式会社 処理液、キット、基板の洗浄方法
KR20200058428A (ko) 2017-10-10 2020-05-27 미쯔비시 케미컬 주식회사 세정액, 세정 방법 및 반도체 웨이퍼의 제조 방법
US10961487B2 (en) 2017-11-30 2021-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device cleaning solution, method of use, and method of manufacture
JP7330972B2 (ja) * 2017-12-08 2023-08-22 ビーエーエスエフ ソシエタス・ヨーロピア 半導体基板からエッチング後または灰化後の残留物を除去するための洗浄剤組成物、およびそれに対応する製造方法
CN109976110A (zh) * 2017-12-27 2019-07-05 安集微电子(上海)有限公司 一种清洗液
IL277275B2 (en) 2018-03-28 2023-11-01 Fujifilm Electronic Mat Usa Inc cleaning products
US12024693B2 (en) 2018-04-04 2024-07-02 Basf Se Imidazolidinethione-containing compositions for post-ash residue removal and/or for oxidative etching of a layer or mask comprising TiN
KR102067164B1 (ko) * 2018-05-11 2020-01-16 삼성엔지니어링 주식회사 불산폐수 재이용 방법 및 불산폐수 재이용장치
CN110713868A (zh) * 2018-07-13 2020-01-21 巴斯夫欧洲公司 可移除氮化钛的蚀刻后残渣清理溶液
US10952430B2 (en) 2019-02-06 2021-03-23 Virox Technologies Inc. Shelf-stable antimicrobial compositions
JP7274919B2 (ja) * 2019-04-11 2023-05-17 東京応化工業株式会社 洗浄液、及び金属レジストを備えた支持体の洗浄方法
JP2022534057A (ja) 2019-05-23 2022-07-27 ビーエーエスエフ ソシエタス・ヨーロピア 低k値の材料、銅、コバルト、および/またはタングステンの層が存在する状態で、ハードマスクおよび/またはエッチング停止層を選択的にエッチングするための組成物および方法
CN110273159A (zh) * 2019-07-02 2019-09-24 宜兴市中大凯水处理有限公司 一种新型高温缓蚀剂及其制备方法
KR102308898B1 (ko) * 2020-02-27 2021-10-05 권영선 송배전 설비용 애자의 세정을 위한 친환경성 애자 세정제 및 상기 친환경성 애자 세정제의 희석 장치
KR102192954B1 (ko) 2020-03-26 2020-12-18 동우 화인켐 주식회사 고분자 세정용 조성물
KR20220083186A (ko) * 2020-12-11 2022-06-20 동우 화인켐 주식회사 고분자 처리용 공정액
KR20230127692A (ko) 2022-02-25 2023-09-01 동우 화인켐 주식회사 금속 산화막 박리액 조성물
CN115418642B (zh) * 2022-08-23 2023-06-02 湖北兴福电子材料股份有限公司 一种铜钼蚀刻液及其制备方法
CN115207167B (zh) * 2022-09-16 2022-11-22 英利能源发展有限公司 一种硅抛光面的清洗方法
KR102558255B1 (ko) * 2022-10-06 2023-07-20 윤새길 요소수 백화현상 세정 첨가제 및 그 제조방법

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004094581A1 (en) * 2003-04-18 2004-11-04 Ekc Technology, Inc. Aqueous fluoride compositions for cleaning semiconductor devices

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3123438A (en) * 1964-03-03 Process for the production of ammonium
US3296124A (en) * 1964-10-23 1967-01-03 Sidney M Heins Process for preparing a useful water from a natural salt water and recovering values contained therein
US4178211A (en) * 1977-03-03 1979-12-11 Ethyl Corporation Process for producing citric acid
US5279771A (en) * 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
JP3160344B2 (ja) * 1991-01-25 2001-04-25 アシュランド インコーポレーテッド 有機ストリッピング組成物
US5320709A (en) * 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
US5421906A (en) * 1993-04-05 1995-06-06 Enclean Environmental Services Group, Inc. Methods for removal of contaminants from surfaces
US6129773A (en) * 1993-07-16 2000-10-10 Killick; Robert William Fuel blends
US6326130B1 (en) * 1993-10-07 2001-12-04 Mallinckrodt Baker, Inc. Photoresist strippers containing reducing agents to reduce metal corrosion
US5453659A (en) * 1994-06-10 1995-09-26 Texas Instruments Incorporated Anode plate for flat panel display having integrated getter
US5571447A (en) * 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
US7534752B2 (en) * 1996-07-03 2009-05-19 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US5698503A (en) * 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
US6896826B2 (en) * 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6755989B2 (en) * 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6224785B1 (en) * 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
AU7833698A (en) * 1997-06-09 1998-12-30 Bridge Pharma, Inc. Compounds with combined antihistaminic and mast cell stabilizing activities, intended for ophthalmic use
US5856491A (en) * 1997-08-09 1999-01-05 Aristech Chemical Corp. Method of making teritiary hindered amines
US6280651B1 (en) * 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) * 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
JP4226216B2 (ja) * 1998-05-18 2009-02-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 半導体基板用の剥離用組成物
US6875733B1 (en) * 1998-10-14 2005-04-05 Advanced Technology Materials, Inc. Ammonium borate containing compositions for stripping residues from semiconductor substrates
US6207596B1 (en) * 1998-11-09 2001-03-27 The Procter & Gamble Company Disposable premoistened wipe containing an antimicrobial protease inhibitor
US6623535B1 (en) * 1999-07-02 2003-09-23 Horst Kief Fuel additive for reduction of pollutant emissions
US6344432B1 (en) * 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
KR100400030B1 (ko) * 2000-06-05 2003-09-29 삼성전자주식회사 금속막의 화학 및 기계적 연마용 슬러리 및 그 제조방법과상기 슬러리를 이용한 반도체 소자의 금속 배선 형성 방법
WO2002027771A1 (fr) * 2000-09-28 2002-04-04 Shin-Etsu Quartz Products Co., Ltd. Support de verre de silice destine a la production de semi-conducteurs et procede de production de ce support
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6566315B2 (en) * 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
MY143399A (en) 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
US6773873B2 (en) * 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
AU2003240827A1 (en) * 2002-06-07 2003-12-22 Mallinckrodt Baker Inc. Cleaning compositions for microelectronic substrates
CN102061228B (zh) * 2002-06-07 2013-02-13 安万托特性材料股份有限公司 包含氧化剂和有机溶剂的微电子清洁组合物
US6849200B2 (en) * 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US8236485B2 (en) * 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
US7160807B2 (en) * 2003-06-30 2007-01-09 Cabot Microelectronics Corporation CMP of noble metals
US7193027B2 (en) * 2003-08-08 2007-03-20 General Electric Company Functionalized silicone resins, methods for their preparation, and use as catalysts
EP1702886A4 (en) * 2003-09-11 2011-02-16 Taiyo Kagaku Kk POROUS SILICA WHICH CARRYS A SUBSTANCE
KR20060115896A (ko) * 2003-12-02 2006-11-10 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 레지스트, barc 및 갭 필 재료 스트리핑 케미칼 및방법
US20060021974A1 (en) * 2004-01-29 2006-02-02 Applied Materials, Inc. Method and composition for polishing a substrate
JP2005232559A (ja) * 2004-02-23 2005-09-02 Meltex Inc チタン剥離液
US8338087B2 (en) * 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US20060063687A1 (en) * 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060148666A1 (en) * 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
US20060154186A1 (en) * 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
KR101238471B1 (ko) * 2005-02-25 2013-03-04 이케이씨 테크놀로지, 인코포레이티드 구리 및 저 k 유전체 물질을 갖는 기판으로부터 레지스트,에칭 잔류물 및 구리 산화물을 제거하는 방법
US7381634B2 (en) * 2005-04-13 2008-06-03 Stats Chippac Ltd. Integrated circuit system for bonding
US20070251551A1 (en) * 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
TWI622639B (zh) * 2005-06-07 2018-05-01 恩特葛瑞斯股份有限公司 金屬及介電相容犠牲抗反射塗層清洗及移除組成物
KR20080015027A (ko) * 2005-06-13 2008-02-15 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 규화물 형성 후 금속 또는 금속 합금의 선택적인제거를 위한 조성물 및 방법
KR101444468B1 (ko) * 2005-10-05 2014-10-30 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
EP1949424A2 (en) * 2005-10-05 2008-07-30 Advanced Technology Materials, Inc. Composition and method for selectively etching gate spacer oxide material
KR20080059442A (ko) * 2005-10-13 2008-06-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 상용성 포토레지스트 및/또는 희생 반사방지 코팅제거 조성물
WO2007111694A2 (en) * 2005-11-09 2007-10-04 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
TW200734448A (en) * 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US8025811B2 (en) * 2006-03-29 2011-09-27 Intel Corporation Composition for etching a metal hard mask material in semiconductor processing
US20080125342A1 (en) * 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
TWI509690B (zh) * 2006-12-21 2015-11-21 Entegris Inc 選擇性移除氮化矽之組合物及方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004094581A1 (en) * 2003-04-18 2004-11-04 Ekc Technology, Inc. Aqueous fluoride compositions for cleaning semiconductor devices

Also Published As

Publication number Publication date
WO2008080097A2 (en) 2008-07-03
KR101449774B1 (ko) 2014-10-14
TWI572746B (zh) 2017-03-01
KR20090096728A (ko) 2009-09-14
KR101636996B1 (ko) 2016-07-07
SG10201610631UA (en) 2017-02-27
TWI449784B (zh) 2014-08-21
TW201435143A (zh) 2014-09-16
KR20160085902A (ko) 2016-07-18
WO2008080097A3 (en) 2008-10-09
EP2108039A2 (en) 2009-10-14
JP5237300B2 (ja) 2013-07-17
SG177915A1 (en) 2012-02-28
TW200846462A (en) 2008-12-01
JP2010515246A (ja) 2010-05-06
TW201710556A (zh) 2017-03-16
US20100163788A1 (en) 2010-07-01
KR20140074966A (ko) 2014-06-18

Similar Documents

Publication Publication Date Title
TWI611047B (zh) 用以移除蝕刻後殘餘物之液體清洗劑
US9063431B2 (en) Aqueous cleaner for the removal of post-etch residues
KR102405063B1 (ko) 텅스텐 및 코발트 상용성을 갖는 에치후 잔류물을 제거하기 위한 수성 및 반-수성 세정제
TWI516574B (zh) 金屬及介電相容犠牲抗反射塗層清洗及移除組成物
TWI638244B (zh) 用來移除表面殘餘物的清洗調配物
US20090301996A1 (en) Formulations for removing cooper-containing post-etch residue from microelectronic devices
TW201610102A (zh) 具有金屬、電介質及氮化物相容性之抗反射塗層清洗及蝕刻後殘留物移除組成物
KR20160097201A (ko) 표면 잔류물 제거용 세정 제형
TWI718742B (zh) 化學機械研磨後(post cmp)清潔組合物