JP2010515246A - エッチング後残留物を除去するための液体洗浄剤 - Google Patents

エッチング後残留物を除去するための液体洗浄剤 Download PDF

Info

Publication number
JP2010515246A
JP2010515246A JP2009543273A JP2009543273A JP2010515246A JP 2010515246 A JP2010515246 A JP 2010515246A JP 2009543273 A JP2009543273 A JP 2009543273A JP 2009543273 A JP2009543273 A JP 2009543273A JP 2010515246 A JP2010515246 A JP 2010515246A
Authority
JP
Japan
Prior art keywords
optionally
residue
etchant
acid
cleaning composition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009543273A
Other languages
English (en)
Other versions
JP5237300B2 (ja
Inventor
ヴィシンティン,パメラ,エム.
ジアン,ピン
ビー. コルゼンスキー,マイケル
ミンセク,デービッド,ダブリュー.
アイ. クーパー,エマニュエル
スウ,ミン−アン
フレチャー,クリスティン,エー.
Original Assignee
アドバンスド テクノロジー マテリアルズ,インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アドバンスド テクノロジー マテリアルズ,インコーポレイテッド filed Critical アドバンスド テクノロジー マテリアルズ,インコーポレイテッド
Publication of JP2010515246A publication Critical patent/JP2010515246A/ja
Application granted granted Critical
Publication of JP5237300B2 publication Critical patent/JP5237300B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/10Etching, surface-brightening or pickling compositions containing an inorganic acid containing a boron compound
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/38Cationic compounds
    • C11D1/62Quaternary ammonium compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/042Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/24Organic compounds containing halogen
    • C11D3/245Organic compounds containing halogen containing fluorine
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/28Organic compounds containing halogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)

Abstract

プラズマエッチング後残留物をその上に有するマイクロ電子デバイスから前記残留物を洗浄するための洗浄組成物および方法。組成物は、チタン含有、銅含有、タングステン含有、および/またはコバルト含有のエッチング後残留物を含む残留材料の、マイクロ電子デバイスからの非常に有効な洗浄を達成するが、同時に、マイクロ電子デバイス上に同様に存在する層間誘電体、金属相互接続材料、および/またはキャッピング層に損傷を与えない。さらに、組成物は、窒化チタン層をその上に有するマイクロ電子デバイスからそれを除去するためにも有用であり得る。

Description

発明の分野
本発明は、マイクロ電子デバイスからエッチング後残留物(チタン含有、銅含有および/またはタングステン含有のエッチング後残留物を含む)を除去するための組成物、ならびにその製造および使用方法に関する。
関連技術の説明
半導体回路内の相互接続回路は、絶縁性誘電材料に包囲された導電性金属回路からなる。かつては、誘電材料としてテトラエチルオルトシリケート(TEOS)から蒸着されたシリケートガラスが広く使用され、金属相互接続のためにはアルミニウム合金が使用された。より速い処理速度への要求によって、TEOSおよびアルミニウム合金をより高性能の材料で代替すると共に、回路素子の小型化がもたらされた。アルミニウム合金は、銅の導電率がより高いために、銅または銅合金で代替された。TEOSおよびフッ素化シリケートガラス(FSG)は、有機ポリマー、有機/無機複合材料、有機シリケートガラス(OSG)、および炭素ドープ酸化物(CDO)ガラスなどの低極性材料を含むいわゆる低誘電率(low-k)誘電体によって代替された。これらの材料に多孔性、すなわち空気で満たされた細孔を取り込むと、材料の誘電率はさらに低下する。
集積回路のデュアルダマシン加工の間、デバイスウェハ上にパターンを画像形成するためにフォトリソグラフィーが使用される。フォトリソグラフィー技術は、コーティング工程、露光工程および現像工程を含む。ウェハはポジ型またはネガ型フォトレジスト物質でコーティングされ、続いて、その後の工程で保持または除去すべきパターンを画定するマスクで被覆される。マスクは適切に位置決めされた後、それを通して、紫外線(UV)光または深UV(DUV)光(約250nmまたは193nm)などの単色放射ビームに当てられ、露光したフォトレジスト材料は選択されたすすぎ溶液中での溶解性が増大または減少される。次に、可溶性のフォトレジスト材料が除去、すなわち「現像」され、マスクと同一のパターンが残される。
その後、気相プラズマエッチングを使用して、現像されたフォトレジストコーティングのパターンを下側の層(ハードマスク層、層間誘電体(ILD)層、および/またはエッチング停止層を含み得る)に転写する。プラズマエッチング後残留物は、通常、バック・エンド・オブ・ザ・ライン(back-end-of-the-line、BEOL)構造体上に付着し、除去しなければ、次のケイ素化またはコンタクト形成を妨害し得る。プラズマエッチング後残留物は、通常、基板上およびプラズマガス中に存在する化学元素を含む。例えば、TiNハードマスクが例えばILD上のキャッピング層として使用される場合、プラズマエッチング後残留物はチタン含有種を含み、これらは従来の湿式洗浄化学を用いて除去するのが困難である。さらに、従来の洗浄化学は、多くの場合、ILDに損傷を与え、ILDの細孔内に吸収されることによって誘電率を増大させ、そして/あるいは金属構造を腐食する。例えば、緩衝化されたフッ化物および溶媒に基づく化学は、Ti含有残留物を完全に除去することができず、ヒドロキシルアミン含有およびアンモニア過酸化物の化学は銅を腐食する。
チタン含有プラズマエッチング後残留物の望ましい除去に加えて、パターン形成されたデバイスの側壁上の高分子残留物、デバイスのオープンビア構造中の銅含有残留物、およびタングステン含有残留物などのプラズマエッチング後の工程中に付着される付加的な材料も好ましくは除去される。これまで、残留材料の全てをうまく除去するが、同時に、ILD、他の低誘電率誘電材料、および金属相互接続材料と適合性である湿式洗浄組成物は1つもなかった。
低誘電率誘電体などの新たな材料をマイクロ電子デバイスに組み込むと、洗浄性能に対する新しい要求が生じる。同時に、デバイス寸法の縮小は、限界寸法の変化およびデバイス要素への損傷に対する許容範囲を低減する。エッチング条件は、新たな材料の要求を満たすように変更され得る。同様に、プラズマエッチング後洗浄組成物も変更されなければならない。重要なのは、洗浄剤が下側にある誘電材料を損傷したり、あるいは、デバイス上の金属相互接続材料、例えば、銅、タングステン、コバルト、アルミニウム、ルテニウム、チタン、ならびにこれらの窒化物およびシリサイドを腐食したりしてはならないことである。
そのために、本発明の目的は、チタン含有残留物、高分子側壁残留物、銅含有ビア残留物、タングステン含有残留物、および/またはコバルト含有残留物を含むがこれらに限定されないプラズマエッチング後残留物を、マイクロ電子デバイスから有効に除去するための改善された組成物を提供することであり、前記組成物は、ILD、金属相互接続材料、および/またはキャッピング層と適合性である。
発明の概要
本発明は、一般に、洗浄組成物ならびにその製造および使用方法に関する。本発明の1つの態様は、プラズマエッチング後残留物をその上に有するマイクロ電子デバイスから前記残留物を洗浄するが、同時に、マイクロ電子デバイス表面上の金属およびILD材料を傷つけない組成物および方法に関する。
1つの態様では、本発明は、少なくとも1種のエッチャントと、少なくとも1種のキレート剤と、水と、場合により少なくとも1種の有機溶媒と、場合により少なくとも1種の腐食防止剤と、場合により少なくとも1種の低誘電率不動態化剤と、場合により少なくとも1種の界面活性剤と、場合によりシリカ源とを含む水性洗浄組成物に関し、前記水性洗浄組成物は、材料をその上に有するマイクロ電子デバイスから前記材料を洗浄するために適切である。材料は、プラズマエッチング後残留物、TiN層、CMP後残留物、およびこれらの組み合わせを含み得る。
もう1つの態様では、本発明は、フルオロケイ酸と、少なくとも1種のキレート剤と、水とを含む水性洗浄組成物に関し、水の量は組成物の全重量を基準として約75重量%未満であり、前記水性洗浄組成物は、プラズマエッチング後残留物をその上に有するマイクロ電子デバイスから前記残留物を洗浄するために適切である。
さらにもう1つの態様では、本発明は、少なくとも1種のエッチャントと、少なくとも1種の金属腐食防止剤と、水と、場合により少なくとも1種の有機溶媒と、場合により少なくとも1種の金属キレート剤と、場合により少なくとも1種の低誘電率不動態化剤と、場合により少なくとも1種の界面活性剤と、場合によりシリカ源とを含む水性洗浄組成物に関し、前記水性洗浄組成物は、材料をその上に有するマイクロ電子デバイスから前記材料を洗浄するために適切である。材料は、プラズマエッチング後残留物、TiN層、CMP後残留物、およびこれらの組み合わせを含み得る。
もう1つの態様では、本発明は、フルオロケイ酸と、少なくとも1種の金属腐食防止剤と、水とを含む水性洗浄組成物に関し、水の量は組成物の全重量を基準として約75重量%未満であり、前記水性洗浄組成物は、プラズマエッチング後残留物をその上に有するマイクロ電子デバイスから前記残留物を洗浄するために適切である。
さらにもう1つの態様では、本発明は、少なくとも1種のエッチャントと、少なくとも1種の有機溶媒と、水と、場合により少なくとも1種の金属腐食防止剤と、場合により少なくとも1種の金属キレート剤と、場合により少なくとも1種の低誘電率不動態化剤と、場合により少なくとも1種の界面活性剤と、場合によりシリカ源とを含む水性洗浄組成物に関し、前記水性洗浄組成物は、材料をその上に有するマイクロ電子デバイスから前記材料を洗浄するために適切である。材料は、プラズマエッチング後残留物、TiN層、CMP後残留物、およびこれらの組み合わせを含み得る。
さらにもう1つの態様では、本発明は、少なくとも1種のエッチャント源と、少なくとも1種の有機溶媒と、少なくとも1種のキレート剤と、少なくとも1種の金属腐食防止剤と、水とを含む水性洗浄組成物に関し、前記水性洗浄組成物は、プラズマエッチング後残留物をその上に有するマイクロ電子デバイスから前記残留物を洗浄するために適切である。
またさらにもう1つの態様では、本発明は、フルオロケイ酸と、少なくとも1種の有機溶媒と、少なくとも1種のキレート剤と、少なくとも1種の金属腐食防止剤と、水とを含む水性洗浄組成物に関し、水の量は組成物の全重量を基準として約75重量%未満であり、前記水性洗浄組成物は、プラズマエッチング後残留物をその上に有するマイクロ電子デバイスから前記残留物を洗浄するために適切である。
本発明のもう1つの態様は、少なくとも1種の有機溶媒と、少なくとも1種のエッチャントと、少なくとも1種のキレート剤と、シリカ源と、少なくとも1種の腐食防止剤と、水とを含む水性洗浄組成物に関し、エッチャントに対する有機溶媒の重量パーセント比は約5〜約8であり、エッチャントに対する水の重量パーセント比は約85〜約91であり、エッチャントに対するシリカ源の重量パーセント比は約0.1〜約0.5であり、エッチャントに対するキレート剤の重量パーセント比は約0.5〜約2.5であり、エッチャントに対する腐食防止剤の重量パーセント比は約1〜約4である。
本発明のさらにもう1つの態様は、少なくとも1種の有機溶媒と、少なくとも1種のエッチャントと、シリカ源と、少なくとも1種の腐食防止剤と、水とを含む水性洗浄組成物に関し、エッチャントに対する有機溶媒の重量パーセント比は約3〜約7であり、エッチャントに対する水の重量パーセント比は約88〜約93であり、エッチャントに対するシリカ源の重量パーセント比は約0.1〜約0.5であり、エッチャントに対する腐食防止剤の重量パーセント比は約1〜約4である。
本発明のもう1つの態様は、少なくとも1種の有機溶媒と、少なくとも1種のエッチャントと、少なくとも1種の腐食防止剤と、水とを含む水性洗浄組成物に関し、エッチャントに対する有機溶媒の重量パーセント比は約60〜約90であり、エッチャントに対する水の重量パーセント比は約2〜約30であり、エッチャントに対する腐食防止剤の重量パーセント比は約0.01〜約0.5である。
本発明のさらにもう1つの態様は、少なくとも1種の有機溶媒と、少なくとも1種の金属キレート剤と、場合により少なくとも1種の界面活性剤と、場合により少なくとも1種の腐食防止剤と、場合により少なくとも1種の低誘電率不動態化剤と、場合により少なくとも1種のエッチャントと、場合により水とを含む洗浄組成物に関し、前記洗浄組成物は、材料をその上に有するマイクロ電子デバイスから前記残留物を洗浄するために適切である。材料は、プラズマエッチング後残留物、CMP後残留物、およびこれらの組み合わせを含み得る。
さらにもう1つの態様では、本発明は、少なくとも1種の有機溶媒と、少なくとも1種の金属キレート剤と、少なくとも1種の腐食防止剤と、水とを含む洗浄組成物に関し、前記洗浄組成物は、プラズマエッチング後残留物をその上に有するマイクロ電子デバイスから前記残留物を洗浄するために適切である。
さらにもう1つの態様では、本発明は、少なくとも1種の有機溶媒および少なくとも1種の金属キレート剤を含む洗浄組成物に関し、前記洗浄組成物は、プラズマエッチング後残留物をその上に有するマイクロ電子デバイスから前記残留物を洗浄するために適切である。
さらにもう1つの態様では、本発明は、少なくとも1種の有機溶媒および少なくとも1種の金属キレート剤からなる洗浄組成物に関し、前記洗浄組成物は、プラズマエッチング後残留物をその上に有するマイクロ電子デバイスから前記残留物を洗浄するために適切である。
本発明のもう1つの態様は、水性洗浄組成物を形成するために以下の試薬の1つまたは複数を1つまたは複数の容器内に含むキットに関し、前記1つまたは複数の試薬は、少なくとも1種のエッチャント、水、場合により少なくとも1種の低誘電率不動態化剤、場合により少なくとも1種の界面活性剤、および場合によりシリカ源からなる群から選択され、前記組成物はさらに、成分(I)、(II)または(III):
(I)少なくとも1種のキレート剤、場合により少なくとも1種の有機溶媒、および場合により少なくとも1種の腐食防止剤、
(II)少なくとも1種の金属腐食防止剤、場合により少なくとも1種の有機溶媒、および場合により少なくとも1種の金属キレート剤、または
(III)少なくとも1種の有機溶媒、場合により少なくとも1種のキレート剤、および場合により少なくとも1種の腐食防止剤、
を含むことを特徴とし、キットは、プラズマエッチング後残留物をその上に有するマイクロ電子デバイスから前記残留物を洗浄するために適切である水性洗浄組成物を形成するように適合される。
本発明のさらにもう1つの態様は、洗浄組成物を形成するために以下の試薬の1つまたは複数を1つまたは複数の容器内に含むキットに関し、前記1つまたは複数の試薬は、少なくとも1種の有機溶媒、少なくとも1種の金属キレート剤、場合により少なくとも1種の界面活性剤、場合により少なくとも1種の腐食防止剤、場合により少なくとも1種の低誘電率不動態化剤、場合により少なくとも1種のエッチャント、および場合により水からなる群から選択され、キットは、プラズマエッチング後残留物をその上に有するマイクロ電子デバイスから前記残留物を洗浄するために適切である水性洗浄組成物を形成するように適合される。
本発明のさらにもう1つの態様は、プラズマエッチング後残留物をその上に有するマイクロ電子デバイスから前記残留物を除去する方法に関し、前記方法は、マイクロ電子デバイスから前記残留物を少なくとも部分的に除去するのに十分な時間、マイクロ電子デバイスを水性洗浄組成物と接触させることを含み、水性洗浄組成物は、少なくとも1種のエッチャント、少なくとも1種のキレート剤、および水、場合により少なくとも1種の有機溶媒、場合により少なくとも1種の腐食防止剤、場合により少なくとも1種の低誘電率不動態化剤、場合により少なくとも1種の界面活性剤、および場合によりシリカ源を含む。
本発明のさらにもう1つの態様は、プラズマエッチング後残留物をその上に有するマイクロ電子デバイスから前記残留物を除去する方法に関し、前記方法は、マイクロ電子デバイスから前記残留物を少なくとも部分的に除去するのに十分な時間、マイクロ電子デバイスを水性洗浄組成物と接触させることを含み、水性洗浄組成物は、少なくとも1種のエッチャント、少なくとも1種の金属腐食防止剤、および水、場合により少なくとも1種の有機溶媒、場合により少なくとも1種の金属キレート剤、場合により少なくとも1種の低誘電率不動態化剤、場合により少なくとも1種の界面活性剤、および場合によりシリカ源を含む。
本発明のもう1つの態様は、プラズマエッチング後残留物をその上に有するマイクロ電子デバイスから前記残留物を除去する方法に関し、前記方法は、マイクロ電子デバイスから前記残留物を少なくとも部分的に除去するのに十分な時間、マイクロ電子デバイスを水性洗浄組成物と接触させることを含み、水性洗浄組成物は、少なくとも1種のエッチャント、少なくとも1種の有機溶媒、および水、場合により少なくとも1種のキレート剤、場合により少なくとも1種の腐食防止剤、場合により少なくとも1種の低誘電率不動態化剤、場合により少なくとも1種の界面活性剤、および場合によりシリカ源を含む。
本発明のもう1つの態様は、プラズマエッチング後残留物をその上に有するマイクロ電子デバイスから前記残留物を除去する方法に関し、前記方法は、マイクロ電子デバイスから前記残留物を少なくとも部分的に除去するのに十分な時間、マイクロ電子デバイスを水性洗浄組成物と接触させることを含み、水性洗浄組成物は、少なくとも1種のエッチャント源、少なくとも1種の有機溶媒、少なくとも1種のキレート剤、少なくとも1種の金属腐食防止剤、および水を含む。
本発明のさらなる態様は、プラズマエッチング後残留物をその上に有するマイクロ電子デバイスから前記残留物を除去する方法に関し、前記方法は、マイクロ電子デバイスから前記残留物を少なくとも部分的に除去するのに十分な時間、マイクロ電子デバイスを洗浄組成物と接触させることを含み、洗浄組成物は、少なくとも1種の有機溶媒、少なくとも1種の金属キレート剤、場合により少なくとも1種の界面活性剤、場合により少なくとも1種の腐食防止剤、場合により少なくとも1種の低誘電率不動態化剤、場合により少なくとも1種のエッチャント、および場合により水を含む。
さらにもう1つの態様では、本発明は、プラズマエッチング後残留物をその上に有するマイクロ電子デバイスから前記残留物を除去する方法に関し、前記方法は、マイクロ電子デバイスから前記残留物を少なくとも部分的に除去するのに十分な時間、マイクロ電子デバイスを洗浄組成物と接触させることを含み、洗浄組成物は、少なくとも1種の有機溶媒および少なくとも1種のキレート剤を含む。
本発明のもう1つの態様は、本発明の水性洗浄組成物、マイクロ電子デバイス、およびプラズマエッチング後残留物を含む製造品に関する。
さらなる態様では、本発明はマイクロ電子デバイスの製造方法に関し、前記方法は、プラズマエッチング後残留物をその上に有するマイクロ電子デバイスから前記残留物を少なくとも部分的に除去するのに十分な時間、マイクロ電子デバイスを本発明の水性洗浄組成物と接触させることを含む。
本発明のもう1つの態様は、本発明の洗浄組成物、超低誘電率誘電体層を含むマイクロ電子デバイス、およびプラズマエッチング後残留物を含む製造品に関する。
さらなる態様では、本発明はマイクロ電子デバイスの製造方法に関し、前記方法は、プラズマエッチング後残留物をその上に有するマイクロ電子デバイスから前記残留物を少なくとも部分的に除去するのに十分な時間、マイクロ電子デバイスを本発明の洗浄組成物と接触させることを含む。
本発明のもう1つの態様は、TiOF結晶をその上に有するマイクロ電子デバイスからTiOF結晶を除去する方法に関し、前記方法は、マイクロ電子デバイスから前記TiOF結晶を少なくとも部分的に除去するのに十分な時間、マイクロ電子デバイスを水性洗浄組成物と接触させることを含み、水性洗浄組成物は、少なくとも1種の有機溶媒、少なくとも1種のエッチャント、シリカ源、少なくとも1種のタングステン腐食防止剤、および水を含む。
本発明のさらにもう1つの態様は、本明細書に記載される方法および/または組成物を用いてプラズマエッチング後残留物をその上に有するマイクロ電子デバイスから前記残留物を洗浄し、そして場合によりマイクロ電子デバイスを製品に組み込むことを含む本発明の方法を用いて製造された、改善されたマイクロ電子デバイスおよびそれを組み込んだ製品に関する。
本発明の他の態様、特徴および利点は、次の開示および特許請求の範囲からより十分に明らかであろう。
本発明の配合物Aによるウェハ洗浄の前後のブランケット化ULKウェハのFTIRスペクトルである。 本発明の配合物Bによるウェハ洗浄の前後のブランケット化ULKウェハのFTIRスペクトルである。 配合物AB中に浸漬する前のブランケット化CoWPウェハの顕微鏡写真である。 配合物AB中に50℃で2時間浸漬した後のブランケット化CoWPウェハの顕微鏡写真である。
発明の詳細な説明およびその好ましい実施形態
本発明は、残留物、好ましくはエッチング後残留物、より好ましくはチタン含有エッチング後残留物、高分子側壁残留物、銅含有ビアおよびライン残留物、ならびに/またはタングステン含有エッチング後残留物を、前記残留物をその上に有するマイクロ電子デバイスから除去するための組成物に関し、前記組成物は、好ましくは、マイクロ電子デバイス表面上の、超低誘電率(ULK)ILD材料、例えばOSGおよび多孔質CDOなど、金属相互接続材料、例えば銅およびタングステン、ハードマスクキャッピング層、例えばTiN、ならびにコバルトキャッピング層、例えばCoWPと適合性である。さらに、本発明は、残留物、好ましくはエッチング後残留物、より好ましくはチタン含有エッチング後残留物、高分子側壁残留物、銅含有ビアおよびライン残留物、タングステン含有エッチング後残留物、ならびに/またはコバルト含有エッチング後残留物を、前記残留物をその上に有するマイクロ電子デバイスから、組成物を用いて除去する方法に関し、前記組成物は、好ましくは、マイクロ電子デバイス表面上の超低誘電率(ULK)ILD材料、金属相互接続材料、およびキャッピング層と適合性である。
参照を容易にするため、「マイクロ電子デバイス」は、マイクロエレクトロニクス、集積回路、またはコンピューターチップの用途で使用するために製造された、半導体基板、フラットパネルディスプレイ、およびマイクロエレクトロメカニカルシステム(MEMS)に相当する。「マイクロ電子デバイス」という用語が決して限定であることを意味せず、最終的にマイクロ電子デバイスまたはマイクロ電子アセンブリになり得る基板はどれも含まれることは理解されるべきである。特に、マイクロ電子デバイス基板は、パターン形成された基板、ブランケット化基板、および/または試験基板でよい。
本明細書において使用される「エッチング後残留物」および「プラズマエッチング後残留物」は、気相プラズマエッチング加工、例えばBEOLデュアルダマシン加工の後に残存する材料に相当する。エッチング後残留物は、本質的に、有機、有機金属、有機ケイ素、または無機でよく、例えば、ケイ素含有材料、チタン含有材料、窒素含有材料、酸素含有材料、高分子残留材料、銅含有残留材料(酸化銅残留物を含む)、タングステン含有残留材料、コバルト含有残留材料、塩素およびフッ素などのエッチングガス残留物、ならびにこれらの組み合わせであり得る。
本明細書における定義では、「低誘電率誘電材料」およびULKは、層状マイクロ電子デバイスにおいて誘電材料として使用されるどの材料にも相当し、この材料は、約3.5未満の誘電率を有する。好ましくは、低誘電率誘電材料には、ケイ素含有有機ポリマー、ケイ素含有有機/無機複合材料、有機シリケートガラス(OSG)、TEOS、フッ素化シリケートガラス(FSG)、二酸化ケイ素、および炭素ドープ酸化物(CDO)ガラスなどの低極性材料が含まれる。最も好ましくは、低誘電率誘電材料は、オルガノシランおよび/またはオルガノシロキサン前駆体を用いて付着される。低誘電率誘電材料が、様々な密度および様々な多孔性を有し得ることは認識されるべきである。
本明細書における定義では、「高分子側壁残留物」という用語は、プラズマエッチング後の工程の後に、パターン形成されたデバイスの側壁上に残存する残留物に相当する。残留物は実質的に事実上高分子であるが、側壁残留物中には、無機種、例えばチタン、ケイ素、タングステン、コバルトおよび/または銅を含有する種も同様に存在し得ることは認識されるべきである。
本明細書における使用では、「約」は、記載される値の±5%に相当することが意図される。
本明細書における使用では、エッチング後残留物をその上に有するマイクロ電子デバイスから前記残留物を洗浄するための「適切性」は、マイクロ電子デバイスからの前記残留物の少なくとも部分的な除去に相当する。好ましくは、除去すべき1種または複数の材料の少なくとも約90%、より好ましくは1種または複数の材料の少なくとも95%、そして最も好ましくは1種または複数の材料の少なくとも99%がマイクロ電子デバイスから除去される。
本明細書において使用される「キャッピング層」は、プラズマエッチング工程中に誘電材料および/または金属材料(例えば、コバルト)を保護するためにこれらの上に付着された材料に相当する。ハードマスクキャッピング層は、従来、ケイ素、窒化ケイ素、酸窒化ケイ素、窒化チタン、酸窒化チタン、チタン、タンタル、窒化タンタル、モリブデン、タングステン、これらの組み合わせ、および他の同様の化合物である。コバルトキャッピング層は、CoWPおよび他のコバルト含有材料またはタングステン含有材料を含む。
「実質的に全くない」は、本明細書では、2重量%未満、好ましくは1重量%未満、より好ましくは0.5重量%未満、そして最も好ましくは0.1重量%未満であると定義される。
本明細書における使用では、「半水性」という用語は、水および有機成分の混合物を指す。「非水性」は、実質的に水が全くない組成物を指す。
本発明の組成物は、以下でより詳細に説明されるように、様々な種類の特定の配合物で具体化することができる。
このような組成物の全てにおいて、組成物の特定の成分が0の下限を含む重量パーセント範囲と関連して議論される場合、組成物の種々の特定の実施形態にこのような成分が存在しても存在しなくてもよく、そしてこのような成分が、存在する場合には、このような成分が使用される組成物の全重量を基準として、0.001重量パーセントという低い濃度で存在し得ることは理解されるであろう。
チタン含有エッチング後残留材料は、従来技術のアンモニア含有組成物を用いて除去するのが困難であることが知られている。本発明者らは、アンモニアおよび/または強塩基(例えば、NaOH、KOHなど)が実質的に全くなく、好ましくは酸化剤が実質的に全くなく、チタン含有残留物をその上に有するマイクロ電子デバイス表面からそれを効率的および選択的に除去する洗浄組成物を発見した。さらに、組成物は、下側のILD、金属相互接続材料、例えば、Cu、Al、CoおよびW、ならびに/またはキャッピング層に実質的に損傷を与えることなく、高分子側壁残留物、銅含有残留物、コバルト含有残留物、および/またはタングステン含有残留物を実質的に除去し得る。さらに、組成物は、トレンチまたはビアのどちらが最初にエッチングされたか(すなわち、トレンチファーストまたはビアファーストスキーム)に関係なく使用することができる。重要なのは、所望される場合には、本発明のいくつかの組成物が、TiN層を有効にエッチングすることである。
第1の態様では、本発明の洗浄組成物は水性または半水性であり、プラズマエッチング後残留物をその上に有するマイクロ電子デバイス表面からそれを除去するために、少なくとも1種のエッチャント源、少なくとも1種の金属キレート剤、水、場合により少なくとも1種の有機溶媒、場合により少なくとも1種の腐食防止剤、場合により少なくとも1種の低誘電率不動態化剤、場合により少なくとも1種の界面活性剤、および場合によりシリカ源を含む。ここで、プラズマエッチング後残留物は、チタン含有残留物、高分子残留物、銅含有残留物、タングステン含有残留物、コバルト含有残留物、およびこれらの組み合わせからなる群から選択される種を含む。もう1つの実施形態では、本発明の洗浄組成物は、フルオロケイ酸、少なくとも1種の金属キレート剤、および水を含む。またもう1つの実施形態では、本発明の洗浄組成物は、少なくとも1種のエッチャント源、少なくとも1種の金属腐食防止剤、水、場合により少なくとも1種の有機溶媒、場合により少なくとも1種のキレート剤、場合により少なくとも1種の低誘電率不動態化剤、場合により少なくとも1種の界面活性剤、および場合によりシリカ源を含む。さらにもう1つの実施形態では、本発明の洗浄組成物は、フルオロケイ酸、少なくとも1種の金属腐食防止剤、および水を含む。さらにもう1つの実施形態では、本発明の洗浄組成物は、少なくとも1種のエッチャント源、少なくとも1種の有機溶媒、少なくとも1種の金属キレート剤、および水を含む。もう1つの実施形態では、本発明の洗浄組成物は、フルオロケイ酸、少なくとも1種の有機溶媒、少なくとも1種の金属キレート剤、および水を含む。さらにもう1つの実施形態では、本発明の洗浄組成物は、少なくとも1種のエッチャント源、少なくとも1種の有機溶媒、少なくとも1種の金属腐食防止剤、および水を含む。またもう1つの実施形態では、本発明の洗浄組成物は、フルオロケイ酸、少なくとも1種の有機溶媒、少なくとも1種の金属腐食防止剤、および水を含む。もう1つの実施形態では、本発明の洗浄組成物は、少なくとも1種のエッチャント源、少なくとも1種の有機溶媒、少なくとも1種の金属キレート剤、少なくとも1種の金属腐食防止剤、および水を含む。さらにもう1つの実施形態では、本発明の洗浄組成物は、フルオロケイ酸、少なくとも1種の有機溶媒、少なくとも1種の金属キレート剤、少なくとも1種の金属腐食防止剤、および水を含む。各実施形態において、少なくとも1種の界面活性剤が添加されてもよい。さらにもう1つの実施形態では、本発明の洗浄組成物は、少なくとも1種のエッチャント源、少なくとも1種の有機溶媒、少なくとも1種の金属キレート剤、少なくとも1種の金属腐食防止剤、溶解シリカ、および水を含む。さらにもう1つの実施形態では、本発明の洗浄組成物は、フルオロケイ酸、少なくとも1種の有機溶媒、少なくとも1種の金属キレート剤、少なくとも1種の金属腐食防止剤、溶解シリカ、および水を含む。さらにもう1つの実施形態では、本発明の洗浄組成物は、少なくとも1種のエッチャント源、少なくとも1種の有機溶媒、少なくとも1種の金属腐食防止剤、溶解シリカ、および水を含む。さらにもう1つの実施形態では、本発明の洗浄組成物は、フルオロケイ酸、少なくとも1種の有機溶媒、少なくとも1種の金属腐食防止剤、溶解シリカ、および水を含む。もう1つの実施形態では、本発明の洗浄組成物は、少なくとも1種のエッチャント、少なくとも1種の有機溶媒、少なくとも1種の低誘電率不動態化剤、少なくとも1種の腐食防止剤および水を含む。またもう1つの実施形態では、本発明の洗浄組成物は、少なくとも1種のエッチャント、少なくとも1種の有機溶媒、水、場合により少なくとも1種のキレート剤、場合により少なくとも1種の腐食防止剤、場合により少なくとも1種の低誘電率不動態化剤、場合により少なくとも1種の界面活性剤、および場合により少なくとも1種のシリカ源を含む。
第1の態様の1つの実施形態では、本発明は、チタン含有残留物、高分子残留物、銅含有残留物、タングステン含有残留物、コバルト含有残留物、およびこれらの組み合わせからなる群から選択されるプラズマエッチング後残留物を洗浄するための水性組成物に関し、前記組成物は、組成物の全重量を基準として以下の範囲で存在する、少なくとも1種のエッチャント源、少なくとも1種のキレート剤、水、場合により少なくとも1種の有機溶媒、場合により少なくとも1種の金属腐食防止剤、場合により少なくとも1種の低誘電率不動態化剤、場合によりシリカ源、および場合により少なくとも1種の界面活性剤を含む。
Figure 2010515246
第1の態様のもう1つの実施形態では、本発明は、チタン含有残留物、高分子残留物、銅含有残留物、タングステン含有残留物、コバルト含有残留物、およびこれらの組み合わせからなる群から選択されるプラズマエッチング後残留物を洗浄するための水性組成物に関し、前記組成物は、組成物の全重量を基準として以下の範囲で存在する、少なくとも1種のエッチャント源、少なくとも1種の腐食防止剤、水、場合により少なくとも1種の有機溶媒、場合により少なくとも1種のキレート剤、場合により少なくとも1種の低誘電率不動態化剤、場合によりシリカ源、および場合により少なくとも1種の界面活性剤を含む。
Figure 2010515246
第1の態様のさらにもう1つの実施形態では、本発明は、チタン含有残留物、高分子残留物、銅含有残留物、タングステン含有残留物、コバルト含有残留物、およびこれらの組み合わせからなる群から選択されるプラズマエッチング後残留物を洗浄するための水性組成物に関し、前記組成物は、組成物の全重量を基準として以下の範囲で存在する、少なくとも1種のエッチャント源、少なくとも1種の有機溶媒、水、場合により少なくとも1種の腐食防止剤、場合により少なくとも1種のキレート剤、場合により少なくとも1種の低誘電率不動態化剤、場合によりシリカ源、および場合により少なくとも1種の界面活性剤を含む。
Figure 2010515246
特に、少なくとも1種のエッチャントの重量パーセントは「純粋な(neat)」エッチャントを含むか、あるいはエッチャントに対するプロピレングリコールの重量比に関係なくプロピレングリコール/エッチャント混合物の量を含む。洗浄組成物中のエッチャントの重量パーセントが、洗浄組成物に添加されるPG/エッチャント成分の重量パーセントよりも少ないことは当業者によって認識されるべきである。例えば、0.5重量%のPG/HF(96:4)混合物を含む洗浄組成物中のHFの重量パーセントは、実際は、0.02重量%である。
本発明の広範な実施では、第1の態様の洗浄組成物は、(i)少なくとも1種のエッチャント源、少なくとも1種の金属キレート剤、および水、(ii)フルオロケイ酸、少なくとも1種の金属キレート剤、および水、(iii)少なくとも1種のエッチャント源、少なくとも1種の金属腐食防止剤、および水、(iv)フルオロケイ酸、少なくとも1種の金属腐食防止剤、および水、(v)少なくとも1種のエッチャント源、少なくとも1種の有機溶媒、少なくとも1種の金属キレート剤、および水、(vi)フルオロケイ酸、少なくとも1種の有機溶媒、少なくとも1種の金属キレート剤、および水、(vii)少なくとも1種のエッチャント源、少なくとも1種の有機溶媒、少なくとも1種の金属腐食防止剤、および水、(viii)フルオロケイ酸、少なくとも1種の有機溶媒、少なくとも1種の金属腐食防止剤、および水、(ix)少なくとも1種のエッチャント源、少なくとも1種の有機溶媒、少なくとも1種の金属キレート剤、少なくとも1種の金属腐食防止剤、および水、(x)フルオロケイ酸、少なくとも1種の有機溶媒、少なくとも1種の金属キレート剤、少なくとも1種の金属腐食防止剤、および水、(xi)少なくとも1種のエッチャント源、少なくとも1種の有機溶媒、少なくとも1種の金属キレート剤、少なくとも1種の金属腐食防止剤、溶解シリカ、および水、(xii)フルオロケイ酸、少なくとも1種の有機溶媒、少なくとも1種の金属キレート剤、少なくとも1種の金属腐食防止剤、溶解シリカ、および水、(xiii)少なくとも1種のエッチャント源、少なくとも1種の有機溶媒、少なくとも1種の金属腐食防止剤、溶解シリカ、および水、(xiv)フルオロケイ酸、少なくとも1種の有機溶媒、少なくとも1種の金属腐食防止剤、溶解シリカ、および水、(xv)少なくとも1種のエッチャント、少なくとも1種の有機溶媒、少なくとも1種の低誘電率不動態化剤、少なくとも1種の腐食防止剤および水、あるいは(xvi)少なくとも1種のエッチャント、少なくとも1種の有機溶媒、および水、を含む、それらからなる、またはそれらから本質的になることができる。
水は溶媒として役立つように含有され、残留物、例えば水溶性の酸化銅残留物の溶解を助ける。水は好ましくは脱イオン化される。
本発明の好ましい実施形態では、第1の態様の水性洗浄組成物は、実質的に、過酸化物含有化合物および硝酸などの酸化剤が全くない。もう1つの好ましい実施形態では、第1の態様の水性洗浄組成物は、洗浄すべき基板との接触の前に、実質的に研磨材料が全くない。
第1の態様の水性洗浄組成物のpH範囲は約0〜約5であり、好ましくは約0〜約4.5、そして最も好ましくは約0〜約2.5である。
エッチャント源はエッチング後残留物種の粉砕および可溶化を助け、ポリマー側壁残留物の除去およびTiNハードマスクの若干のエッチングに役立つ。本明細書において意図されるエッチャント源としては、フッ化水素酸(HF)、フルオロケイ酸(HSiF)、フルオロホウ酸、フルオロケイ酸アンモニウム塩((NHSiF)、ヘキサフルオロリン酸テトラメチルアンモニウム、フッ化アンモニウム塩、重フッ化アンモニウム塩、テトラフルオロホウ酸テトラブチルアンモニウム(TBA−BF)、約90:10〜約99:1、好ましくは約93:7〜約98:2の重量比のプロピレングリコール/HF、約75:25〜約95:5、好ましくは約80:20〜約90:10の重量比のプロピレングリコール/フッ化テトラアルキルアンモニウム(ここで、アルキル基は互いに同じでも異なっていてもよく、直鎖または分枝状C〜Cアルキル基(例えば、メチル、エチル、プロピル、ブチル、ペンチル、ヘキシル)からなる群から選択される)、約75:25〜約95:5、好ましくは約80:20〜約90:10の重量比のプロピレングリコール/フッ化テトラブチルアンモニウム、約75:25〜約95:5、好ましくは約80:20〜約90:10の重量比のプロピレングリコール/フッ化ベンジルトリメチルアンモニウム、およびこれらの組み合わせが挙げられるが、これらに限定されない。好ましくは、エッチャント源は、フルオロケイ酸、プロピレングリコール/HF混合物、TBA−BF、およびこれらの組み合わせを含む。銅含有層との適合性が重要である場合、水性洗浄組成物のpHがより高い(例えば、約2〜約4の範囲、より好ましくは約3)ように、従って銅含有層とより適合性であるように、フルオロケイ酸アンモニウムを使用することができる。
有機溶媒は有機残留物の浸透/膨潤および/または溶解を助け、マイクロ電子デバイス構造の表面を湿潤させて残留物の除去を容易にし、残留物の再付着を防止し、そして/あるいは下側の材料、例えばULKを不動態化する。本明細書において意図される有機溶媒としては、アルコール、エーテル、ピロリジノン、グリコール、アミン、およびグリコールエーテルが挙げられるがこれらに限定されず、例えば、メタノール、エタノール、イソプロパノール、ブタノール、および高級アルコール(C〜CジオールおよびC〜Cトリオールなど)、ハロゲン化アルコール(3−クロロ−1,2−プロパンジオール、3−クロロ−1−プロパンチオール、1−クロロ−2−プロパノール、2−クロロ−1−プロパノール、3−クロロ−1−プロパノール、3−ブロモ−1,2−プロパンジオール、1−ブロモ−2−プロパノール、3−ブロモ−1−プロパノール、3−ヨード−1−プロパノール、4−クロロ−1−ブタノール、2−クロロエタノールなど)、ジクロロメタン、クロロホルム、酢酸、プロピオン酸、トリフルオロ酢酸、テトラヒドロフラン(THF)、N−メチルピロリジノン(NMP)、シクロヘキシルピロリジノン、N−オクチルピロリジノン、N−フェニルピロリジノン、メチルジエタノールアミン、ギ酸メチル、ジメチルホルムアミド(DMF)、ジメチルスルホキシド(DMSO)、テトラメチレンスルホン(スルホラン)、ジエチルエーテル、フェノキシ−2−プロパノール(PPh)、プロプリオフェノン、乳酸エチル、酢酸エチル、安息香酸エチル、アセトニトリル、アセトン、エチレングリコール、プロピレングリコール(PG)、1,3−プロパンジオール、1,4−プロパンジオール、ジオキサン、ブチリルラクトン、ブチレンカルボナート、エチレンカルボナート、プロピレンカルボナート、ジプロピレングリコール、ジエチレングリコールモノメチルエーテル、トリエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、トリエチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノブチルエーテル、ジエチレングリコールモノブチルエーテル(すなわち、ブチルカルビトール)、トリエチレングリコールモノブチルエーテル、エチレングリコールモノヘキシルエーテル、ジエチレングリコールモノヘキシルエーテル、エチレングリコールフェニルエーテル、プロピレングリコールメチルエーテル、ジプロピレングリコールメチルエーテル(DPGME)、トリプロピレングリコールメチルエーテル(TPGME)、ジプロピレングリコールジメチルエーテル、ジプロピレングリコールエチルエーテル、プロピレングリコールn−プロピルエーテル、ジプロピレングリコールn−プロピルエーテル(DPGPE)、トリプロピレングリコールn−プロピルエーテル、プロピレングリコールn−ブチルエーテル、ジプロピレングリコールn−ブチルエーテル、トリプロピレングリコールn−ブチルエーテル、プロピレングリコールフェニルエーテル、およびこれらの組み合わせが含まれるが、これらに限定されない。さらに、有機溶媒は、他の両親媒性種、すなわち界面活性剤と同様に親水性部分および疎水性部分の両方を含有する種を含むことができる。通常疎水性部分は、炭化水素またはフルオロカーボン基からなる分子基を含有することによって付与され、通常親水性部分は、イオン性官能基または非帯電極性官能基のいずれかを含有することによって付与され得る。好ましくは、有機溶媒は、トリプロピレングリコールメチルエーテル(TPGME)、ジプロピレングリコールメチルエーテル(DPGME)、プロピレングリコール、ガンマ−ブチロラクトン、およびこれらの組み合わせを含む。存在する場合、組成物は、組成物の全重量を基準として少なくとも0.01重量%の有機溶媒を含む。
金属腐食防止剤は、金属、例えば、銅、タングステン、および/またはコバルトの相互接続金属の過剰エッチングを除去する働きをする。適切な腐食防止剤としては、アゾール、例えば、ベンゾトリアゾール(BTA)、1,2,4−トリアゾール(TAZ)、5−アミノテトラゾール(ATA)、1−ヒドロキシベンゾトリアゾール、5−アミノ−1,3,4−チアジアゾール−2−チオール、3−アミノ−1H−1,2,4トリアゾール、3,5−ジアミノ−1,2,4−トリアゾール、トリルトリアゾール、5−フェニル−ベンゾトリアゾール、5−ニトロ−ベンゾトリアゾール、3−アミノ−5−メルカプト−1,2,4−トリアゾール、1−アミノ−1,2,4−トリアゾール、2−(5−アミノ−ペンチル)−ベンゾトリアゾール、1−アミノ−1,2,3−トリアゾール、1−アミノ−5−メチル−1,2,3−トリアゾール、3−メルカプト−1,2,4−トリアゾール、3−イソプロピル−1,2,4−トリアゾール、5−フェニルチオール−ベンゾトリアゾール、ハロ−ベンゾトリアゾール(ハロ=F、Cl、BrまたはI)、ナフトトリアゾール、1H−テトラゾール−5−酢酸、2−メルカプトベンゾチアゾール(2−MBT)、1−フェニル−2−テトラゾリン−5−チオン、2−メルカプトベンゾイミダゾール(2−MBI)、4−メチル−2−フェニルイミダゾール、2−メルカプトチアゾリン、2,4−ジアミノ−6−メチル−1,3,5−トリアジン、チアゾール、イミダゾール、ベンゾイミダゾール、トリアジン、メチルテトラゾール、ビスムチオールI、1,3−ジメチル−2−イミダゾリジノン、1,5−ペンタメチレンテトラゾール、1−フェニル−5−メルカプトテトラゾール、ジアミノメチルトリアジン、イミダゾリンチオン、4−メチル−4H−1,2,4−トリアゾール−3−チオール、5−アミノ−1,3,4−チアジアゾール−2−チオール、ベンゾチアゾール、リン酸トリトリル、インダゾール、DNA塩基(例えば、アデニン、シトシン、グアニン、チミン)、リン酸阻害剤、アミン、ピラゾール、イミノ二酢酸(IDA)、プロパンチオール、シラン、第2級アミン、ベンゾヒドロキサム酸、複素環式窒素阻害剤、クエン酸、アスコルビン酸、チオ尿素、1,1,3,3−テトラメチル尿素、尿素、尿素誘導体、尿酸、エチルキサントゲン酸カリウム、グリシン、およびこれらの混合物が挙げられるが、これらに限定されない。ジカルボン酸、例えばシュウ酸、マロン酸、コハク酸、ニトリロ三酢酸、およびこれらの組み合わせも有用な銅不動態化剤種である。アゾールは銅表面に化学的に吸着し、不溶性の酸化第一銅表面錯体を形成することが一般に認められている。好ましくは、腐食防止剤は、アスコルビン酸、イミノ二酢酸(IDA)、およびベンゾトリアゾール(BTA)を含む。存在する場合、組成物は、組成物の全重量を基準として少なくとも0.01重量%の腐食防止剤を含む。
キレート剤の含有は、エッチング後残留物種中の酸化された銅および/またはタングステン金属をキレートし、そして/あるいはTiNおよび/またはチタン含有残留物と反応する働きをする。適切なキレート剤としては、フッ素化β−ジケトンキレート剤(例えば1,1,1,5,5,5−ヘキサフルオロ−2,4−ペンタンジオン(hfacH)、1,1,1−トリフルオロ−2,4−ペンタンジオン(tfac)、およびアセチルアセトナート(acac)など)、イミノ二酢酸、ピラゾラート、アミジナート、グアニジナート、ケトイミン、ジエン、ポリアミン、エチレンジアミン四酢酸(EDTA)、1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸(CDTA)、エチドロン酸、メタンスルホン酸、塩酸、酢酸、アセチルアセトン、アルキルアミン、アリールアミン、グリコールアミン、アルカノールアミン、トリアゾール、チアゾール、テトラゾール、イミダゾール、1,4−ベンゾキノン、8−ヒドロキシキノリン、サリチリデンアニリン、テトラクロロ−1,4−ベンゾキノン、2−(2−ヒドロキシフェニル)−ベンゾオキサゾール、2−(2−ヒドロキシフェニル)−ベンゾチアゾール、ヒドロキシキノリンスルホン酸(HQSA)、スルホサリチル酸(SSA)、サリチル酸(SA)、ハロゲン化(例えば、フッ化、塩化、臭化、ヨウ化)テトラメチルアンモニウム、ならびにアミンおよびアミン−N−オキシドが挙げられるがこれらに限定されず、例えば、ピリジン、2−エチルピリジン、2−メトキシピリジンおよびその誘導体、例えば3−メトキシピリジン、2−ピコリン、ピリジン誘導体、ジメチルピリジン、ピペリジン、ピペラジン、トリエチルアミン、トリエタノールアミン、エチルアミン、メチルアミン、イソブチルアミン、tert−ブチルアミン、トリブチルアミン、ジプロピルアミン、ジメチルアミン、ジグリコールアミン、モノエタノールアミン、メチルジエタノールアミン、ピロール、イソオキサゾール、1,2,4−トリアゾール、ビピリジン、ピリミジン、ピラジン、ピリダジン、キノリン、イソキノリン、インドール、イミダゾール、N−メチルモルホリン−N−オキシド(NMMO)、トリメチルアミン−N−オキシド、トリエチルアミン−N−オキシド、ピリジン−N−オキシド、N−エチルモルホリン−N−オキシド、N−メチルピロリジン−N−オキシド、N−エチルピロリジン−N−オキシド、1−メチルイミダゾール、ジイソプロピルアミン、ジイソブチルアミン、アニリン、アニリン誘導体、ペンタメチルジエチレントリアミン(PMDETA)、および上記のいずれかの組み合わせが含まれるが、これらに限定されない。好ましくは、キレート剤は、メタンスルホン酸、塩酸、PMDETA、およびこれらの組み合わせである。存在する場合、組成物は、組成物の全重量を基準として少なくとも0.01重量%のキレート剤を含む。
本発明の第1の態様の組成物は、場合によりさらに、残留物の除去を助け、表面を湿潤させ、そして/あるいは残留物の再付着を防止するために界面活性剤を含んでもよい。実例となる界面活性剤としては、両性塩、カチオン性界面活性剤、アニオン性界面活性剤、フルオロアルキル界面活性剤、SURFONYL(登録商標)104、TRITON(登録商標)CF-21、ZONYL(登録商標)UR、ZONYL(登録商標)FSO-100、ZONYL(登録商標)FSN-100、3M Fluoradフルオロ界面活性剤(すなわち、FC-4430およびFC-4432)、ジオクチルスルホコハク酸塩、2,3−ジメルカプト−1−プロパンスルホン酸塩、ドデシルベンゼンスルホン酸、ポリエチレングリコール、ポリプロピレングリコール、ポリエチレンまたはポリプロピレングリコールエーテル、カルボン酸塩、Rベンゼンスルホン酸またはその塩(ここで、Rは直鎖または分枝状C〜C18アルキル基である)、両親媒性フルオロポリマー、ポリエチレングリコール、ポリプロピレングリコール、ポリエチレンまたはポリプロピレングリコールエーテル、カルボン酸塩、ドデシルベンゼンスルホン酸、ポリアクリレートポリマー、ジノニルフェニルポリオキシエチレン、シリコーンまたは変性シリコーンポリマー、アセチレンジオールまたは変性アセチレンジオール、アルキルアンモニウムまたは変性アルキルアンモニウム塩、および上記の界面活性剤の少なくとも1つを含む組み合わせ、ドデシル硫酸ナトリウム、両性イオン界面活性剤、エアロゾル−OT(AOT)およびそのフッ素化類似体、アルキルアンモニウム、ペルフルオロポリエーテル界面活性剤、2−スルホコハク酸塩、リン酸ベースの界面活性剤、硫黄ベースの界面活性剤、ならびにアセト酢酸ベースのポリマーが挙げられるが、これらに限定されない。存在する場合、組成物は、組成物の全重量を基準として少なくとも0.01重量%の界面活性剤を含む。
本発明の第1の態様の組成物は、さらにシリカ源を含んでもよい。驚くことに、高いTiN:ULKの選択性は、エッチャントおよび溶解シリカを含む水性組成物を用いて獲得され得ることが発見された。シリカは、微細シリカ粉末として、あるいはTEOSなどのテトラアルコキシシランとして、好ましくは約4:1〜約5:1のエッチャント対シリカ源の比率で組成物に添加することができる。特に好ましい実施形態では、エッチャント源はフルオロケイ酸であり、シリカ源はTEOSである。好ましい実施形態はさらに、組成物中のシリカ源の溶解を容易にするためにグリコールベースの溶媒を含む。存在する場合、組成物は、組成物の全重量を基準として少なくとも0.01重量%のシリカを含む。
低誘電率層の化学的な攻撃を低減し、さらなる酸化からウェハを保護するために低誘電率不動態化剤が含有されてもよい。ホウ酸は現在好ましい低誘電率不動態化剤であるが、例えば、3−ヒドロキシ−2−ナフトエ酸、マロン酸、イミノ二酢酸、およびこれらの混合物などの他のヒドロキシル添加剤もこのような目的のために有利に使用され得る。好ましくは、低誘電率不動態化剤はイミノ二酢酸を含む。存在する場合、組成物は、組成物の全重量を基準として少なくとも0.01重量%の低誘電率不動態化剤を含む。好ましくは、下側の低誘電率材料の全重量を基準として、下側の低誘電率材料の2重量%未満、より好ましくは1重量%未満、最も好ましくは0.5重量%未満が、本発明の除去組成物を用いてエッチング/除去される。
種々の好ましい実施形態では、本発明の第1の態様の水性洗浄組成物は以下の配合物A〜Sで配合され、全ての割合は、配合物の全重量を基準とした重量によるものである。
配合物A: フルオロケイ酸:1.2重量%、ブチルカルビトール:15.0重量%、水:72.8重量%、アスコルビン酸:1.0重量%、メタンスルホン酸:10.0重量%
配合物B: フルオロケイ酸:1.2重量%、ブチルカルビトール:15.0重量%、水:72.8重量%、アスコルビン酸:1.0重量%、酢酸:10.0重量%
配合物C: フルオロケイ酸:1.2重量%、水:87.8重量%、ZONYL FSO−100:0.5重量%、アスコルビン酸:0.5重量%、酢酸:10.0重量%
配合物D: フルオロケイ酸:0.7重量%、ブチルカルビトール:8.0重量%、水:86.1重量%、アスコルビン酸:0.2重量%、メタンスルホン酸:5.0重量%
配合物E: フルオロケイ酸:0.9重量%、ブチルカルビトール:32.4重量%、水:59.9重量%、アスコルビン酸:0.3重量%、メタンスルホン酸:6.5重量%
配合物F: フルオロケイ酸:0.6重量%、ブチルカルビトール:19.7重量%、水:67.7重量%、アスコルビン酸:0.2重量%、メタンスルホン酸:11.8重量%
配合物G: フルオロケイ酸:0.7重量%、ブチルカルビトール:8.0重量%、水:85.9重量%、アスコルビン酸:0.2重量%、メタンスルホン酸:5.0重量%、塩酸:0.2重量%
配合物H: フルオロケイ酸:0.7重量%、ブチルカルビトール:8.0重量%、水:88.3重量%、アスコルビン酸:0.5重量%、NMMO:2.5重量%
配合物I: 水:88.63重量%、ジ(プロピレングリコール)メチルエーテル:6.75重量%、HSiF:1.01重量%、TEOS:0.29重量%、ペンタメチルジエチレントリアミン:1.20重量%、アスコルビン酸:2.41重量%、pH=3、密度=1.01g/mL
配合物J: 水:91.64重量%、ジ(プロピレングリコール)メチルエーテル:5.00重量%、HSiF:1.01重量%、TEOS:0.35重量%、スルホラン:2.00重量%、pH=1.60、密度=1.01g/mL
配合物K: 3−クロロ−1,2−プロパンジオール:40.00重量%、水:43.40重量%、ホウ酸:1.00重量%、トリプロピレングリコールメチルエーテル:25.00重量%、アスコルビン酸:0.50重量%、TBA−BF:0.10重量%
配合物L: 3−クロロ−1,2−プロパンジオール:40.00重量%、水:35.50重量%、ホウ酸:1.00重量%、トリプロピレングリコールメチルエーテル:20.00重量%、アスコルビン酸:2.00重量%、TBA−BF:0.50重量%、マロン酸:1.00重量%
配合物M: 水:88.97重量%、ジ(プロピレングリコール)メチルエーテル:6.71重量%、HSiF:1.01重量%、TEOS:0.30重量%、アスコルビン酸:2.39重量%、イミノ二酢酸:0.62重量%
配合物N: 水:89.45重量%、ジ(プロピレングリコール)メチルエーテル:6.83重量%、(NHSiF:0.99重量%、TEOS:0.29重量%、アスコルビン酸:2.44重量%、pH=2.9、密度=1.01g/mL
配合物O: 水:79.0重量%、3−クロロ−1,2−プロパンジオール:20.0重量%、Bz TMAF:0.15重量%、プロピレングリコール:0.85重量%、pH=2.7
配合物P: 水:78.7重量%、3−クロロ−1,2−プロパンジオール:20.0重量%、Bz TMAF:0.15重量%、プロピレングリコール:0.85重量%、BTA:0.3重量%、pH=3.5
配合物Q: 水:90.6重量%、3−クロロ−1,2−プロパンジオール:8.0重量%、Bz TMAF:0.2重量%、プロピレングリコール:1.1重量%、BTA:0.1重量%、pH=3.6
配合物R: 水:90.45重量%、3−クロロ−1,2−プロパンジオール:8.0重量%、Bz TMAF:0.19重量%、プロピレングリコール:1.06重量%、BTA:0.3重量%、pH3.5
配合物S: 水:79.50〜79.99重量%、DMSO:20.0重量%、ヘキサフルオロリン酸テトラメチルアンモニウム0.01〜0.5重量%
第1の態様のもう1つの実施形態では、本発明の水性組成物はフルオロケイ酸、少なくとも1種のキレート剤、および水を含み、フルオロケイ酸に対するキレート剤の重量パーセント比は約5〜約20であり、そして水の量は、組成物の全重量を基準として75重量%未満である。特に好ましい実施形態では、キレート剤はメタンスルホン酸を含む。
この態様のもう1つの実施形態では、本発明の第1の態様の水性組成物は、フルオロケイ酸、少なくとも1種の金属腐食防止剤、および水を含み、フルオロケイ酸に対する金属腐食防止剤の重量パーセント比は約0.30〜約0.35または約0.80〜約0.85であり、そして水の量は、組成物の全重量を基準として75重量%未満である。特に好ましい実施形態では、キレート剤はアスコルビン酸を含む。
第1の態様のもう1つの実施形態では、本発明の水性組成物は、フルオロケイ酸、少なくとも1種の有機溶媒、少なくとも1種のキレート剤、少なくとも1種の金属腐食防止剤、および水を含み、フルオロケイ酸に対する有機溶媒の重量パーセント比は約10〜約15であり、フルオロケイ酸に対するキレート剤の重量パーセント比は約5〜約12であり、フルオロケイ酸に対する金属腐食防止剤の重量パーセント比は約0.80〜約0.85であり、そして水の量は、組成物の全重量を基準として75重量%未満である。特に好ましい実施形態では、水性組成物は、フルオロケイ酸、ジエチレングリコールブチルエーテル、およびアスコルビン酸を含む。
第1の態様のもう1つの実施形態では、本発明の水性組成物は、フルオロケイ酸、少なくとも1種の有機溶媒、少なくとも1種のキレート剤、少なくとも1種の金属腐食防止剤、および水を含み、フルオロケイ酸に対する有機溶媒の重量パーセント比は約30〜約38であり、フルオロケイ酸に対するキレート剤の重量パーセント比は約5〜約20であり、フルオロケイ酸に対する金属腐食防止剤の重量パーセント比は約0.30〜約0.35であり、そして水の量は、組成物の全重量を基準として75重量%未満である。特に好ましい実施形態では、水性組成物は、フルオロケイ酸、ジエチレングリコールブチルエーテル、アスコルビン酸、およびメタンスルホン酸を含む。
第1の態様のもう1つの実施形態では、水性組成物は、少なくとも1種の有機溶媒、少なくとも1種のエッチャント、少なくとも1種のキレート剤、シリカ源、少なくとも1種のタングステン腐食防止剤、および水を含む。適切なタングステン腐食防止剤としては、スルホラン、2−メルカプトチアゾリン、2,3,5−トリメチルピラジン、2−エチル−3,5−ジメチルピラジン、キノキサリン、アセチルピロール、ピリダジン、ヒスタジン、ピラジン、グリシン、ベンゾイミダゾール、ベンゾトリアゾール(BTA)、イミノ二酢酸(IDA)、グルタチオン(還元型)、システイン、2−メルカプトベンゾイミダゾール、シスチン、チオフェン、メルカプトピリジンN−オキシド、チアミンHCl、テトラエチルチウラムジスルフィド、1,2,4−トリアゾール、2,5−ジメルカプト−1,3−チアジアゾールアスコルビン酸、アスコルビン酸、およびこれらの組み合わせ、好ましくは、スルホラン、ピラジン、グリシン、ヒスチジン、アスコルビン酸、およびこれらの組み合わせが挙げられるが、これらに限定されない。特に好ましい実施形態では、水性組成物は、少なくとも1種の有機溶媒、エッチャント、少なくとも1種のキレート剤、シリカ源、少なくとも1種のW腐食防止剤および水を含み、エッチャントに対する有機溶媒の重量パーセント比は約5〜約8、好ましくは約6.5〜約7であり、エッチャントに対する水の重量パーセント比は約85〜約91、好ましくは約86〜約89であり、エッチャントに対するシリカ源の重量パーセント比は約0.1〜約0.5、好ましくは約0.25〜約0.35であり、エッチャントに対するキレート剤の重量パーセント比は約0.5〜約2.5、好ましくは約1〜約1.5であり、そしてエッチャントに対するW腐食防止剤の重量パーセント比は約1〜約4、好ましくは約2〜約2.5である。この実施形態の組成物は、実質的にULK、CuまたはWを除去することなくTiNをエッチングするため、あるいはCuまたはWのCMPのために使用することができる。好ましくは、エッチャントはフルオロケイ酸を含む。例えば、1つの実施形態では、水性組成物は、水、ジ(プロピレングリコール)メチルエーテル、ペンタメチルジエチレントリアミン、フルオロケイ酸、TEOSおよびアスコルビン酸を含む、それらからなる、またはそれらから本質的になることができる。
第1の態様のもう1つの実施形態では、水性組成物は、少なくとも1種の有機溶媒、少なくとも1種のエッチャント、シリカ源、少なくとも1種のタングステン腐食防止剤、および水を含む。特に好ましい実施形態では、水性組成物は、少なくとも1種の有機溶媒、エッチャント、シリカ源、少なくとも1種のW腐食防止剤および水を含み、エッチャントに対する有機溶媒の重量パーセント比は約3〜約7、好ましくは約4.5〜約7であり、エッチャントに対する水の重量パーセント比は約88〜約93、好ましくは約90〜約91であり、エッチャントに対するシリカ源の重量パーセント比は約0.1〜約0.5、好ましくは約0.25〜約0.35であり、エッチャントに対するW腐食防止剤の重量パーセント比は約1〜約4、好ましくは約2〜約2.5である。この実施形態の組成物は、実質的にULK、CuまたはWを除去することなくTiNをエッチングするため、あるいはCuまたはWのCMPのために使用することができる。好ましくは、エッチャントはフルオロケイ酸を含む。例えば、1つの実施形態では、水性組成物は、水、ジ(プロピレングリコール)メチルエーテル、フルオロケイ酸、TEOSおよびスルホランを含む、それらからなる、またはそれらから本質的になることができる。もう1つの実施形態では、水性組成物は、水、ジ(プロピレングリコール)メチルエーテル、フルオロケイ酸アンモニウム、TEOSおよびアスコルビン酸を含む、それらからなる、またはそれらから本質的になることができる。
第1の態様のもう1つの実施形態では、水性組成物は、少なくとも1種のエッチャント、少なくとも1種の有機溶媒、少なくとも1種の低誘電率不動態化剤、少なくとも1種の腐食防止剤および水を含む。特に好ましい実施形態では、水性組成物は、TBA−BF、少なくとも1種の有機溶媒、少なくとも1種の低誘電率不動態化剤、少なくとも1種の腐食防止剤および水を含み、低誘電率不動態化剤に対する有機溶媒の重量パーセント比は約30〜約70、好ましくは約50〜約65であり、低誘電率不動態化剤に対する水の重量パーセント比は約25〜約60、好ましくは35〜約50であり、低誘電率不動態化剤に対する腐食防止剤の重量パーセント比は約0.1〜約5、好ましくは約0.5〜約3であり、そして低誘電率不動態化剤に対するエッチャントの重量パーセント比は約0.01〜約2、好ましくは約0.05〜約1である。
本発明の第1の態様のさらにもう1つの実施形態では、水性組成物は、水、少なくとも1種の有機溶媒、少なくとも1種の腐食防止剤、および少なくとも1種のエッチャントを含む。特に好ましい実施形態では、水性組成物は、水、少なくとも1種の有機溶媒、およびプロピレングリコール/フッ化ベンジルトリメチルアンモニウムエッチャントを含み、PG/フッ化ベンジルトリメチルアンモニウムエッチャントに対する有機溶媒の重量パーセント比は約60〜約90、好ましくは約70〜約80であり、PG/フッ化ベンジルトリメチルアンモニウムエッチャントに対する水の重量パーセント比は約2〜約30、好ましくは15〜約25であり、そしてPG/フッ化ベンジルトリメチルアンモニウムエッチャントに対する腐食防止剤の重量パーセント比は約0.01〜約0.5、好ましくは約0.1〜約0.3である。例えば、1つの実施形態では、水性組成物は、水、3−クロロ−1,2−プロパンジオール、フッ化ベンジルトリメチルアンモニウム:プロピレングリコール、およびベンゾトリアゾールを含む、それらからなる、またはそれらから本質的になることができる。
第1の態様のもう1つの実施形態では、本明細書に記載される水性組成物はさらにプラズマエッチング後残留物を含み、プラズマエッチング後残留物は、チタン含有残留物、高分子残留物、銅含有残留物、タングステン含有残留物、コバルト含有残留物、およびこれらの組み合わせからなる群から選択される残留材料を含む。残留材料は、本発明の水性組成物中に溶解および/または懸濁され得る。
第1の態様のさらにもう1つの実施形態では、本明細書に記載される水性組成物はさらに窒化チタン残留材料を含む。残留材料は、本発明の水性組成物中に溶解および/または懸濁され得る。
本発明の第1の態様の組成物は、パターン形成されたまたはブランケットのタングステン層、銅層および/またはULK層を実質的にエッチングすることなく、TiN、側壁残留物、および/またはエッチング後残留物を選択的に除去するために有用である。水溶液に加えて、本明細書では、泡、霧、臨界未満流体または超臨界流体(すなわち、溶媒は水の代わりにCOなどである)として水性洗浄組成物が配合され得ることも意図される。
第2の態様では、本発明の洗浄組成物は半水性または非水性であり、プラズマエッチング後残留物をその上に有するマイクロ電子デバイスの表面からそれを除去するために、少なくとも1種の有機溶媒、および少なくとも1種の金属キレート剤、場合により少なくとも1種の界面活性剤、場合により少なくとも1種の腐食防止剤、場合により少なくとも1種の低誘電率不動態化剤、場合により少なくとも1種のエッチャント、および場合により水を含み、プラズマエッチング後残留物は、チタン含有残留物、高分子残留物、銅含有残留物、タングステン含有残留物、コバルト含有残留物、およびこれらの組み合わせからなる群から選択される種を含む。もう1つの実施形態では、洗浄組成物は、少なくとも1種の有機溶媒、少なくとも1種の金属キレート剤、および少なくとも1種の腐食防止剤を含む。さらにもう1つの実施形態では、洗浄組成物は、少なくとも1種の有機溶媒、少なくとも1種の金属キレート剤、少なくとも1種の腐食防止剤、および水を含む。もう1つの実施形態では、本発明の洗浄組成物は、少なくとも1種の有機溶媒、少なくとも1種の金属キレート剤、少なくとも1種の腐食防止剤、少なくとも1種の界面活性剤、および水を含む。またもう1つの実施形態では、本発明の洗浄組成物は、少なくとも1種の有機溶媒、少なくとも1種の金属キレート剤、少なくとも1種の腐食防止剤、少なくとも1種の低誘電率不動態化剤、および水を含む。さらにもう1つの実施形態では、本発明の洗浄組成物は、少なくとも1種の有機溶媒、少なくとも1種の金属キレート剤、少なくとも1種の腐食防止剤、少なくとも1種の界面活性剤、少なくとも1種の低誘電率不動態化剤、および水を含む。もう1つの実施形態では、本発明の洗浄組成物は、少なくとも1種の有機溶媒、少なくとも1種の金属キレート剤、および少なくとも1種のエッチャントを含む。もう1つの実施形態では、本発明の洗浄組成物は、少なくとも1種の有機溶媒、少なくとも1種の金属キレート剤、少なくとも1種の腐食防止剤、および少なくとも1種のエッチャントを含む。本発明のこの態様の洗浄組成物は、プラズマエッチング後残留物を除去するが、同時に、マイクロ電子デバイス表面上のコバルトおよびコバルト含有合金、例えば、CoWP、TiN、およびILD材料を含む金属層を傷つけない。
第2の態様の1つの実施形態では、本発明は、チタン含有残留物、高分子残留物、銅含有残留物、タングステン含有残留物、コバルト含有残留物、およびこれらの組み合わせからなる群から選択されるプラズマエッチング後残留物を洗浄するための水性組成物に関し、前記組成物は、組成物の全重量を基準として以下の範囲で存在する、少なくとも1種の有機溶媒、少なくとも1種の金属キレート剤、少なくとも1種の腐食防止剤、水、場合により少なくとも1種の界面活性剤、および場合により少なくとも1種の低誘電率不動態化剤を含む。
Figure 2010515246
第2の態様のもう1つの実施形態では、本発明は、チタン含有残留物、高分子残留物、銅含有残留物、タングステン含有残留物、コバルト含有残留物、およびこれらの組み合わせからなる群から選択されるプラズマエッチング後残留物を洗浄するための非水性組成物に関し、前記組成物は、組成物の全重量を基準として以下の範囲で存在する、少なくとも1種の有機溶媒および少なくとも1種の金属キレート剤を含む。
Figure 2010515246
第2の態様のさらにもう1つの実施形態では、本発明は、チタン含有残留物、高分子残留物、銅含有残留物、タングステン含有残留物、コバルト含有残留物、およびこれらの組み合わせからなる群から選択されるプラズマエッチング後残留物を洗浄するための組成物に関し、前記組成物は、組成物の全重量を基準として以下の範囲で存在する、少なくとも1種の有機溶媒、少なくとも1種の金属キレート剤、および少なくとも1種のエッチャントを含む。
Figure 2010515246
特に、少なくとも1種のエッチャントの重量パーセントは「純粋な」エッチャントを含むか、あるいはエッチャントに対するプロピレングリコールの重量比に関係なくプロピレングリコール/エッチャント混合物の量を含む。洗浄組成物中のエッチャントの重量パーセントが、洗浄組成物に添加されるPG/エッチャント成分の重量パーセントよりも少ないことは当業者によって認識されるべきである。例えば、0.5重量%のPG/HF(96:4)混合物を含む洗浄組成物中のHFの重量パーセントは、実際は、0.02重量%である。
第2の態様のさらにもう1つの実施形態では、本発明は、チタン含有残留物、高分子残留物、銅含有残留物、タングステン含有残留物、コバルト含有残留物、およびこれらの組み合わせからなる群から選択されるプラズマエッチング後残留物を洗浄するための組成物に関し、前記組成物は、組成物の全重量を基準として以下の範囲で存在する、少なくとも1種の有機溶媒、少なくとも1種の金属キレート剤、少なくとも1種の腐食防止剤、および少なくとも1種のエッチャントを含む。
Figure 2010515246
特に、少なくとも1種のエッチャントの重量パーセントは「純粋な」エッチャントを含むか、あるいはエッチャントに対するプロピレングリコールの重量比に関係なくプロピレングリコール/エッチャント混合物の量を含む。洗浄組成物中のエッチャントの重量パーセントが、洗浄組成物に添加されるPG/エッチャント成分の重量パーセントよりも少ないことは当業者によって認識されるべきである。例えば、0.5重量%のPG/HF(96:4)混合物を含む洗浄組成物中のHFの重量パーセントは、実際は、0.02重量%である。
本発明の広範な実施では、洗浄組成物は、(i)少なくとも1種の有機溶媒および少なくとも1種のキレート剤、(ii)少なくとも1種の有機溶媒、少なくとも1種の金属キレート剤、および少なくとも1種の腐食防止剤、(iii)少なくとも1種の有機溶媒、少なくとも1種の金属キレート剤、少なくとも1種の腐食防止剤、および水、(iv)少なくとも1種の有機溶媒、少なくとも1種の金属キレート剤、少なくとも1種の腐食防止剤、および水、(v)少なくとも1種の有機溶媒、少なくとも1種の金属キレート剤、少なくとも1種の腐食防止剤、少なくとも1種の界面活性剤、および水、(vi)少なくとも1種の有機溶媒、少なくとも1種の金属キレート剤、少なくとも1種の腐食防止剤、少なくとも1種の低誘電率不動態化剤、および水、(vii)少なくとも1種の有機溶媒、少なくとも1種の金属キレート剤、少なくとも1種の腐食防止剤、少なくとも1種の界面活性剤、少なくとも1種の低誘電率不動態化剤、および水、(viii)少なくとも1種の有機溶媒、少なくとも1種の金属キレート剤、および少なくとも1種のエッチャント、ならびに(ix)少なくとも1種の有機溶媒、少なくとも1種の金属キレート剤、少なくとも1種の腐食防止剤、および少なくとも1種のエッチャント、を含む、それらからなる、またはそれらから本質的になることができる。
除去組成物の成分の重量パーセント比の範囲は:キレート剤に対する有機溶媒が約0.1〜約20、好ましくは約3.5〜約15、さらにより好ましくは約3.5〜約5であり、キレート剤に対する水(存在する場合)が約0.1〜約50、好ましくは約1〜約25、最も好ましくは約2〜約12であり、キレート剤に対する金属腐食防止剤(存在する場合)が約0.001〜約0.2、好ましくは約0.01〜約0.1であり、キレート剤に対する低誘電率不動態化剤(存在する場合)が約0.001〜約0.2、好ましくは約0.01〜約0.1であり、そしてキレート剤に対するエッチャントまたはPG/エッチャント混合物(存在する場合)が約0.01〜約1、好ましくは約0.025〜約0.35、さらにより好ましくは約0.025〜約0.15である。
本発明のこの態様のための有機溶媒、キレート剤、腐食防止剤、エッチャント、および界面活性剤は上記に既に記載された。好ましくは、溶媒は、トリプロピレングリコールメチルエーテル、プロピレングリコール、ガンマ−ブチルロラクトン(butylrolactone)および/または3−クロロ−1,2−プロパンジオールを含む。好ましくは、キレート剤は、メタンスルホン酸、ジイソプロピルアミン、ペンタメチルジエチレントリアミン、およびこれらの組み合わせを含む。好ましいエッチャントは、PG/HF(96:4)、PG/フッ化テトラブチルアンモニウム(85/15)、TBA−BF、またはこれらの組み合わせを含む。
水は好ましくは脱イオン化される。
種々の好ましい実施形態では、本発明のこの態様の水性洗浄組成物は以下の配合物AA〜AYで配合され、全ての割合は、配合物の全重量を基準とした重量によるものである。
配合物AA: 30.0重量%のジエチレングリコールブチルエーテル、62.87重量%の水、5.63重量%のHCl、1.00重量%のトリエタノールアミン、0.50重量%のアスコルビン酸
配合物AB: 30.0重量%のジエチレングリコールブチルエーテル、54.00重量%の水、10.00重量%のメタンスルホン酸、5.00重量%アセチルアセトン、0.50重量%のイミノ二酢酸、0.50重量%のアスコルビン酸
配合物AC: 30.0重量%のジエチレングリコールブチルエーテル、15.0重量%のジエチレングリコールメチルエーテル、44.00重量%の水、10.00重量%のメタンスルホン酸、0.50重量%のイミノ二酢酸、0.50重量%のアスコルビン酸
配合物AD: 30.0重量%のジエチレングリコールブチルエーテル、15.0重量%のトリプロピレングリコールメチルエーテル、44.00重量%の水、10.00重量%のメタンスルホン酸、0.50重量%のイミノ二酢酸、0.50重量%のアスコルビン酸
配合物AE: 90.0重量%の3−クロロ−1,2−プロパンジオール、10.0重量%のメタンスルホン酸
配合物AF: 90.0重量%の3−クロロ−1,2−プロパンジオール、9.0重量%のメタンスルホン酸、1.0重量%の塩化テトラメチルアンモニウム
配合物AG: 80.0重量%の3−クロロ−1,2−プロパンジオール、20.0重量%のジイソプロピルアミン
配合物AH: 80.0重量%のトリプロピレングリコールメチルエーテル、20.0重量%のジイソプロピルアミン
配合物AI: 80.0重量%のトリプロピレングリコールメチルエーテル、20.0重量%のペンタメチルジエチレントリアミン
配合物AJ: 40.0重量%の3−クロロ−1,2−プロパンジオール、40.0重量%のトリプロピレングリコールメチルエーテル、20.0重量%のペンタメチルジエチレントリアミン
配合物AK: 30.0重量%の3−クロロ−1,2−プロパンジオール、30.0重量%のトリプロピレングリコールメチルエーテル、30.0重量%のプロピレンカルボナート、10.0重量%のメタンスルホン酸
配合物AL: メタンスルホン酸:10.00重量%、トリ(プロピレングリコール)メチルエーテル:50.00重量%、3−クロロ−1,2−プロパンジオール:40.00重量%、pH=1.70(水で50:1に希釈)、密度=1.14gmL−1、25℃における粘度=31.35cSt
配合物AM: ペンタメチルジエチレントリアミン:10.00重量%、トリ(プロピレングリコール)メチルエーテル:50.00重量%、プロピレングリコール:40.00重量%、pH=10.56(水で50:1に希釈)、密度=0.98gmL−1、25℃における粘度=14.55cSt
配合物AN: ペンタメチルジエチレントリアミン:10.00重量%、トリ(プロピレングリコール)メチルエーテル:50.00重量%、プロピレングリコール:39.25重量%、PG/HF(96:4):0.75重量%、pH=10.40(水で50:1に希釈)、密度=0.98g/mL
配合物AO: ペンタメチルジエチレントリアミン:10.00重量%、トリ(プロピレングリコール)メチルエーテル:50.00重量%、プロピレングリコール:39.50重量%、PG/HF(96:4):0.50重量%、pH=10.40(水で50:1に希釈)、密度=0.98g/mL
配合物AP: ペンタメチルジエチレントリアミン:20.00重量%、トリ(プロピレングリコール)メチルエーテル:44.444重量%、プロピレングリコール:35.556重量%、pH=10.56(水で50:1に希釈)、密度=0.98g/mL
配合物AQ: ペンタメチルジエチレントリアミン:9.756重量%、トリ(プロピレングリコール)メチルエーテル:48.780重量%、プロピレングリコール:39.024重量%、PG/テトラブチルフッ化アンモニウム(85:15):2.440重量%
配合物AR: ペンタメチルジエチレントリアミン:9.756重量%、トリ(プロピレングリコール)メチルエーテル:48.780重量%、プロピレングリコール:39.024重量%、PG/ベンジルメチルフッ化アンモニウム(85:15):2.440重量%
配合物AS: ペンタメチルジエチレントリアミン:20.00重量%、トリ(プロピレングリコール)メチルエーテル:44.20重量%、プロピレングリコール:35.30重量%、テトラフルオロホウ酸テトラブチルアンモニウム(TBA−BF4):0.50重量%
配合物AT: ペンタメチルジエチレントリアミン:20.00重量%、トリ(プロピレングリコール)メチルエーテル:39.75重量%、プロピレングリコール:39.75重量%、テトラフルオロホウ酸テトラブチルアンモニウム(TBA−BF4):0.50重量%
配合物AU: ペンタメチルジエチレントリアミン:20.00重量%、トリ(プロピレングリコール)メチルエーテル:22.30重量%、プロピレングリコール:57.20重量%、テトラフルオロホウ酸テトラブチルアンモニウム(TBA−BF4):0.50重量%
配合物AV: ペンタメチルジエチレントリアミン:20.00重量%、トリ(プロピレングリコール)メチルエーテル:20.00重量%、プロピレングリコール:42.00重量%、ガンマ−ブチロラクトン(GBL):15.00重量%、PG/HF(96:4):3.00重量%
配合物AW: ペンタメチルジエチレントリアミン:20.00重量%、プロピレングリコール:52.00重量%、ガンマ−ブチロラクトン:25.00重量%、PG/HF(96:4):3.00重量%、pH=9.90(水で50:1に希釈)、密度=1.03g/mL
配合物AX: ペンタメチルジエチレントリアミン:20.00重量%、プロピレングリコール:52.00重量%、トリ(プロピレングリコール)メチルエーテル:25.00重量%、PG/HF(96:4):3.00重量%
配合物AY: ペンタメチルジエチレントリアミン:19.98重量%、プロピレングリコール:51.31重量%、ガンマ−ブチロラクトン:24.97重量%、PG/HF(96:4):2.99重量%、ベンゾトリアゾール:0.75重量%、pH=10.03(水で50:1に希釈)、密度=1.03g/mL
第2の態様のもう1つの実施形態では、本明細書に記載される洗浄組成物はさらにプラズマエッチング後残留物を含み、プラズマエッチング後残留物は、チタン含有残留物、高分子残留物、銅含有残留物、タングステン含有残留物、コバルト含有残留物、およびこれらの組み合わせからなる群から選択される残留材料を含む。重要なのは、残留材料が、本発明の水性組成物中に溶解および/または懸濁され得ることである。
第2の態様の特に好ましい実施形態では、洗浄組成物は、少なくとも1種のグリコールエーテル、水、メタンスルホン酸、イミノ二酢酸、およびアスコルビン酸を含み、少なくとも1種のグリコールエーテルは、ジエチレングリコールブチルエーテルおよび/またはトリプロピレングリコールメチルエーテルを含む。第2の態様のもう1つの好ましい実施形態では、洗浄組成物は、ペンタメチルジエチレントリアミン、プロピレングリコール、ガンマ−ブトリオラクトン(butryolactone)およびPG/HFを含む。さらにもう1つの好ましい実施形態では、洗浄組成物は、ペンタメチルジエチレントリアミン、プロピレングリコール、ガンマ−ブトリオラクトン(butryolactone)、PG/HF、およびベンゾトリアゾールを含む。
本発明の第1の態様の組成物は、パターン形成されたまたはブランケットのタングステン層、TiN、銅層および/またはULK層を実質的にエッチングすることなく、側壁残留物、および/またはエッチング後残留物を選択的に除去するために有用である。液体溶液に加えて、本明細書では、泡、霧、臨界未満流体または超臨界流体(すなわち、溶媒は水の代わりにCOなどである)として本発明の両方の態様の組成物が配合され得ることも意図される。
有利に、本発明の両方の態様の洗浄組成物は、デバイス上に存在するILD、キャッピング層、および/または金属相互接続層を傷つけることなく、マイクロ電子デバイスの上部表面、側壁、ならびにビアおよびラインからプラズマエッチング後残留物を有効に除去する。さらに、組成物は、トレンチまたはビアのどちらが最初にエッチングされたかに関係なく使用することができる。
一般的な洗浄用途では、高度に濃縮された形態を極度に希釈して使用するのが一般的な実施であることは認識されるであろう。例えば、洗浄組成物は、溶解の目的で少なくとも約20重量%を含むより濃縮した形態で製造し、その後、製造業者において、製造工場での使用の前、および/または使用中に、追加の溶媒(例えば、水および/または有機溶媒)で希釈することができる。希釈比は、希釈剤約0.1部:除去組成物の濃縮物1部〜希釈剤約3部:除去組成物の濃縮物1部、好ましくは約1:1の範囲でよい。希釈の際、除去組成物の多くの成分の重量パーセント比は変化しないままであることが理解される。
本発明の両方の態様の組成物は、それぞれの成分を単に添加し、均一な状態まで混合することによって容易に配合される。さらに、組成物は、シングルパケージ配合物として、あるいは使用時に混合されるマルチパート配合物として、好ましくはマルチパート配合物として容易に配合され得る。マルチパート配合物の個々の部分は、ツールで、またはツール上流の貯蔵タンク中で混合することができる。それぞれの成分の濃度は、特定の多数の組成物において大きく異なり、すなわち、本発明の広範な実施においてより希釈またはより濃縮され得る。そして、本発明の組成物が、様々にそして代替的に、本明細書における開示と矛盾しない成分の任意の組み合わせを含む、そうした組み合わせからなる、またはそうした組み合わせから本質的になり得ることは認識されるであろう。
従って、本発明のもう1つの態様は、本発明の組成物を形成するように適合された1つまたは複数の成分を1つまたは複数の容器内に含むキットに関する。好ましくは、キットは、製造工場においてまたは使用時に、水および/または有機溶媒を追加してまたは追加せずに混ぜ合わせるために、少なくとも1種のエッチャント源、少なくとも1種の金属キレート剤、場合により水、場合により少なくとも1種の有機溶媒、場合により少なくとも1種の腐食防止剤、場合により少なくとも1種の低誘電率不動態化剤、場合により少なくとも1種の界面活性剤、および場合によりシリカ源の好ましい組み合わせを1つまたは複数の容器内に含む。あるいは、キットは、製造工場においてまたは使用時に、水および/または有機溶媒を追加してまたは追加せずに混ぜ合わせるために、少なくとも1種のエッチャント源、少なくとも1種の金属腐食防止剤、場合により水、場合により少なくとも1種の有機溶媒、場合により少なくとも1種のキレート剤、場合により少なくとも1種の低誘電率不動態化剤、場合により少なくとも1種の界面活性剤、および場合によりシリカ源の好ましい組み合わせを1つまたは複数の容器内に含む。あるいは、キットは、製造工場においてまたは使用時に、水および/または有機溶媒を追加してまたは追加せずに混ぜ合わせるために、少なくとも1種のエッチャント源、少なくとも1種の有機溶媒、場合により水、場合により少なくとも1種の金属腐食防止剤、場合により少なくとも1種のキレート剤、場合により少なくとも1種の低誘電率不動態化剤、場合により少なくとも1種の界面活性剤、および場合によりシリカ源の好ましい組み合わせを1つまたは複数の容器内に含む。あるいは、キットは、製造工場においてまたは使用時に、水および/または有機溶媒を追加してまたは追加せずに混ぜ合わせるために、少なくとも1種の有機溶媒、および少なくとも1種の金属キレート剤、場合により少なくとも1種の界面活性剤、場合により少なくとも1種の腐食防止剤、場合により少なくとも1種の低誘電率不動態化剤、場合により少なくとも1種のエッチャント、および場合により水の好ましい組み合わせを1つまたは複数の容器内に含む。キットの容器は、前記洗浄組成物の成分を貯蔵および出荷するために適切でなければならず、例えば、NOWPak(登録商標)容器(Advanced Technology Materials, Inc. (Danbury, Conn., USA))である。除去組成物の成分を含有する1つまたは複数の容器は、好ましくは、前記1つまたは複数の容器内の成分をブレンドおよび分配のために流体連通させるための手段を含む。例えば、NOWPak(登録商標)容器に関して、ライナーの内容物の少なくとも一部を放出させ、従ってブレンドおよび分配のための流体連通を可能にするように、前記1つまたは複数の容器内のライナーの外側にガス圧力が加えられてもよい。あるいは、従来の加圧可能な容器のヘッドスペースにガス圧力が加えられてもよいし、流体連通を可能にするためにポンプが使用されてもよい。さらに、システムは、好ましくは、ブレンドされた除去組成物をプロセスツールに分配するための分配ポートを含む。
前記1つまたは複数の容器のライナーを製造するために、好ましくは、実質的に化学的に不活性で不純物を含まないフレキシブルおよび弾性高分子膜材料(高密度ポリエチレンなど)が使用される。望ましいライナー材料は、同時押出またはバリア層を必要とせずに、そしてライナー内に配分される成分の純度要求に悪影響を与え得る顔料、紫外線阻害剤、または加工剤をどれも用いずに加工される。望ましいライナー材料のリストとしては、未使用の(添加剤なし)ポリエチレン、未使用のポリテトラフルオロエチレン(PTFE)、ポリプロピレン、ポリウレタン、ポリ塩化ビニリデン、ポリ塩化ビニル、ポリアセタール、ポリスチレン、ポリアクリロニトリル、ポリブチレンなどを含む膜が挙げられる。このようなライナー材料の好ましい厚さは、例えば20ミル(0.020インチ)の厚さのように、約5ミル(0.005インチ)〜約30ミル(0.030インチ)の範囲である。
本発明のキットのための容器に関して、以下の特許および特許出願の開示は、そのそれぞれの全体が参照によって本明細書に援用される:「APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS」という表題の米国特許第7,188,644号明細書、「RETURNABLE AND REUSABLE, BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM」という表題の米国特許第6,698,619号明細書、および「SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION」という表題の米国仮特許出願第60/916,966号明細書(2007年5月9日にJohn E.Q. Hughesの名前で出願)。
マイクロ電子製造作業に適用される場合、本発明の両方の態様の洗浄組成物は、プラズマエッチング後残留物をマイクロ電子デバイスの表面から洗浄するために有用に使用され、デバイスの表面から別の材料を除去するために配合された他の組成物の適用の前または後に前記表面に適用することができる。重要なのは、本発明の組成物がデバイス表面上のILD材料に損傷を与えず、好ましくは、除去処理前にデバイス上に存在する残留物の少なくとも90%、より好ましくは少なくとも95%を除去し、そして最も好ましくは除去すべき残留物の少なくとも99%が除去されることである。
プラズマエッチング後残留物の除去用途では、組成物は、任意の適切な方法で、例えば、洗浄すべきデバイスの表面に組成物をスプレーすることによって、洗浄すべきデバイスを静的または動的な大量の組成物中に浸漬することによって、洗浄すべきデバイスを組成物がその上に吸収された別の材料(例えば、パッドまたは繊維の吸着剤アプリケータ要素)と接触させることによって、あるいは洗浄すべきデバイスと組成物を除去接触させる他の任意の適切な手段、方法または技術によって、洗浄すべきデバイスに適用され得る。さらに、本明細書では、バッチまたはシングルウェハ加工が意図される。
プラズマエッチング後残留物をその上に有するマイクロ電子デバイスからそれを除去するための本発明の両方の態様の組成物の使用において、通常、組成物は、約20℃〜約90℃、好ましくは約40℃〜約70℃、そして最も好ましくは約50℃〜約60℃の範囲の温度で約1分〜約30分間、好ましくは約1分〜10分間、デバイスと静的または動的に接触させられる。好ましくは、接触は静的である。このような接触時間および温度は実例であり、本発明の広範な実施において、デバイスからエッチング後残留材料を少なくとも部分的に除去するために有効である他のどんな適切な時間および温度条件が使用されてもよい。残留材料のマイクロ電子デバイスからの「少なくとも部分的な除去」は、材料の少なくとも90%の除去、好ましくは少なくとも95%の除去に相当する。最も好ましくは、本発明の組成物を用いて前記残留材料の少なくとも99%が除去される。
所望の除去作用が達成された後、本発明の組成物の所与の最終用途において所望され、そして有効であり得るように、例えば、すすぎ、洗い、または他の除去ステップによって、本発明の両方の態様の組成物は、既に適用されたデバイスから容易に除去することができる。例えば、デバイスは、脱イオン水を含むすすぎ溶液ですすぎ、そして/あるいは乾燥させることができる(例えば、スピン乾燥、N、蒸気乾燥など)。
必要な場合には、洗浄後のベークステップおよび/またはイソプロパノール蒸気乾燥ステップは、低誘電率誘電材料の静電容量を変化させないように、ILD材料の細孔内に吸収され得る不揮発性材料を除去する必要があり得る。
本発明のもう1つの態様は、本発明の方法に従って製造された改善されたマイクロ電子デバイス、およびこのようなマイクロ電子デバイスを含有する製品に関する。
本発明のまたさらなる態様はマイクロ電子デバイスを含む物品の製造方法に関し、前記方法は、プラズマエッチング後残留物をその上に有するマイクロ電子デバイスから前記残留物を洗浄するために十分な時間、マイクロ電子デバイスを組成物と接触させることと、前記マイクロ電子デバイスを前記物品内に組み込むこととを含み、組成物は、少なくとも1種のエッチャント源、少なくとも1種の金属キレート剤、水、場合により少なくとも1種の有機溶媒、場合により少なくとも1種の腐食防止剤、場合により少なくとも1種の低誘電率不動態化剤、場合により少なくとも1種の界面活性剤、および場合によりシリカ源を含む。
本発明のまたさらなる態様はマイクロ電子デバイスを含む物品の製造方法に関し、前記方法は、プラズマエッチング後残留物をその上に有するマイクロ電子デバイスから前記残留物を洗浄するために十分な時間、マイクロ電子デバイスを組成物と接触させることと、前記マイクロ電子デバイスを前記物品内に組み込むこととを含み、組成物は、少なくとも1種のエッチャント源、少なくとも1種の金属腐食防止剤、水、場合により少なくとも1種の有機溶媒、場合により少なくとも1種のキレート剤、場合により少なくとも1種の低誘電率不動態化剤、場合により少なくとも1種の界面活性剤、および場合によりシリカ源を含む。
本発明のまたさらなる態様はマイクロ電子デバイスを含む物品の製造方法に関し、前記方法は、プラズマエッチング後残留物をその上に有するマイクロ電子デバイスから前記残留物を洗浄するために十分な時間、マイクロ電子デバイスを組成物と接触させることと、前記マイクロ電子デバイスを前記物品内に組み込むこととを含み、組成物は、少なくとも1種のエッチャント源、少なくとも1種の有機溶媒、水、場合により少なくとも1種の金属腐食防止剤、場合により少なくとも1種のキレート剤、場合により少なくとも1種の低誘電率不動態化剤、場合により少なくとも1種の界面活性剤、および場合によりシリカ源を含む。
本発明のまたさらなる態様はマイクロ電子デバイスを含む物品の製造方法に関し、前記方法は、プラズマエッチング後残留物をその上に有するマイクロ電子デバイスから前記残留物を洗浄するために十分な時間、マイクロ電子デバイスを組成物と接触させることと、前記マイクロ電子デバイスを前記物品内に組み込むこととを含み、組成物は、少なくとも1種の有機溶媒、および少なくとも1種の金属キレート剤、場合により少なくとも1種の界面活性剤、場合により少なくとも1種の腐食防止剤、場合により少なくとも1種の低誘電率不動態化剤、場合により少なくとも1種のエッチャント、および場合により水を含む。
さらにもう1つの態様では、本発明の両方の態様の組成物は、マイクロ電子デバイス製造方法の他の態様において、すなわちプラズマエッチング後残留物の洗浄ステップに続いて用いることができる。例えば、組成物は、化学機械研磨(CMP)後の洗浄として希釈および使用することができる。あるいは、本発明の組成物は、汚染材料をその再使用のためにフォトマスク材料から除去するために使用することができる。さらにもう1つの代替例では、本発明の第1の態様の組成物は、当業者によって容易に決定されるように、TiNハードマスクをエッチングするために使用することができる。
TiNハードマスクを含むBEOL構造に関連する1つの不都合は、TiOF結晶の形成である。従って、さらにもう1つの態様では、本発明は、TiOF結晶をその上に有するマイクロ電子デバイスからそれを除去することを含む方法に関し、前記方法は、前記TiOF結晶をマイクロ電子デバイスから少なくとも部分的に除去するために十分な時間、マイクロ電子デバイスを水性洗浄組成物と接触させることを含み、水性洗浄組成物は、少なくとも1種の有機溶媒、少なくとも1種のエッチャント、シリカ源、少なくとも1種のタングステン腐食防止剤、および水を含む。好ましくは、接触条件は約35℃〜約75℃、好ましくは約50℃〜約60℃の範囲の温度を含み、そして時間は約10分〜約50分、好ましくは約20分〜約35分の範囲である。重要なことには、水性洗浄組成物は、存在し得るULK、Cuおよび/またはW材料に実質的に損傷を与えないことが必須である。特に好ましい実施形態では、水性組成物は、少なくとも1種の有機溶媒、エッチャント、シリカ源、少なくとも1種のW腐食防止剤および水を含み、エッチャントに対する有機溶媒の重量パーセント比は約3〜約7であり、エッチャントに対する水の重量パーセント比は約88〜約93であり、エッチャントに対するシリカ源の重量パーセント比は約0.1〜約0.5であり、そしてエッチャントに対するW腐食防止剤の重量パーセント比は約1〜約4である。
さらにもう1つの態様では、本発明は、マイクロ電子デバイス基板、残留材料、および洗浄組成物を含む製造品に関し、洗浄組成物は、本明細書に記載されるどの組成物でもよく、そして残留材料は、チタン含有残留物、高分子残留物、銅含有残留物、タングステン含有残留物、コバルト含有残留物、およびこれらの組み合わせからなる群から選択される。
本発明の特徴および利点は、以下の非限定的な実施例によってより詳しく説明され、別途明確に記載されない限り、全ての部および百分率は重量によるものである。
実施例1
配合物A〜H中のブランケット化ULK、窒化チタン、CuおよびWのエッチング速度を決定した。ブランケット化材料の厚さは、50℃で配合物A〜H中に浸漬する前および浸漬した後に測定した。厚さは、組成物の抵抗率を、残存する膜の厚さおよびそれから計算されるエッチング速度と相関させる4点プローブ測定を用いて決定した。実験的エッチング速度は表1に報告される。
Figure 2010515246
フーリエ変換赤外分光法(FTIR)および静電容量データを用いてULK適合性の研究も実施した。図1および2では、特に2800〜3000cm−1の炭化水素吸収領域において、配合物AおよびBとそれぞれ接触させたULKにはULK対照に対して目につく変化は観察されなかったことが分かり、これは、有機不純物がULKに吸収されなかったことを示唆する。また、Hgプローブを用いて決定される静電容量データも、ULKが本発明の配合物によって有害な影響を受けなかったことを示唆する(表2を参照)。
Figure 2010515246
実施例2
配合物AAおよびAB中のブランケット化ULK、窒化チタン、CuおよびWのエッチング速度を決定した。ブランケット化材料の厚さは、50℃で配合物AAおよびAB中に浸漬する前および浸漬した後に測定した。厚さは、組成物の抵抗率を、残存する膜の厚さおよびそれから計算されるエッチング速度と相関させる4点プローブ測定を用いて決定した。実験的エッチング速度は表3に報告される。
Figure 2010515246
FTIRおよび静電容量データを用いてULK適合性の研究も実施した。特に2800〜3000cm−1の炭化水素吸収領域において、配合物ABと接触させたULKにはULK対照に対して目につく変化は観察されなかった。これは、有機不純物がULKに吸収されなかったことを示唆する。また、Hgプローブを用いて決定される静電容量データも、ULKが本発明の配合物によって有害な影響を受けなかったことを示唆する(表4を参照)。
Figure 2010515246
コバルト適合性も決定した。1300Åの厚さを有するブランケット化CoWPウェハを50℃で2時間、配合物AB中に浸漬した。重量分析に基づいて、浸漬の前および後のクーポンの重量は変化しなかった。これは、配合物ABがCoWPをエッチングしなかったことを示唆する。これはさらに、配合物ABにおける加工の前(図3A)および後(図3B)のブランケット化CoWPウェハの顕微鏡写真である図3Aおよび3Bにおいて証明される。
実施例3
配合物AC〜AK中のブランケット化ULK、窒化チタン、CuおよびWのエッチング速度を決定した。ブランケット化材料の厚さは、50℃で65分間、配合物AC〜AK中に浸漬する前および浸漬した後に測定した。厚さは、組成物の抵抗率を、残存する膜の厚さおよびそれから計算されるエッチング速度と相関させる4点プローブ測定を用いて決定した。実験的エッチング速度は表5に報告される。
Figure 2010515246
FTIRおよび静電容量データを用いて50℃で65分間、ULK適合性の研究も実施した。Hgプローブを用いて決定される静電容量データは、表6に報告される。ポストベークステップは、適用可能な場合には、200〜210℃で10分間実施した。
Figure 2010515246
配合物は、ポストベークまたはIPA乾燥が用いられる場合、エッチング後のULKの著しい静電容量の増大を生じないことが分かる。さらに、特に2800〜3000cm−1の炭化水素吸収領域において、配合物AEまたはAFと接触させたエッチング後のULK(ポストベークおよびIPA乾燥は両方ともなし)には、エッチング後のULK対照に対して目につく変化は観察されなかった。これは、有機不純物がULKに吸収されなかったことを示唆する。
実施例4
配合物AL〜AY中のブランケット化ULK、窒化チタン、CuおよびWのエッチング速度を決定した。ブランケット化材料の厚さは、他に記載がない限り、50℃で65分間、配合物AL〜AY中に浸漬する前および浸漬した後に測定した。厚さは、組成物の抵抗率を、残存する膜の厚さおよびそれから計算されるエッチング速度と相関させる4点プローブ測定を用いて決定した。実験的エッチング速度は表7に報告される。
Figure 2010515246
配合物AM、AN、AOおよび/またはAUについて、FTIRおよび静電容量データを用いて50℃で65分間、ULK適合性の研究も実施した。Hgプローブを用いて決定される静電容量データは、表8に報告される。
Figure 2010515246
配合物は、ポストベークまたはIPA乾燥が用いられる場合、エッチング後のULKの著しい静電容量の増大を生じないことが分かる。さらに、配合物AM、AN、AO、またはAUと接触させたエッチング後のULKには、エッチング後のULK対照に対して目につく変化は観察されなかった。これは、有機不純物がULKに吸収されなかったことを示唆する。
実施例5
配合物I〜L中のブランケット化ULK、窒化チタン、CuおよびWのエッチング速度を決定した。ブランケット化材料の厚さは、他に記載がない限り50℃で65分間、配合物I〜L中に浸漬する前および浸漬した後に測定した。厚さは、組成物の抵抗率を、残存する膜の厚さおよびそれから計算されるエッチング速度と相関させる4点プローブ測定を用いて決定した。実験的エッチング速度は表9に報告される。
Figure 2010515246
重要なことには、配合物I、JおよびMは、必要な場合に、TiNハードマスクの除去のために有用であることが分かる。
実施例6
配合物N〜R中のブランケット化ULK、窒化チタン、CuおよびWのエッチング速度を決定した。ブランケット化材料の厚さは、50℃で30分間、配合物N〜R中に浸漬する前および浸漬した後に測定した。厚さは、組成物の抵抗率を、残存する膜の厚さおよびそれから計算されるエッチング速度と相関させる4点プローブ測定を用いて決定した。実験的エッチング速度は表10に報告される。
Figure 2010515246
本発明は、実例となる実施形態および特徴に関連して本明細書に様々に開示されたが、上記に記載された実施形態および特徴が本発明を限定することが意図されないこと、そして本明細書の開示に基づいて、他の変更、修正および他の実施形態が当業者に示唆され得ることは認識されるであろう。従って、本発明は、以下に記載される特許請求の範囲の精神および範囲内のこのような変更、修正および代替実施形態を全て包含すると広く解釈されるべきである。

Claims (33)

  1. 少なくとも1種のエッチャントと、水と、場合により少なくとも1種の低誘電率不動態化剤と、場合により少なくとも1種の界面活性剤と、場合によりシリカ源とを含む水性洗浄組成物であって、前記組成物がさらに、成分(I)、(II)または(III):
    (I)少なくとも1種のキレート剤、場合により少なくとも1種の有機溶媒、および場合により少なくとも1種の腐食防止剤、
    (II)少なくとも1種の金属腐食防止剤、場合により少なくとも1種の有機溶媒、および場合により少なくとも1種の金属キレート剤、または
    (III)少なくとも1種の有機溶媒、場合により少なくとも1種のキレート剤、および場合により少なくとも1種の腐食防止剤
    を含むことを特徴とし、プラズマエッチング後残留物をその上に有するマイクロ電子デバイスから前記残留物を洗浄するために適切である水性洗浄組成物。
  2. 少なくとも1種の有機溶媒と、少なくとも1種の金属キレート剤と、場合により少なくとも1種の界面活性剤と、場合により少なくとも1種の腐食防止剤と、場合により少なくとも1種の低誘電率不動態化剤と、場合により少なくとも1種のエッチャントと、場合により水とを含む洗浄組成物であって、プラズマエッチング後残留物をその上に有するマイクロ電子デバイスから前記残留物を洗浄するために適切である洗浄組成物。
  3. 前記プラズマエッチング後残留物が、チタン含有化合物、高分子化合物、銅含有化合物、タングステン含有化合物、コバルト含有化合物、およびこれらの組み合わせからなる群から選択される残留物を含む請求項1または2に記載の洗浄組成物。
  4. 前記少なくとも1種のエッチャントが、フッ化水素酸、フルオロケイ酸、フルオロホウ酸、ヘキサフルオロリン酸テトラメチルアンモニウム、フッ化アンモニウム塩、重フッ化アンモニウム塩、フルオロケイ酸アンモニウム、テトラフルオロホウ酸テトラブチルアンモニウム、プロピレングリコール/HF、プロピレングリコール/フッ化テトラアルキルアンモニウム、プロピレングリコール/フッ化ベンジルトリメチルアンモニウム、およびこれらの組み合わせからなる群から選択されるフッ素種を含む請求項1または2に記載の洗浄組成物。
  5. 前記少なくとも1種の有機溶媒が、アルコール、エーテル、ピロリジノン、アミン、グリコール、グリコールエーテル、およびこれらの組み合わせからなる群から選択される種を含む請求項1または2に記載の洗浄組成物。
  6. 前記少なくとも1種の有機溶媒が、メタノール、エタノール、イソプロパノール、ジオール、3−クロロ−1,2−プロパンジオール、トリオール、3−クロロ−1−プロパンチオール、1−クロロ−2−プロパノール、2−クロロ−1−プロパノール、3−クロロ−1−プロパノール、3−ブロモ−1,2−プロパンジオール、1−ブロモ−2−プロパノール、3−ブロモ−1−プロパノール、3−ヨード−1−プロパノール、4−クロロ−1−ブタノール、2−クロロエタノール、ジクロロメタン、クロロホルム、酢酸、プロピオン酸、トリフルオロ酢酸、テトラヒドロフラン(THF)、N−メチルピロリジノン(NMP)、シクロヘキシルピロリジノン、N−オクチルピロリジノン、N−フェニルピロリジノン、メチルジエタノールアミン、ギ酸メチル、ジメチルホルムアミド(DMF)、ジメチルスルホキシド(DMSO)、テトラメチレンスルホン(スルホラン)、ジエチルエーテル、フェノキシ−2−プロパノール(PPh)、プロプリオフェノン、乳酸エチル、酢酸エチル、安息香酸エチル、アセトニトリル、アセトン、エチレングリコール、プロピレングリコール、1,3−プロパンジオール、1,4−プロパンジオール、ジオキサン、ブチリルラクトン、ブチレンカルボナート、エチレンカルボナート、プロピレンカルボナート、ジプロピレングリコール、ジエチレングリコールモノメチルエーテル、トリエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、トリエチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノブチルエーテル、ジエチレングリコールモノブチルエーテル、トリエチレングリコールモノブチルエーテル、エチレングリコールモノヘキシルエーテル、ジエチレングリコールモノヘキシルエーテル、エチレングリコールフェニルエーテル、プロピレングリコールメチルエーテル、ジプロピレングリコールメチルエーテル、トリプロピレングリコールメチルエーテル、ジプロピレングリコールジメチルエーテル、ジプロピレングリコールエチルエーテル、プロピレングリコールn−プロピルエーテル、ジプロピレングリコールn−プロピルエーテル(DPGPE)、トリプロピレングリコールn−プロピルエーテル、プロピレングリコールn−ブチルエーテル、ジプロピレングリコールn−ブチルエーテル、トリプロピレングリコールn−ブチルエーテル、プロピレングリコールフェニルエーテル、ガンマ−ブチロラクトン、およびこれらの組み合わせからなる群から選択される亜種を含む請求項1または2に記載の洗浄組成物。
  7. 前記少なくとも1種のキレート剤が、1,1,1,5,5,5−ヘキサフルオロ−2,4−ペンタンジオン(hfacH)、1,1,1−トリフルオロ−2,4−ペンタンジオン(tfac)、およびアセチルアセトナート(acac)、イミノ二酢酸、ピラゾラート、アミジナート、グアニジナート、ケトイミン、ジエン、ポリアミン、エチレンジアミン四酢酸(EDTA)、1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸(CDTA)、エチドロン酸、メタンスルホン酸、塩酸、酢酸、アルキルアミン、アリールアミン、グリコールアミン、アルカノールアミン、トリアゾール、チアゾール、テトラゾール、イミダゾール、1,4−ベンゾキノン、8−ヒドロキシキノリン、サリチリデンアニリン、テトラクロロ−1,4−ベンゾキノン、2−(2−ヒドロキシフェニル)−ベンゾオキサゾール、2−(2−ヒドロキシフェニル)−ベンゾチアゾール、ヒドロキシキノリンスルホン酸(HQSA)、スルホサリチル酸(SSA)、サリチル酸(SA)、フッ化テトラメチルアンモニウム、塩化テトラメチルアンモニウム、臭化テトラメチルアンモニウム、ヨウ化テトラメチルアンモニウム、ピリジン、2−エチルピリジン、2−メトキシピリジン、3−メトキシピリジン、2−ピコリン、ピリジン誘導体、ジメチルピリジン、ピペリジン、ピペラジン、トリエチルアミン、トリエタノールアミン、エチルアミン、メチルアミン、イソブチルアミン、tert−ブチルアミン、トリブチルアミン、ジプロピルアミン、ジメチルアミン、ジグリコールアミン、モノエタノールアミン、メチルジエタノールアミン、ピロール、イソオキサゾール、1,2,4−トリアゾール、ビピリジン、ピリミジン、ピラジン、ピリダジン、キノリン、イソキノリン、インドール、イミダゾール、N−メチルモルホリン−N−オキシド(NMMO)、トリメチルアミン−N−オキシド、トリエチルアミン−N−オキシド、ピリジン−N−オキシド、N−エチルモルホリン−N−オキシド、N−メチルピロリジン−N−オキシド、N−エチルピロリジン−N−オキシド、1−メチルイミダゾール、ジイソプロピルアミン、ジイソブチルアミン、アニリン、アニリン誘導体、ペンタメチルジエチレントリアミン、およびこれらの組み合わせからなる群から選択される種を含む請求項1または2に記載の洗浄組成物。
  8. 前記少なくとも1種の金属腐食防止剤が、ベンゾトリアゾール(BTA)、1,2,4−トリアゾール(TAZ)、5−アミノテトラゾール(ATA)、1−ヒドロキシベンゾトリアゾール、5−アミノ−1,3,4−チアジアゾール−2−チオール、3−アミノ−1H−1,2,4トリアゾール、3,5−ジアミノ−1,2,4−トリアゾール、トリルトリアゾール、5−フェニル−ベンゾトリアゾール、5−ニトロ−ベンゾトリアゾール、3−アミノ−5−メルカプト−1,2,4−トリアゾール、1−アミノ−1,2,4−トリアゾール、2−(5−アミノ−ペンチル)−ベンゾトリアゾール、1−アミノ−1,2,3−トリアゾール、1−アミノ−5−メチル−1,2,3−トリアゾール、3−メルカプト−1,2,4−トリアゾール、3−イソプロピル−1,2,4−トリアゾール、5−フェニルチオール−ベンゾトリアゾール、ハロ−ベンゾトリアゾール(ハロ=F、Cl、Br、I)、ナフトトリアゾール、1H−テトラゾール−5−酢酸、2−メルカプトベンゾチアゾール(2−MBT)、1−フェニル−2−テトラゾリン−5−チオン、2−メルカプトベンゾイミダゾール(2−MBI)、4−メチル−2−フェニルイミダゾール、2−メルカプトチアゾリン、2,4−ジアミノ−6−メチル−1,3,5−トリアジン、チアゾール、イミダゾール、ベンゾイミダゾール、トリアジン、メチルテトラゾール、ビスムチオールI、1,3−ジメチル−2−イミダゾリジノン、1,5−ペンタメチレンテトラゾール、1−フェニル−5−メルカプトテトラゾール、ジアミノメチルトリアジン、イミダゾリンチオン、4−メチル−4H−1,2,4−トリアゾール−3−チオール、5−アミノ−1,3,4−チアジアゾール−2−チオール、ベンゾチアゾール、リン酸トリトリル、インダゾール、アデニン、シトシン、グアニン、チミン、リン酸阻害剤、アミン、ピラゾール、プロパンチオール、シラン、第2級アミン、ベンゾヒドロキサム酸、複素環式窒素阻害剤、クエン酸、アスコルビン酸、チオ尿素、1,1,3,3−テトラメチル尿素、尿素、尿素誘導体、尿酸、エチルキサントゲン酸カリウム、グリシン、イミノ二酢酸、酸、ホウ酸、マロン酸、コハク酸、ニトリロ三酢酸、スルホラン、2,3,5−トリメチルピラジン、2−エチル−3,5−ジメチルピラジン、キノキサリン、アセチルピロール、ピリダジン、ヒスタジン、ピラジン、グルタチオン(還元型)、システイン、シスチン、チオフェン、メルカプトピリジンN−オキシド、チアミンHCl、テトラエチルチウラムジスルフィド、2,5−ジメルカプト−1,3−チアジアゾールアスコルビン酸、アスコルビン酸、およびこれらの組み合わせからなる群から選択される種を含む請求項1に記載の洗浄組成物。
  9. シリカ源を含み、前記シリカ源がTEOSを含む請求項1に記載の洗浄組成物。
  10. 前記組成物が、チタン含有残留物、高分子残留物、銅含有残留物、タングステン含有残留物、コバルト含有残留物、およびこれらの組み合わせからなる群から選択されるプラズマエッチング後残留物をさらに含む請求項1または2に記載の洗浄組成物。
  11. 少なくとも1種の有機溶媒と、少なくとも1種のエッチャントと、少なくとも1種のキレート剤と、シリカ源と、少なくとも1種の腐食防止剤と、水とを含み、エッチャントに対する有機溶媒の重量パーセント比が約5〜約8であり、エッチャントに対する水の重量パーセント比が約85〜約91であり、エッチャントに対するシリカ源の重量パーセント比が約0.1〜約0.5であり、エッチャントに対するキレート剤の重量パーセント比が約0.5〜約2.5であり、エッチャントに対する腐食防止剤の重量パーセント比が約1〜約4である請求項1に記載の洗浄組成物。
  12. 少なくとも1種の有機溶媒と、少なくとも1種のエッチャントと、シリカ源と、少なくとも1種の腐食防止剤と、水とを含み、エッチャントに対する有機溶媒の重量パーセント比が約3〜約7であり、エッチャントに対する水の重量パーセント比が約88〜約93であり、エッチャントに対するシリカ源の重量パーセント比が約0.1〜約0.5であり、エッチャントに対する腐食防止剤の重量パーセント比が約1〜約4である請求項1に記載の洗浄組成物。
  13. 少なくとも1種の有機溶媒と、少なくとも1種のエッチャントと、少なくとも1種の腐食防止剤と、水とを含み、エッチャントに対する有機溶媒の重量パーセント比が約60〜約90であり、エッチャントに対する水の重量パーセント比が約2〜約30であり、エッチャントに対する腐食防止剤の重量パーセント比が約0.01〜約0.5である請求項1に記載の洗浄組成物。
  14. pHが約0〜約5の範囲である請求項1、11、12または13のいずれか一項に記載の洗浄組成物。
  15. 少なくとも1種の腐食防止剤と、少なくとも1種の低誘電率不動態化剤と、水とをさらに含む請求項2に記載の洗浄組成物。
  16. 少なくとも1種のエッチャントをさらに含む請求項2に記載の洗浄組成物。
  17. 少なくとも1種の腐食防止剤および少なくとも1種のエッチャントをさらに含む請求項2に記載の洗浄組成物。
  18. ペンタメチルジエチレントリアミン、プロピレングリコール、ガンマ−ブチロラクトン、およびプロピレングリコール/HFを含む請求項2に記載の洗浄組成物。
  19. ペンタメチルジエチレントリアミン、プロピレングリコール、ガンマ−ブチロラクトン、プロピレングリコール/HF、およびベンゾトリアゾールを含む請求項17に記載の洗浄組成物。
  20. 水性洗浄組成物を形成するために以下の試薬の1つまたは複数を1つまたは複数の容器内に含むキットであって、前記1つまたは複数の試薬が、少なくとも1種のエッチャント、水、場合により少なくとも1種の低誘電率不動態化剤、場合により少なくとも1種の界面活性剤、および場合によりシリカ源からなる群から選択され、前記組成物がさらに、成分(I)、(II)または(III):
    (I)少なくとも1種のキレート剤、場合により少なくとも1種の有機溶媒、および場合により少なくとも1種の腐食防止剤、
    (II)少なくとも1種の金属腐食防止剤、場合により少なくとも1種の有機溶媒、および場合により少なくとも1種の金属キレート剤、または
    (III)少なくとも1種の有機溶媒、場合により少なくとも1種のキレート剤、および場合により少なくとも1種の腐食防止剤
    を含むことを特徴とし、プラズマエッチング後残留物をその上に有するマイクロ電子デバイスから前記残留物を洗浄するために適切である水性洗浄組成物を形成するように適合されたキット。
  21. 洗浄組成物を形成するために以下の試薬の1つまたは複数を1つまたは複数の容器内に含むキットであって、前記1つまたは複数の試薬が、少なくとも1種の有機溶媒、少なくとも1種の金属キレート剤、場合により少なくとも1種の界面活性剤、場合により少なくとも1種の腐食防止剤、場合により少なくとも1種の低誘電率不動態化剤、場合により少なくとも1種のエッチャント、および場合により水からなる群から選択され、プラズマエッチング後残留物をその上に有するマイクロ電子デバイスから前記残留物を洗浄するために適切である洗浄組成物を形成するように適合されたキット。
  22. 材料をその上に有するマイクロ電子デバイスから前記材料を除去する方法であって、前記方法が、前記マイクロ電子デバイスから前記材料を少なくとも部分的に除去するのに十分な時間、前記マイクロ電子デバイスを水性洗浄組成物と接触させることを含み、前記水性洗浄組成物が、少なくとも1種のエッチャント、水、場合により少なくとも1種の低誘電率不動態化剤、場合により少なくとも1種の界面活性剤、および場合によりシリカ源を含み、前記組成物がさらに、成分(I)、(II)または(III):
    (I)少なくとも1種のキレート剤、場合により少なくとも1種の有機溶媒、および場合により少なくとも1種の腐食防止剤、
    (II)少なくとも1種の金属腐食防止剤、場合により少なくとも1種の有機溶媒、および場合により少なくとも1種の金属キレート剤、または
    (III)少なくとも1種の有機溶媒、場合により少なくとも1種のキレート剤、および場合により少なくとも1種の腐食防止剤
    を含むことを特徴とする方法。
  23. プラズマエッチング後残留物をその上に有するマイクロ電子デバイスから前記残留物を除去する方法であって、前記方法が、前記マイクロ電子デバイスから前記残留物を少なくとも部分的に除去するのに十分な時間、前記マイクロ電子デバイスを洗浄組成物と接触させることを含み、前記洗浄組成物が、少なくとも1種の有機溶媒、少なくとも1種の金属キレート剤、場合により少なくとも1種の界面活性剤、場合により少なくとも1種の腐食防止剤、場合により少なくとも1種の低誘電率不動態化剤、場合により少なくとも1種のエッチャント、および場合により水を含む方法。
  24. 前記材料が、プラズマエッチング後残留物、TiN、またはこれらの組み合わせを含む請求項22に記載の方法。
  25. 前記プラズマエッチング後残留物が、チタン含有化合物、高分子化合物、銅含有化合物、タングステン含有化合物、コバルト含有化合物、およびこれらの組み合わせからなる群から選択される残留物を含む請求項23または24に記載の方法。
  26. 前記接触が、約1分〜約30分の時間、約40℃〜約70℃の範囲の温度、およびこれらの組み合わせからなる群から選択される条件を含む請求項22または23に記載の方法。
  27. 前記マイクロ電子デバイスが、半導体基板、フラットパネルディスプレイ、およびマイクロエレクトロメカニカルシステム(MEMS)からなる群から選択される物品の一部である請求項22または23に記載の方法。
  28. 前記マイクロ電子デバイスが、超低誘電率誘電体層を含む請求項22または23に記載の方法。
  29. 前記接触が、前記マイクロ電子デバイスの表面に前記組成物をスプレーする工程、十分な容積の前記組成物中に前記マイクロ電子デバイスを浸漬する工程、前記マイクロ電子デバイスの表面を、前記組成物で飽和した別の材料と接触させる工程、および前記マイクロ電子デバイスを循環する組成物と接触させる工程からなる群から選択される工程を含む請求項22または23に記載の方法。
  30. 前記組成物との接触後に前記マイクロ電子デバイスを脱イオン水で洗い流すことをさらに含む請求項22または23に記載の方法。
  31. 前記組成物が、チタン含有残留物、高分子残留物、銅含有残留物、タングステン含有残留物、コバルト含有残留物、およびこれらの組み合わせからなる群から選択されるプラズマエッチング後残留物をさらに含む請求項22または23に記載の方法。
  32. 前記マイクロ電子デバイスから不揮発性材料を除去するためのポストベークステップをさらに含む請求項22または23に記載の方法。
  33. 前記マイクロ電子デバイスから不揮発性材料を除去するためのイソプロパノール蒸気乾燥ステップをさらに含む請求項22または23に記載の方法。
JP2009543273A 2006-12-21 2007-12-21 エッチング後残留物を除去するための液体洗浄剤 Expired - Fee Related JP5237300B2 (ja)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US87136206P 2006-12-21 2006-12-21
US60/871,362 2006-12-21
US88683007P 2007-01-26 2007-01-26
US60/886,830 2007-01-26
US89530207P 2007-03-16 2007-03-16
US60/895,302 2007-03-16
US94717807P 2007-06-29 2007-06-29
US60/947,178 2007-06-29
PCT/US2007/088644 WO2008080097A2 (en) 2006-12-21 2007-12-21 Liquid cleaner for the removal of post-etch residues

Publications (2)

Publication Number Publication Date
JP2010515246A true JP2010515246A (ja) 2010-05-06
JP5237300B2 JP5237300B2 (ja) 2013-07-17

Family

ID=39296041

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009543273A Expired - Fee Related JP5237300B2 (ja) 2006-12-21 2007-12-21 エッチング後残留物を除去するための液体洗浄剤

Country Status (7)

Country Link
US (1) US20100163788A1 (ja)
EP (1) EP2108039A2 (ja)
JP (1) JP5237300B2 (ja)
KR (3) KR101636996B1 (ja)
SG (2) SG10201610631UA (ja)
TW (3) TWI449784B (ja)
WO (1) WO2008080097A2 (ja)

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010087258A (ja) * 2008-09-30 2010-04-15 Fujifilm Corp 半導体基板表面用洗浄剤及びそれを用いた半導体デバイスの洗浄方法
JP2012502142A (ja) * 2008-09-07 2012-01-26 ラム リサーチ コーポレーション 基板のための洗浄溶液配合
JP2013533631A (ja) * 2010-07-16 2013-08-22 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド エッチング後残渣を除去するための水性洗浄剤
WO2014077320A1 (ja) * 2012-11-16 2014-05-22 富士フイルム株式会社 半導体基板のエッチング液、これを用いたエッチング方法及び半導体素子の製造方法
KR20140132708A (ko) * 2011-12-28 2014-11-18 인티그리스, 인코포레이티드 티타늄 나이트라이드의 선택적인 에칭을 위한 조성물 및 방법
WO2015111684A1 (ja) * 2014-01-27 2015-07-30 三菱瓦斯化学株式会社 窒化チタン除去用液体組成物およびそれを用いた半導体素子の洗浄方法、並びに半導体素子の製造方法
KR101562053B1 (ko) 2012-06-13 2015-10-20 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 세정용 액체 조성물, 반도체소자의 세정방법, 및 반도체소자의 제조방법
WO2016021646A1 (ja) * 2014-08-08 2016-02-11 東レ株式会社 仮貼り用接着剤、接着剤層、ウエハ加工体およびこれを用いた半導体装置の製造方法、リワーク溶剤、ポリイミド共重合体、ポリイミド混合樹脂、ならびに樹脂組成物
JP2016510175A (ja) * 2013-03-04 2016-04-04 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 窒化チタンを選択的にエッチングするための組成物および方法
JP2016074906A (ja) * 2008-10-21 2016-05-12 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅の洗浄及び保護配合物
JP2016527707A (ja) * 2013-06-06 2016-09-08 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 窒化チタンを選択的にエッチングするための組成物及び方法
JP2016536392A (ja) * 2013-10-21 2016-11-24 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 表面の残留物を除去するための洗浄配合物
JP2017502129A (ja) * 2013-12-11 2017-01-19 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 表面の残留物を除去するための洗浄配合物
JPWO2017110885A1 (ja) * 2015-12-25 2017-12-28 荒川化学工業株式会社 電子材料用の洗浄剤組成物、洗浄剤原液、及び電子材料の洗浄方法
US9868902B2 (en) 2014-07-17 2018-01-16 Soulbrain Co., Ltd. Composition for etching
JP2018528284A (ja) * 2015-07-14 2018-09-27 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 洗浄組成物及びその使用方法
WO2018181901A1 (ja) * 2017-03-31 2018-10-04 関東化學株式会社 洗浄液組成物
JP2019530250A (ja) * 2016-08-05 2019-10-17 ケーシーテック カンパニー リミテッド タングステンバリア層研磨用スラリー組成物
KR20200058428A (ko) 2017-10-10 2020-05-27 미쯔비시 케미컬 주식회사 세정액, 세정 방법 및 반도체 웨이퍼의 제조 방법
JP2020173360A (ja) * 2019-04-11 2020-10-22 東京応化工業株式会社 洗浄液、及び金属レジストを備えた支持体の洗浄方法
JP2021506131A (ja) * 2017-12-08 2021-02-18 ビーエイエスエフ・ソシエタス・エウロパエアBasf Se 半導体基板からエッチング後または灰化後の残留物を除去するための洗浄剤組成物、およびそれに対応する製造方法
US10927329B2 (en) 2013-12-06 2021-02-23 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
KR20210109139A (ko) * 2020-02-27 2021-09-06 권영선 송배전 설비용 애자의 세정을 위한 친환경성 애자 세정제 및 상기 친환경성 애자 세정제의 희석 장치
JP2022093306A (ja) * 2020-12-11 2022-06-23 東友ファインケム株式会社 高分子処理用工程液
US11407966B2 (en) 2018-03-28 2022-08-09 Fujifilm Electronic Materials U.S.A., Inc. Cleaning compositions
JP7403464B2 (ja) 2018-04-04 2023-12-22 ビーエーエスエフ ソシエタス・ヨーロピア ポスト-アッシングの残渣の除去及び/又はTiNを含む層又はマスクの酸化エッチングのためのイミダゾリジンチオン含有組成物

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007120259A2 (en) * 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
TWI509690B (zh) 2006-12-21 2015-11-21 Entegris Inc 選擇性移除氮化矽之組合物及方法
WO2009013987A1 (ja) * 2007-07-26 2009-01-29 Mitsubishi Gas Chemical Company, Inc. 洗浄防食用組成物および半導体素子または表示素子の製造方法
CA2705052C (en) * 2007-11-07 2016-03-22 Vitech International, Inc. Tetrafluoroborate compounds, compositions and related methods of use
KR20100082012A (ko) * 2007-11-16 2010-07-15 이케이씨 테크놀로지, 인코포레이티드 반도체 기판으로부터의 금속 하드 마스크 에칭 잔류물의 제거를 위한 조성물
CA2716641A1 (en) * 2008-02-29 2009-09-03 Mallinckrodt Baker, Inc. Microelectronic substrate cleaning compositions
WO2010019722A2 (en) * 2008-08-13 2010-02-18 Intermolecular, Inc. Combinatorial approach to the development of cleaning formulations for glue removal in semiconductor applications
US8361237B2 (en) * 2008-12-17 2013-01-29 Air Products And Chemicals, Inc. Wet clean compositions for CoWP and porous dielectrics
EP2226374B1 (en) * 2009-03-06 2012-05-16 S.O.I. TEC Silicon Etching composition, in particular for silicon materials, method for characterizing defects of such materials and process of treating such surfaces with etching composition
CN102124414B (zh) * 2009-04-17 2014-04-02 长瀬化成株式会社 光致抗蚀剂剥离剂组合物以及光致抗蚀剂剥离方法
JP5646882B2 (ja) 2009-09-30 2014-12-24 富士フイルム株式会社 洗浄組成物、洗浄方法、及び半導体装置の製造方法
US20110146724A1 (en) * 2009-12-19 2011-06-23 Mr. WAI MUN LEE Photoresist stripping solutions
KR101114502B1 (ko) * 2010-06-28 2012-02-24 램테크놀러지 주식회사 세정용 조성물 및 이를 이용한 반도체 패턴의 형성방법
CN105274338A (zh) 2010-08-20 2016-01-27 安格斯公司 从电子垃圾回收贵金属和贱金属的可持续方法
SG189292A1 (en) 2010-10-06 2013-05-31 Advanced Tech Materials Composition and process for selectively etching metal nitrides
KR101891363B1 (ko) 2010-10-13 2018-08-24 엔테그리스, 아이엔씨. 티타늄 니트라이드 부식을 억제하기 위한 조성물 및 방법
EP2460860A1 (de) * 2010-12-02 2012-06-06 Basf Se Verwendung von Mischungen zur Entfernung von Polyurethanen von Metalloberflächen
CN103168092A (zh) * 2010-12-16 2013-06-19 克兹恩公司 用于去除焊剂的清洗剂
EP2688688A4 (en) * 2011-03-21 2014-12-31 Basf Se AQUEOUS CLEANING COMPOSITION WITHOUT NITROGEN, PREPARATION AND USE THEREOF
KR20120138290A (ko) * 2011-06-14 2012-12-26 삼성디스플레이 주식회사 식각액 조성물, 및 이를 이용한 금속 배선과 박막 트랜지스터 기판 형성 방법
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
MY172099A (en) 2011-10-05 2019-11-13 Avantor Performance Mat Llc Microelectronic substrate cleaning compositions having copper/azole polymer inhibition
KR101428143B1 (ko) * 2011-10-13 2014-08-08 주식회사 포스코 내식성이 우수한 코팅용 아연분말, 아연분말의 제조방법 및 아연분말 코팅강판
WO2013123317A1 (en) 2012-02-15 2013-08-22 Advanced Technology Materials, Inc. Post-cmp removal using compositions and method of use
EP2850495A4 (en) 2012-05-18 2016-01-20 Entegris Inc COMPOSITION AND METHOD FOR REMOVING PHOTOLACK FROM A SURFACE WITH TITANNITRIDE
US9688912B2 (en) * 2012-07-27 2017-06-27 Fujifilm Corporation Etching method, and etching liquid to be used therein and method of producing a semiconductor substrate product using the same
KR102002131B1 (ko) * 2012-08-03 2019-07-22 삼성디스플레이 주식회사 식각액 조성물 및 이를 이용한 박막 트랜지스터 제조 방법
US9536730B2 (en) 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations
KR102118964B1 (ko) 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Iii-v 반도체 물질을 세척하기 위한 조성물 및 이를 사용하는 방법
US20150144160A1 (en) * 2012-12-13 2015-05-28 Beijing Sevenstar Electronics Co., Ltd. Etchant, preparation thereof and method of using the same in the cleaning process
US9102901B2 (en) 2012-12-20 2015-08-11 Rohm And Haas Electronic Materials Llc Methods and compositions for removal of metal hardmasks
CN104102028A (zh) * 2013-04-10 2014-10-15 第一毛织株式会社 表面处理氧化铟锌基板的有机溶液及显示基板制备方法
JP6198672B2 (ja) * 2013-05-02 2017-09-20 富士フイルム株式会社 エッチング方法、これに用いるエッチング液およびエッチング液のキット、ならびに半導体基板製品の製造方法
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
KR102091543B1 (ko) * 2013-08-01 2020-03-23 동우 화인켐 주식회사 망상형 고분자 용해용 조성물
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US20150104952A1 (en) * 2013-10-11 2015-04-16 Ekc Technology, Inc. Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper
US10340150B2 (en) * 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
US9472420B2 (en) * 2013-12-20 2016-10-18 Air Products And Chemicals, Inc. Composition for titanium nitride hard mask and etch residue removal
JP6776125B2 (ja) 2013-12-20 2020-10-28 インテグリス・インコーポレーテッド イオン注入レジストの除去のための非酸化性の強酸の使用
KR102152909B1 (ko) * 2013-12-31 2020-09-07 세메스 주식회사 기판처리방법
WO2015103146A1 (en) 2013-12-31 2015-07-09 Advanced Technology Materials, Inc. Formulations to selectively etch silicon and germanium
TWI659098B (zh) 2014-01-29 2019-05-11 美商恩特葛瑞斯股份有限公司 化學機械研磨後配方及其使用方法
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
CN116286222A (zh) * 2014-05-13 2023-06-23 巴斯夫欧洲公司 Tin拉回和清洁组合物
US11978622B2 (en) 2014-06-30 2024-05-07 Entegris, Inc. Aqueous and semi-aqueous cleaners for the removal of post-etch residues with tungsten and cobalt compatibility
KR102265414B1 (ko) * 2014-09-22 2021-06-15 동우 화인켐 주식회사 금속막용 세정제 조성물
KR102296739B1 (ko) * 2014-10-27 2021-09-01 삼성전자 주식회사 포토마스크용 세정 조성물을 이용한 집적회로 소자 제조 방법
DE102014222834A1 (de) * 2014-11-10 2016-05-12 Henkel Ag & Co. Kgaa Wasch- und Reinigungsmittel mit verbesserter Leistung
KR102347596B1 (ko) * 2015-06-26 2022-01-06 동우 화인켐 주식회사 잔류물 제거를 위한 수성 세정제 조성물
JP6761166B2 (ja) * 2015-07-23 2020-09-23 セントラル硝子株式会社 ウェットエッチング方法及びエッチング液
US9740094B2 (en) 2015-08-21 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Damage prevention on EUV mask
JP6681750B2 (ja) * 2016-03-04 2020-04-15 東京応化工業株式会社 洗浄液及び洗浄方法
KR101856149B1 (ko) * 2016-04-06 2018-06-20 케이피엑스케미칼 주식회사 세정액 조성물, 및 그 세정액 조성물을 사용하는 반도체 소자의 제조방법 및 반도체 소자
JP6970675B2 (ja) * 2016-09-29 2021-11-24 富士フイルム株式会社 処理液および積層体の処理方法
EP3563411B1 (en) * 2016-12-28 2021-04-14 Invensas Bonding Technologies, Inc. Method of processing a substrate on a temporary substrate
KR20240074891A (ko) * 2017-01-18 2024-05-28 엔테그리스, 아이엔씨. 표면으로부터 세리아 입자를 제거하기 위한 조성물 및 방법
CN107012471B (zh) * 2017-05-04 2019-11-12 太仓沪试试剂有限公司 一种管道清洁剂及其应用
EP3672944A4 (en) * 2017-08-22 2021-06-02 FUJIFILM Electronic Materials U.S.A, Inc. CLEANING COMPOSITIONS
JP7090625B2 (ja) * 2017-08-31 2022-06-24 富士フイルム株式会社 処理液、キット、基板の洗浄方法
US10961487B2 (en) 2017-11-30 2021-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device cleaning solution, method of use, and method of manufacture
CN109976110A (zh) * 2017-12-27 2019-07-05 安集微电子(上海)有限公司 一种清洗液
KR102067164B1 (ko) * 2018-05-11 2020-01-16 삼성엔지니어링 주식회사 불산폐수 재이용 방법 및 불산폐수 재이용장치
CN110713868A (zh) * 2018-07-13 2020-01-21 巴斯夫欧洲公司 可移除氮化钛的蚀刻后残渣清理溶液
US10952430B2 (en) 2019-02-06 2021-03-23 Virox Technologies Inc. Shelf-stable antimicrobial compositions
WO2020234395A1 (en) 2019-05-23 2020-11-26 Basf Se Composition and process for selectively etching a hard mask and/or an etch-stop layer in the presence of layers of low-k materials, copper, cobalt and/or tungsten
CN110273159A (zh) * 2019-07-02 2019-09-24 宜兴市中大凯水处理有限公司 一种新型高温缓蚀剂及其制备方法
KR102192954B1 (ko) * 2020-03-26 2020-12-18 동우 화인켐 주식회사 고분자 세정용 조성물
KR20230127692A (ko) 2022-02-25 2023-09-01 동우 화인켐 주식회사 금속 산화막 박리액 조성물
CN115418642B (zh) * 2022-08-23 2023-06-02 湖北兴福电子材料股份有限公司 一种铜钼蚀刻液及其制备方法
CN115207167B (zh) * 2022-09-16 2022-11-22 英利能源发展有限公司 一种硅抛光面的清洗方法
KR102558255B1 (ko) * 2022-10-06 2023-07-20 윤새길 요소수 백화현상 세정 첨가제 및 그 제조방법

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005500408A (ja) * 2001-07-09 2005-01-06 マリンクロッド・ベイカー・インコーポレイテッド 選択的フォトレジストストリッピングおよびプラズマ灰化残渣洗浄のための、アンモニア不含フッ化物塩含有マイクロエレクトロニクス洗浄組成物

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3123438A (en) * 1964-03-03 Process for the production of ammonium
US3296124A (en) * 1964-10-23 1967-01-03 Sidney M Heins Process for preparing a useful water from a natural salt water and recovering values contained therein
US4178211A (en) * 1977-03-03 1979-12-11 Ethyl Corporation Process for producing citric acid
US5279771A (en) * 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
JP3160344B2 (ja) * 1991-01-25 2001-04-25 アシュランド インコーポレーテッド 有機ストリッピング組成物
US5320709A (en) * 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
US5421906A (en) * 1993-04-05 1995-06-06 Enclean Environmental Services Group, Inc. Methods for removal of contaminants from surfaces
US6129773A (en) * 1993-07-16 2000-10-10 Killick; Robert William Fuel blends
US6326130B1 (en) * 1993-10-07 2001-12-04 Mallinckrodt Baker, Inc. Photoresist strippers containing reducing agents to reduce metal corrosion
US5453659A (en) * 1994-06-10 1995-09-26 Texas Instruments Incorporated Anode plate for flat panel display having integrated getter
US5571447A (en) * 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
US7534752B2 (en) * 1996-07-03 2009-05-19 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US5698503A (en) * 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
US6896826B2 (en) * 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6755989B2 (en) * 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6224785B1 (en) * 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
WO1998056381A1 (en) * 1997-06-09 1998-12-17 Bridge Pharma, Inc. Compounds with combined antihistaminic and mast cell stabilizing activities, intended for ophthalmic use
US5856491A (en) * 1997-08-09 1999-01-05 Aristech Chemical Corp. Method of making teritiary hindered amines
US6280651B1 (en) * 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) * 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
KR20010025043A (ko) * 1998-05-18 2001-03-26 바누치 유진 지. 반도체 기판용 스트립팅 조성물
US6875733B1 (en) * 1998-10-14 2005-04-05 Advanced Technology Materials, Inc. Ammonium borate containing compositions for stripping residues from semiconductor substrates
US6207596B1 (en) * 1998-11-09 2001-03-27 The Procter & Gamble Company Disposable premoistened wipe containing an antimicrobial protease inhibitor
US6623535B1 (en) * 1999-07-02 2003-09-23 Horst Kief Fuel additive for reduction of pollutant emissions
US6344432B1 (en) * 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
KR100400030B1 (ko) * 2000-06-05 2003-09-29 삼성전자주식회사 금속막의 화학 및 기계적 연마용 슬러리 및 그 제조방법과상기 슬러리를 이용한 반도체 소자의 금속 배선 형성 방법
KR100547743B1 (ko) * 2000-09-28 2006-01-31 신에쯔 세끼에이 가부시키가이샤 반도체공업용 실리카유리지그 및 그 제조방법
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6566315B2 (en) * 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6773873B2 (en) * 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
WO2003104901A2 (en) * 2002-06-07 2003-12-18 Mallinckrodt Baker Inc. Microelectronic cleaning and arc remover compositions
EP1520211A2 (en) * 2002-06-07 2005-04-06 Mallinckrodt Baker, Inc. Microelectronic cleaning compositions containing oxidizers and organic solvents
US6849200B2 (en) * 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US8236485B2 (en) * 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
WO2004094581A1 (en) 2003-04-18 2004-11-04 Ekc Technology, Inc. Aqueous fluoride compositions for cleaning semiconductor devices
US7160807B2 (en) * 2003-06-30 2007-01-09 Cabot Microelectronics Corporation CMP of noble metals
US7193027B2 (en) * 2003-08-08 2007-03-20 General Electric Company Functionalized silicone resins, methods for their preparation, and use as catalysts
TW200525015A (en) * 2003-09-11 2005-08-01 Taiyo Kagaku Kk Porous silica having substance carried thereon
EP1690135A4 (en) * 2003-12-02 2007-05-09 Advanced Tech Materials METHOD AND CHEMICAL FOR REMOVING PHOTOSENSITIVE RESIN, ANTI-REFLECTIVE COATING OR FILLING MATERIAL
US20060021974A1 (en) * 2004-01-29 2006-02-02 Applied Materials, Inc. Method and composition for polishing a substrate
JP2005232559A (ja) * 2004-02-23 2005-09-02 Meltex Inc チタン剥離液
US8338087B2 (en) * 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US20060063687A1 (en) * 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060148666A1 (en) * 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
US20060154186A1 (en) * 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
EP1853973A1 (en) * 2005-02-25 2007-11-14 Ekc Technology, Inc. Method to remove resist, etch residue, and copper oxide from substrates having copper and low-k dielectric material
US7381634B2 (en) * 2005-04-13 2008-06-03 Stats Chippac Ltd. Integrated circuit system for bonding
US20070251551A1 (en) * 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
JP2008546036A (ja) * 2005-06-07 2008-12-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 金属および誘電体相溶性の犠牲反射防止コーティング浄化および除去組成物
EP1894230A2 (en) * 2005-06-13 2008-03-05 Advanced Technology Materials, Inc. Compositions and methods for selective removal of metal or metal alloy after metal silicide formation
KR101444468B1 (ko) * 2005-10-05 2014-10-30 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
EP1949424A2 (en) * 2005-10-05 2008-07-30 Advanced Technology Materials, Inc. Composition and method for selectively etching gate spacer oxide material
CN101421386B (zh) * 2005-10-13 2011-08-10 高级技术材料公司 金属相容的光致抗蚀剂和/或牺牲性抗反射涂层去除组合物
CN101356629B (zh) * 2005-11-09 2012-06-06 高级技术材料公司 用于将其上具有低k介电材料的半导体晶片再循环的组合物和方法
TW200734448A (en) * 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US8025811B2 (en) * 2006-03-29 2011-09-27 Intel Corporation Composition for etching a metal hard mask material in semiconductor processing
US20080125342A1 (en) * 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
TWI509690B (zh) * 2006-12-21 2015-11-21 Entegris Inc 選擇性移除氮化矽之組合物及方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005500408A (ja) * 2001-07-09 2005-01-06 マリンクロッド・ベイカー・インコーポレイテッド 選択的フォトレジストストリッピングおよびプラズマ灰化残渣洗浄のための、アンモニア不含フッ化物塩含有マイクロエレクトロニクス洗浄組成物

Cited By (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012502142A (ja) * 2008-09-07 2012-01-26 ラム リサーチ コーポレーション 基板のための洗浄溶液配合
JP2010087258A (ja) * 2008-09-30 2010-04-15 Fujifilm Corp 半導体基板表面用洗浄剤及びそれを用いた半導体デバイスの洗浄方法
JP2016074906A (ja) * 2008-10-21 2016-05-12 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅の洗浄及び保護配合物
JP2013533631A (ja) * 2010-07-16 2013-08-22 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド エッチング後残渣を除去するための水性洗浄剤
KR20140132708A (ko) * 2011-12-28 2014-11-18 인티그리스, 인코포레이티드 티타늄 나이트라이드의 선택적인 에칭을 위한 조성물 및 방법
JP2015506583A (ja) * 2011-12-28 2015-03-02 インテグリス,インコーポレイテッド 窒化チタンを選択的にエッチングするための組成物および方法
KR102102792B1 (ko) * 2011-12-28 2020-05-29 엔테그리스, 아이엔씨. 티타늄 나이트라이드의 선택적인 에칭을 위한 조성물 및 방법
KR101562053B1 (ko) 2012-06-13 2015-10-20 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 세정용 액체 조성물, 반도체소자의 세정방법, 및 반도체소자의 제조방법
WO2014077320A1 (ja) * 2012-11-16 2014-05-22 富士フイルム株式会社 半導体基板のエッチング液、これを用いたエッチング方法及び半導体素子の製造方法
JP2014103179A (ja) * 2012-11-16 2014-06-05 Fujifilm Corp 半導体基板のエッチング液、これを用いたエッチング方法及び半導体素子の製造方法
JP2016510175A (ja) * 2013-03-04 2016-04-04 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 窒化チタンを選択的にエッチングするための組成物および方法
JP2016527707A (ja) * 2013-06-06 2016-09-08 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 窒化チタンを選択的にエッチングするための組成物及び方法
JP2016536392A (ja) * 2013-10-21 2016-11-24 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 表面の残留物を除去するための洗浄配合物
US11401487B2 (en) 2013-12-06 2022-08-02 Fujifilm Electronics Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
US11618867B2 (en) 2013-12-06 2023-04-04 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
US10927329B2 (en) 2013-12-06 2021-02-23 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
US11286444B2 (en) 2013-12-06 2022-03-29 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
US11639487B2 (en) 2013-12-06 2023-05-02 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
JP2017502129A (ja) * 2013-12-11 2017-01-19 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 表面の残留物を除去するための洗浄配合物
WO2015111684A1 (ja) * 2014-01-27 2015-07-30 三菱瓦斯化学株式会社 窒化チタン除去用液体組成物およびそれを用いた半導体素子の洗浄方法、並びに半導体素子の製造方法
US9777251B2 (en) 2014-01-27 2017-10-03 Mitsubishi Gas Chemical Company, Inc. Liquid composition for removing titanium nitride, semiconductor-element cleaning method using same, and semiconductor-element manufacturing method
JPWO2015111684A1 (ja) * 2014-01-27 2017-03-23 三菱瓦斯化学株式会社 窒化チタン除去用液体組成物およびそれを用いた半導体素子の洗浄方法、並びに半導体素子の製造方法
CN105981136A (zh) * 2014-01-27 2016-09-28 三菱瓦斯化学株式会社 氮化钛去除用液体组合物和使用其的半导体元件的清洗方法、以及半导体元件的制造方法
KR20160111903A (ko) * 2014-01-27 2016-09-27 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 질화티탄 제거용 액체 조성물 및 이것을 이용한 반도체소자의 세정방법, 그리고 반도체소자의 제조방법
KR102200494B1 (ko) 2014-01-27 2021-01-08 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 질화티탄 제거용 액체 조성물 및 이것을 이용한 반도체소자의 세정방법, 그리고 반도체소자의 제조방법
US9868902B2 (en) 2014-07-17 2018-01-16 Soulbrain Co., Ltd. Composition for etching
US10465112B2 (en) 2014-07-17 2019-11-05 Soulbrain Co., Ltd. Composition for etching
US10941320B2 (en) 2014-08-08 2021-03-09 Toray Industries, Inc. Adhesive for temporary bonding, adhesive layer, wafer work piece and method for manufacturing semiconductor device using same, rework solvent, polyimide copolymer, polyimide mixed resin, and resin compostion
WO2016021646A1 (ja) * 2014-08-08 2016-02-11 東レ株式会社 仮貼り用接着剤、接着剤層、ウエハ加工体およびこれを用いた半導体装置の製造方法、リワーク溶剤、ポリイミド共重合体、ポリイミド混合樹脂、ならびに樹脂組成物
US10177022B2 (en) 2014-08-08 2019-01-08 Toray Industries, Inc. Adhesive for temporary bonding, adhesive layer, wafer work piece and method for manufacturing semiconductor device using same, rework solvent, polyimide copolymer, polyimide mixed resin, and resin composition
US10619126B2 (en) 2015-07-14 2020-04-14 Fujifilm Electronic Materials U.S.A., Inc. Cleaning compositions and methods of use therefor
JP2018528284A (ja) * 2015-07-14 2018-09-27 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 洗浄組成物及びその使用方法
JPWO2017110885A1 (ja) * 2015-12-25 2017-12-28 荒川化学工業株式会社 電子材料用の洗浄剤組成物、洗浄剤原液、及び電子材料の洗浄方法
JP2019530250A (ja) * 2016-08-05 2019-10-17 ケーシーテック カンパニー リミテッド タングステンバリア層研磨用スラリー組成物
WO2018181901A1 (ja) * 2017-03-31 2018-10-04 関東化學株式会社 洗浄液組成物
US11149231B2 (en) 2017-10-10 2021-10-19 Mitsubishi Chemical Corporation Cleaning liquid, cleaning method, and method for producing semiconductor wafer
KR20200058428A (ko) 2017-10-10 2020-05-27 미쯔비시 케미컬 주식회사 세정액, 세정 방법 및 반도체 웨이퍼의 제조 방법
JP2021506131A (ja) * 2017-12-08 2021-02-18 ビーエイエスエフ・ソシエタス・エウロパエアBasf Se 半導体基板からエッチング後または灰化後の残留物を除去するための洗浄剤組成物、およびそれに対応する製造方法
JP7330972B2 (ja) 2017-12-08 2023-08-22 ビーエーエスエフ ソシエタス・ヨーロピア 半導体基板からエッチング後または灰化後の残留物を除去するための洗浄剤組成物、およびそれに対応する製造方法
US11407966B2 (en) 2018-03-28 2022-08-09 Fujifilm Electronic Materials U.S.A., Inc. Cleaning compositions
JP7403464B2 (ja) 2018-04-04 2023-12-22 ビーエーエスエフ ソシエタス・ヨーロピア ポスト-アッシングの残渣の除去及び/又はTiNを含む層又はマスクの酸化エッチングのためのイミダゾリジンチオン含有組成物
JP2020173360A (ja) * 2019-04-11 2020-10-22 東京応化工業株式会社 洗浄液、及び金属レジストを備えた支持体の洗浄方法
JP7274919B2 (ja) 2019-04-11 2023-05-17 東京応化工業株式会社 洗浄液、及び金属レジストを備えた支持体の洗浄方法
KR102308898B1 (ko) * 2020-02-27 2021-10-05 권영선 송배전 설비용 애자의 세정을 위한 친환경성 애자 세정제 및 상기 친환경성 애자 세정제의 희석 장치
KR20210109139A (ko) * 2020-02-27 2021-09-06 권영선 송배전 설비용 애자의 세정을 위한 친환경성 애자 세정제 및 상기 친환경성 애자 세정제의 희석 장치
JP2022093306A (ja) * 2020-12-11 2022-06-23 東友ファインケム株式会社 高分子処理用工程液
JP7346532B2 (ja) 2020-12-11 2023-09-19 東友ファインケム株式会社 高分子処理用工程液

Also Published As

Publication number Publication date
TWI449784B (zh) 2014-08-21
US20100163788A1 (en) 2010-07-01
TWI572746B (zh) 2017-03-01
TW200846462A (en) 2008-12-01
KR20090096728A (ko) 2009-09-14
EP2108039A2 (en) 2009-10-14
WO2008080097A2 (en) 2008-07-03
WO2008080097A3 (en) 2008-10-09
TWI611047B (zh) 2018-01-11
KR101449774B1 (ko) 2014-10-14
TW201435143A (zh) 2014-09-16
SG177915A1 (en) 2012-02-28
KR20140074966A (ko) 2014-06-18
JP5237300B2 (ja) 2013-07-17
SG10201610631UA (en) 2017-02-27
KR101636996B1 (ko) 2016-07-07
TW201710556A (zh) 2017-03-16
KR20160085902A (ko) 2016-07-18

Similar Documents

Publication Publication Date Title
JP5237300B2 (ja) エッチング後残留物を除去するための液体洗浄剤
US9063431B2 (en) Aqueous cleaner for the removal of post-etch residues
KR102405063B1 (ko) 텅스텐 및 코발트 상용성을 갖는 에치후 잔류물을 제거하기 위한 수성 및 반-수성 세정제
US9422513B2 (en) Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
TWI638244B (zh) 用來移除表面殘餘物的清洗調配物
US20090301996A1 (en) Formulations for removing cooper-containing post-etch residue from microelectronic devices
JP2009516360A (ja) 金属適合フォトレジスト及び/又は犠牲反射防止コーティング除去組成物
KR20160097201A (ko) 표면 잔류물 제거용 세정 제형

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20101220

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120215

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120514

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120521

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120814

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130313

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130328

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160405

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees