JP2009516360A - 金属適合フォトレジスト及び/又は犠牲反射防止コーティング除去組成物 - Google Patents

金属適合フォトレジスト及び/又は犠牲反射防止コーティング除去組成物 Download PDF

Info

Publication number
JP2009516360A
JP2009516360A JP2008535667A JP2008535667A JP2009516360A JP 2009516360 A JP2009516360 A JP 2009516360A JP 2008535667 A JP2008535667 A JP 2008535667A JP 2008535667 A JP2008535667 A JP 2008535667A JP 2009516360 A JP2009516360 A JP 2009516360A
Authority
JP
Japan
Prior art keywords
liquid removal
removal composition
group
ether
photoresist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008535667A
Other languages
English (en)
Inventor
ラース,メリッサ,ケイ.
バーナード,デイビッド,ディー.
バウム,トーマス,エイチ.
ジアン,ピン
ジョウ,レンジー
ビー. コルゼンスキー,マイケル
Original Assignee
アドバンスド テクノロジー マテリアルズ,インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アドバンスド テクノロジー マテリアルズ,インコーポレイテッド filed Critical アドバンスド テクノロジー マテリアルズ,インコーポレイテッド
Publication of JP2009516360A publication Critical patent/JP2009516360A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D9/00Chemical paint or ink removers
    • C09D9/04Chemical paint or ink removers with surface-active agents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3746Macromolecular compounds obtained by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3769(Co)polymerised monomers containing nitrogen, e.g. carbonamides, nitriles or amines
    • C11D3/3773(Co)polymerised monomers containing nitrogen, e.g. carbonamides, nitriles or amines in liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/20Other heavy metals
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/34Imagewise removal by selective transfer, e.g. peeling away
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Detergent Compositions (AREA)

Abstract

フォトレジスト及び/又は犠牲反射防止コーティング(SARC)材料を、その上に当該材料を有するマイクロ電子デバイスから除去するための液体除去組成物及びプロセス。この液体除去組成物は、少なくとも1つの有機第4級塩基及び少なくとも1つの表面相互作用強化添加剤を含む。この組成物は、集積回路の製造において、銅及びコバルトなどのマイクロ電子デバイス上の金属種のエッチングを最小限にしながら、さらに、マイクロ電子デバイス・アーキテクチャで使用される低k誘電体材料への損傷なしに、フォトレジスト及び/又はSARC材料の少なくとも部分的な除去を達成する。
【選択図】 なし

Description

[0001] 本発明は、マイクロ電子デバイス製造プロセスにおいて有用な液体除去組成物及びプロセスに関し、特に、犠牲反射防止ケイ酸塩材料が、液体除去組成物によって影響を受けないことが望ましい永久ケイ酸塩材料及び相互接続用金属と共に存在する、特に、例えば、犠牲反射防止ケイ酸塩材料などの材料が付着したマイクロ電子デバイスからのこうした材料の液体除去などのフォトレジスト除去及びケイ酸塩ストリッピングのための液体除去組成物及びプロセスに関する。
[0002] 現在、フォトリソグラフィ業界で使用される放射線には、436nm、365nm、248nm、及び193nmの、4つの開発された波長があり、近年では、157nmのリソグラフィ・プロセスに努力が注がれている。理論的には、各波長が短くなるにつれて、マイクロ電子デバイス・チップ上に作成されるフィーチャ(feature)を小さくすることができる。しかし、マイクロ電子デバイス基板の反射性がフォトリソグラフィック波長に反比例するため、干渉や不均一に露光されたフォトレジストが、マイクロ電子デバイスの限界寸法の整合性を制限する。
[0003] 例えば、DUV放射線に露光されると、DUV波長に対して反射性の高い基板と組み合わされたフォトレジストの透過率は、DUV放射線の反射をフォトレジストに戻すことになるため、それによって、フォトレジスト層内に定在波を発生させることは周知である。定在波はフォトレジスト内にさらなる光化学反応を誘発し、これが、放射線への露光を意図していないマスキング部分等のフォトレジストの不均一な露光を生じさせ、結果として線幅、間隔、及び他の限界寸法にばらつきが生じる。
[0004] 透過率及び反射性の問題に対処するために、フォトレジストの塗布に先立って基板に塗布される、2層及び3層のフォトレジスト、反射防止コーティング(BARC)、ならびに犠牲反射防止コーティング(SARC)が開発されてきた。これらの反射防止コーティングは、全て、典型的なデュアル・ダマシン集積において見られるトポロジカル・ウェハ表面上の平坦化効果を有し、いずれも、偶発UV放射線を吸収することになるスピンオン・ポリマー・マトリクスにUV発色団を組み込んでいる。
[0005] SiOCベースの誘電体と共に使用する場合、SARCは、2つの重要な利点を有する。すなわち、SARCはTEOSベースであるため、SiOC誘電体と同じ速度でエッチングし、これによって、トレンチ・エッチ・ストップをなくし、ビア・エッチ・ストップの厚さを50%まで低減させることができるようなかなり優れたエッチング均一性及び制御が可能になること、ならびにエッチングされたフォトレジスト及びエッチングされたBARCに比較して、エッチングされたSARCにはエッチング後架橋に大幅な増加がないため、エッチングされたSARCを液体除去組成物を使用して除去できることである。
[0006] 未処理のフォトレジストは、強アルカリ性水溶液ならびに選択された有機溶媒の溶液への溶解性を有する。しかし、通常は、誘電体材料のエッチングに使用されるような気相プラズマ・エッチングに露光されたフォトレジストは、材料の表面に硬化クラストを生じさせることになる。硬化クラストは、架橋された有機ポリマーからなり、少量のケイ素原子又は金属原子を含む可能性がある。デュアル・ダマシン・プロセスで使用されるようなフッ素ベースのプラズマ・エッチは、フォトレジスト・クラスト内にフッ素原子を付着させる可能性があり、これによりその溶解性が低下し、その化学的除去への抵抗力が増加する可能性がある。
[0007] マイクロ電子デバイス・ウェハからの硬化フォトレジスト及び/又はSARC材料の完全な除去は、困難及び/又は経費がかかることが証明された。層が除去されない場合、その後のケイ素化又は接触形成を妨げる可能性がある。通常、層は、酸化又は還元性のプラズマ・アッシング又は湿式洗浄によって除去される。しかし、それによって基板が酸化又は還元性のプラズマ・エッチングに露光されるプラズマ・アッシングは、結果として、フィーチャの形状及び寸法の変更、又は誘電体材料の誘電定数の増加のいずれかによって、誘電体材料に損傷を与える可能性がある。後者の問題は、オルガノシリケートグラス(OSG)又は炭素をドープした酸化物ガラスなどの低k誘電体材料(low−k dielectric material)が、下地誘電体材料である場合に、より顕著である。したがって、多くの場合、硬化フォトレジスト及び/又はSARC層を除去するためのプラズマ・アッシングの使用を避けることが望ましい。
[0008] アルミニウム、銅、又はコバルト相互接続用ワイヤを処理するためのバック・エンド・オブ・ライン(BEOL)用途において、クリーナ/腐食液組成物が使用される場合、その組成物が、例えば銅、アルミニウム、コバルトなどにおける低いエッチング率などの良好な金属適合性を有すること、ならびに永久ケイ酸塩材料がクリーナ組成物によって影響を受けないことが重要である。廃棄技法が単純であることから水性除去溶液が好ましいが、フォトレジスト「クラスト」は、通常、水性クリーナ、特に誘電体に損傷を与えないクリーナに、極端に溶けにくい。さらに水性除去溶液は、金属相互接続をエッチングすることで知られている。
[0009] フッ化水素(HF)溶液を使用して、酸化ケイ素材料をエッチングすることができる。しかし、HF溶液は、酸化ケイ素を急速かつ非選択的にエッチングするため、デバイス内の他のケイ素含有材料、特に、一般にILDとして使用されるケイ酸塩材料に損傷又は損失を引き起こす可能性がある。こうしたILD材料には、多孔性及び非多孔性の両方の材料を含み、例えば、二酸化ケイ素、フッ素化ケイ酸塩ガラス(FSG)、及びオルガノシリケートグラス(OSG)が挙げられる。
[0010] したがって、当分野では、マイクロ電子デバイスから完全かつ効率的にフォトレジスト及び/又はSARC層を除去すると同時に、同一の広がりを持って存在する誘電体材料及び/又は相互接続用金属に対する損傷を最小限に抑えることが可能な、HFを含まない液体除去組成物が求められている。
[0011] 本発明は、マイクロ電子デバイス製造プロセスにおいて有用な液体除去組成物及びプロセスに関し、特に、犠牲反射防止ケイ酸塩材料及びフォトレジスト材料などの材料がその上に付着したマイクロ電子デバイスからのこれらの材料の除去に関する。特に、液体除去組成物によって影響を受けないことが望まれる永久ケイ酸塩材料や相互接続用金属と共に、犠牲反射防止ケイ酸塩材料が存在している場合に関する。
[0012] 本発明の一態様は、ポリ(アクリルアミド−コ−ジアリルジメチルアンモニウム・クロライド)、ポリ(アクリルアミド)、ポリ(アクリル酸)、ポリ(ジアリルジメチルアンモニウム・クロライド)、ジアリルジメチルアンモニウム・クロライド、アクリルアミド、アセトグアナミン、及びこれらの組合せを含むが、これらに限定されない、表面相互作用強化剤を含むクリーニング配合物などの基板処理配合物に関する。好ましくは、処理配合物は、50重量%未満の水、より好ましくは40重量%未満の水、さらにより好ましくは30重量%の水、及びさらに好ましくは25重量%未満の水を含む。
[0013] 他の態様では、本発明は、少なくとも1つの有機第4級塩基と、少なくとも1つの表面相互作用強化添加剤と、任意選択として少なくとも1つのアルカリ又はアルカリ土類金属源、少なくとも1つの有機溶媒、少なくとも1つの界面活性剤、少なくとも1つのキレート剤、及びこれらの組合せからなる群から選択された少なくとも1つの成分とを含む液体除去組成物に関し、上記液体除去組成物は、フォトレジスト及び/又は犠牲反射防止コーティング(SARC)材料をその上に有するマイクロ電子デバイスからこうした材料を除去するために有用である。好ましくは、液体除去組成物には研削材料を含まず、超臨界又は臨界未満ではない。
[0014] 他の態様では、本発明は、少なくとも1つの有機第4級塩基と、少なくとも1つのアルカリ又はアルカリ土類金属源と、少なくとも1つの有機溶媒と、少なくとも1つの表面相互作用強化添加剤と、任意選択として少なくとも1つの界面活性剤と、任意選択として少なくとも1つのキレート剤とを含む液体除去組成物に関し、上記液体除去組成物は、フォトレジスト及び/又は犠牲反射防止コーティング(SARC)材料をその上に有するマイクロ電子デバイスからこうした材料を除去するために有用である。
[0015] 他の態様では、本発明は、1つまたは複数の容器内に液体除去組成物を形成するための以下の試薬のうちの1つまたは複数を有するキットに関し、上記1つまたは複数の試薬は、少なくとも1つの有機第4級塩基と、少なくとも1つの表面相互作用強化添加剤と、任意選択として少なくとも1つのアルカリ又はアルカリ土類金属源、少なくとも1つの有機溶媒、少なくとも1つの界面活性剤、少なくとも1つのキレート剤、及びこれらの組合せからなる群から選択された少なくとも1つの成分とからなる群から選択され、このキットはフォトレジスト及び/又はSARC材料をその上に有するマイクロ電子デバイスからこうした材料を除去するために好適な液体除去組成物を形成するように適合される。
[0016] 他の態様では、本発明は、その上に除去材料を有するマイクロ電子デバイスから上記材料を除去する方法に関し、上記方法は、マイクロ電子デバイスから上記材料を少なくとも部分的に除去するために十分な時間、マイクロ電子デバイスを液体除去組成物と接触させるステップを有し、この液体除去組成物は、少なくとも1つの有機第4級塩基と、少なくとも1つの表面相互作用強化添加剤と、任意選択として少なくとも1つのアルカリ又はアルカリ土類金属源、少なくとも1つの有機溶媒、少なくとも1つの界面活性剤、少なくとも1つのキレート剤、及びこれらの組合せからなる群から選択された少なくとも1つの成分とを含む。
[0017] 他の態様では、本発明は、フォトレジスト及び/又はSARC材料をその上に有するマイクロ電子デバイスから上記材料を除去する方法に関し、上記方法は、マイクロ電子デバイスから上記材料を少なくとも部分的に除去するために十分な時間、マイクロ電子デバイスを液体除去組成物と接触させるステップを有し、この液体除去組成物は、少なくとも1つの有機第4級塩基と、少なくとも1つの表面相互作用強化添加剤と、任意選択として少なくとも1つのアルカリ又はアルカリ土類金属源、少なくとも1つの有機溶媒、少なくとも1つの界面活性剤、少なくとも1つのキレート剤、及びこれらの組合せからなる群から選択された少なくとも1つの成分とを含む。
[0018] 他の態様では、本発明は、フォトレジスト及び/又はSARC材料をその上に有するマイクロ電子デバイスから上記材料を除去する方法に関し、上記方法は、マイクロ電子デバイスから上記材料を少なくとも部分的に除去するために十分な時間、マイクロ電子デバイスを液体除去組成物と接触させるステップを有し、この液体除去組成物は、少なくとも1つの有機第4級塩基と、少なくとも1つのアルカリ又はアルカリ土類金属源と、少なくとも1つの有機溶媒と、少なくとも1つの表面相互作用強化添加剤と、任意選択として少なくとも1つの界面活性剤と、少なくとも1つのキレート剤とを含む。
[0019] 本発明の他の態様は、液体除去組成物と、マイクロ電子デバイスと、その上のフォトレジスト及び/又はSARC材料とを含む製造品に関し、液体除去組成物は、少なくとも1つの有機第4級塩基と、少なくとも1つの表面相互作用強化添加剤と、任意選択として少なくとも1つのアルカリ又はアルカリ土類金属源、少なくとも1つの有機溶媒、任意選択として少なくとも1つの界面活性剤、少なくとも1つのキレート剤、及びこれらの組合せからなる群から選択された少なくとも1つの成分とを含む。
[0020] 他の態様では、本発明は、マイクロ電子デバイスを製造する方法に関し、上記方法は、マイクロ電子デバイスからフォトレジスト及び/又はSARC材料を少なくとも部分的に除去するために十分な時間、マイクロ電子デバイスを液体除去組成物と接触させるステップを有し、この液体除去組成物は、少なくとも1つの有機第4級塩基と、少なくとも1つの表面相互作用強化添加剤と、任意選択として少なくとも1つのアルカリ又はアルカリ土類金属源、少なくとも1つの有機溶媒、少なくとも1つの界面活性剤、少なくとも1つのキレート剤、及びこれらの組合せからなる群から選択された少なくとも1つの成分とを含む。
[0021] 本発明の他の態様は、改良されたマイクロ電子デバイスと、フォトレジスト及び/又はSARC材料をその上に有するマイクロ電子デバイスからの上記材料の除去、本明細書に記載された方法及び/又は組成物を使用するステップ、ならびに任意選択として、マイクロ電子デバイスを製品に組み込むステップを含む本発明の方法を使用して作成された同一のものを組み込む製品とに関する。
[0022] 本発明の他の態様は、少なくとも1つの共溶媒及び少なくとも1つの表面相互作用強化添加剤を含む液体除去組成物に関し、上記表面相互作用強化添加剤は、ポリ(アクリルアミド−コ−ジアリルジメチルアンモニウム・クロライド)、ポリ(アクリルアミド)、ポリ(アクリル酸)、ポリ(ジアリルジメチルアンモニウム・クロライド)、ジアリルジメチルアンモニウム・クロライド、アクリルアミド、アセトグアナミン、及びこれらの組合せからなる群から選択された種を含み、上記除去組成物は、フォトレジスト及び/又は犠牲反射防止コーティング(SARC)材料をその上に有するマイクロ電子デバイスからこうした材料を除去するために有用である。好ましくは、除去組成物には研削材料を含まず、超臨界又は臨界未満ではない。
[0023] 本発明の他の態様、特徴、及び実施形態については、以下の開示及び添付の特許請求の範囲からより完全に明らかとなろう。
[0024] 本発明は、フォトレジスト及び/又は犠牲反射防止コーティング(SARC)材料をその上に有するマイクロ電子デバイスからこうした材料を除去するために有用な液体除去組成物を企図する。
[0025] 参照しやすくするために、「マイクロ電子デバイス」は、マイクロ電子、集積回路、又はコンピュータ・チップの用途に使用するために製造された半導体基板、フラット・パネル・ディスプレイ、及びマイクロ電気機械システム(MEMS)に対応する。「マイクロ電子デバイス」という用語は、いかなる場合も制限的な意味ではなく、最終的にはマイクロ電子デバイスまたはマイクロ電子アセンブリとなる任意の基板を含むことを理解されたい。
[0026] 本明細書においては、「低k誘電体材料」は、層状のマイクロ電子デバイスにおいて誘電体材料として使用される任意の材料に対応し、この材料は約3.5未満の誘電定数を有する。好ましくは、低k誘電体材料は、ケイ素含有有機ポリマー、ケイ素含有ハイブリッド有機/無機材料、オルガノシリケートグラス(OSG)、TEOS、フッ素化ケイ酸塩ガラス(FSG)、二酸化ケイ素、及び炭素をドープした酸化物(CDO)ガラスなどの低極性材料を含む。低k誘電体材料は、変動密度及び変動多孔性を有することがある。
[0027] 本明細書で使用される場合、「約」とは、示された値の±5%に対応することが意図される。
[0028] 本明細書で使用される場合、フォトレジスト及び/又はSARC材料をその上に有するマイクロ電子デバイスからこうした材料を除去するための「適応性」とは、マイクロ電子デバイスからの上記材料の少なくとも部分的な除去に対応する。好ましくは材料の少なくとも約90%、より好ましくは材料の少なくとも95%、最も好ましくは材料の少なくとも99%が、本発明の組成物を使用してマイクロ電子デバイスから除去される。
[0029] 本明細書においては、「フォトレジスト」は、現像済み及び未現像のフォトレジスト材料、プラズマ・エッチングされた例えば集積回路のBEOLデュアル・ダマシン処理中に硬化されたフォトレジスト、及び/又は例えば半導体ウェハの適切な層にドーパント種を注入するためのフロント・エンド・オブ・ライン(FEOL)処理中に、イオン注入されたフォトレジストを含むが、これらに限定されない。FEOL処理中にイオン注入されたフォトレジストは、ホウ素、ヒ素、及びリンからなる群から選択された種を含むことができ、したがって、エッチング後の残留物には上記種が含まれる場合がある。
[0030] 本明細書において、「エッチング後の残留物」は、気相プラズマ・エッチング・プロセス、例えば、BEOLデュアル・ダマシン処理、の後に残る物質に対応する。エッチング後の残留物は、例えば、ケイ素含有材料、窒素含有材料、酸素含有材料、ポリマー残留材料、銅含有残留材料、塩素及びフッ素などのエッチング・ガス残留物、ならびにこれらの組合せなどの本質的に有機、有機金属、有機ケイ素、又は無機物であってもよい。
[0031] 本発明の組成物は、以下でより完全に説明するように、多種多彩な特定の配合で具体化することができる。
[0032] 重要なことに、本発明の液体除去組成物は、良好な金属適合性、例えば金属に対する低いエッチング速度等、を有していなければならない。当該金属には、銅、タングステン、コバルト、アルミニウム、タンタル、モリブデン、ニッケル、ルテニウム、これらのケイ化物、これらの合金、及びこれらの組合せが含まれるが、これらに限定されない。
[0033] 組成物の特定の成分について下限値ゼロを含む重量%範囲に関して論じられるこうしたすべての組成物においては、組成物の様々な特定の実施形態において、これらの成分は存在する場合としない場合があり、例えばこうした成分が存在する場合、これらは、これらの成分が使用される組成物の総重量に対して、0.001重量%まで低い濃度で存在することができる。
[0034] 一態様では、本発明は、マイクロ電子デバイスの基板からフォトレジスト及び/又はSARC層を除去する際に有用な液体除去組成物に関する。広義には、本発明の配合物は、少なくとも1つの有機第4級塩基と、水と、任意選択として少なくとも1つのアルカリまたはアルカリ土類金属源と、任意選択として少なくとも1つの溶媒、アミン、又はこれらの組合せと、任意選択として少なくとも1つのキレート/不活性化剤と、任意選択として少なくとも1つの表面相互作用強化添加剤と、任意選択として少なくとも1つの界面活性剤とを含む。この配合物は、少なくとも1つの有機第4級塩基と、水と、少なくとも1つの表面相互作用強化添加剤と、任意選択として少なくとも1つのアルカリ又はアルカリ土類金属源と、任意選択として少なくとも1つの溶媒、アミン、又はこれらの組合せと、任意選択として少なくとも1つのキレート/不活性化剤と、任意選択として少なくとも1つの界面活性剤とを含むことができる。一実施形態では、この配合物は、少なくとも1つの有機第4級塩基と、水と、少なくとも1つのアルカリまたはアルカリ土類金属源と、少なくとも1つの溶媒、アミン、またはこれらの組合せと、少なくとも1つのキレート/不活性化剤とを含む。他の実施形態では、この配合物は、少なくとも1つの有機第4級塩基と、水と、少なくとも1つのアルカリ又はアルカリ土類金属源と、少なくとも1つの溶媒、アミン、またはこれらの組合せと、少なくとも1つの表面相互作用強化添加剤と、任意選択として少なくとも1つのキレート/不活性化剤と、任意選択として少なくとも1つの界面活性剤とを含む。さらに他の実施形態は、少なくとも1つの有機第4級塩基と、水と、少なくとも1つのアルカリまたはアルカリ土類金属源と、少なくとも1つのキレート/不活性化剤と、少なくとも1つの表面相互作用強化添加剤とを含む配合物に関する。さらに他の実施形態では、この配合物は、少なくとも1つの有機第4級塩基と、水と、少なくとも1つのアルカリ又はアルカリ土類金属源と、少なくとも1つの表面相互作用強化添加剤と、少なくとも1つの界面活性剤とを含む。他の実施形態では、この配合物は、少なくとも1つの有機第4級塩基と、水と、少なくとも1つの溶媒、アミン、又はこれらの組合せと、少なくとも1つの表面相互作用強化添加剤と、少なくとも1つの界面活性剤とを含む。さらに他の実施形態では、この配合物は、少なくとも1つの有機第4級塩基と、水と、少なくとも1つのアルカリまたはアルカリ土類金属源と、少なくとも1つの溶媒、アミン、又はこれらの組合せと、少なくとも1つの表面相互作用強化添加剤と、少なくとも1つの界面活性剤とを含む。さらにまた他の実施形態では、この配合物は、少なくとも1つの有機第4級塩基と、水と、少なくとも1つのアルカリ又はアルカリ土類金属源と、少なくとも1つの溶媒、アミン、又はこれらの組合せと、少なくとも1つのキレート/不活性化剤と、少なくとも1つの表面相互作用強化添加剤とを含む。
[0035] 広義には、本発明の配合物は、組成物の総重量に基づいて、以下の範囲内に存在する以下の成分を含む。
Figure 2009516360
各任意成分は存在する場合、その下限は、約0.01重量%であり、例外としてアルカリ又はアルカリ土類金属源は、約0.001重量%まで低い場合がある。
[0036] 本発明の広義の実施では、液体除去組成物は、(i)有機第4塩基と、水と、アルカリ又はアルカリ土類金属源と、溶媒、アミン、又はこれらの組合せと、キレート/不活性化剤、(ii)有機第4級塩基と、水と、アルカリ又はアルカリ土類金属源と、溶媒、アミン、又はこれらの組合せと、表面相互作用強化添加剤と、任意のキレート/不活性化剤と、任意の界面活性剤、(iii)有機第4級塩基と、水と、アルカリ又はアルカリ土類金属源と、キレート/不活性化剤と、表面相互作用強化添加剤、(iv)有機第4塩基と、水と、アルカリ又はアルカリ土類金属源と、表面相互作用強化添加剤と、界面活性剤、(v)有機第4級塩基と、水と、溶媒、アミン、又はこれらの組合せと、表面相互作用強化添加剤と、界面活性剤、(vi)有機第4級塩基と、水と、アルカリ又はアルカリ土類金属源と、溶媒、アミン、又はこれらの組合せと、表面相互作用強化添加剤と、界面活性剤、(vii)有機第4塩基と、水と、アルカリ又はアルカリ土類金属源と、溶媒、アミン、又はこれらの組合せと、キレート/不活性化剤と、表面相互作用強化添加剤、又は(viii)有機第4級塩基と、水と、表面相互作用強化添加剤と、任意のアルカリ又はアルカリ土類金属源と、任意の溶媒、アミン、又はこれらの組合せと、任意のキレート/不活性化剤と、任意の界面活性剤を含むか、これらからなるか、又は本質的にこれらからなることができる。一般に、互いの特定の割合及び量の成分は、フォトレジスト及び/又はSARC層種及び/又は処理機器に対して、液体除去組成物の所望の除去作用を提供するために、好適に変えることができることは、当業者であれば過度の努力なしに容易に判別できる。
[0037] 本発明の組成物は、以下でより十分に説明するように、多種多彩な特定の配合物で具体化することができる。さらに、マイクロ電子デバイス基板からフォトレジスト及び/又はSARC層を除去するために組成物が配合される場合、組成物は、例えば、アルミナ、シリカ、チタニア、セリア、ジルコニア、ゲルマニア、マグネシア、これらの共形成及びこれらの組合せ、フッ素種、ならびにヒドロキシルアミン及びヒドロキシルアミンの誘導体などのオキソアンモニウム化合物などの研削剤がほとんど含まれない。本明細書で「ほとんど含まれない」とは、2重量%未満として定義され、好ましくは1重量%未満、より好ましくは0.5重量%未満、さらにより好ましくは0.1重量%未満、最も好ましくは約0.01%未満である。
[0038] 本発明の組成物は、約11から約14の範囲のpHを有し、好ましくは約12から約14の範囲である。
[0039] 特定の一実施形態では、液体除去組成物は、以下の成分を含む。
Figure 2009516360
ここで、成分の割合は組成物の総重量に基づく重量による割合であって、組成物のこうした成分の重量割合の合計が100重量%を超えることはない。好ましい実施形態では、液体除去組成物は、約0.01%から約1.0%のキレート/不活性化剤を含む。
[0040] さらに他の実施形態では、液体除去組成物は以下の成分を含む。
Figure 2009516360
ここで、成分の割合は組成物の総重量に基づく重量による割合であって、組成物のこうした成分の重量割合の合計が100重量%を超えることはない。
[0041] 好ましい実施形態では、組成物は、約0.01重量%から約5.0重量%の濃度の界面活性剤を含む。
[0042] こうした組成物は、任意選択として、安定剤、分散剤、抗酸化剤、浸透剤、補助剤、添加剤、充填剤、賦形剤などの活性成分ならびに非活性成分を含む追加の成分を含むことができる。
[0043] 本明細書で企図される有機第4級塩基は、(NR)OHを含むが、これらに限定されることはなく、ここで、R、R、R、及びRは同じであるか、又は互いに異なり、水素と、例えばメチル、エチル、プロピル、ブチル、ペンチル、ヘキシル、及びヘプチルなどの直鎖または分岐状のC−Cアルキル基と、例えばベンジルなどのC−C10アリール基と、アルコール基と、アルケン、アルキンなどの任意の炭素系有機基であって、アルキル、アルコール、及び炭素系の基は直鎖及び/又は分岐状である可能性があり、すべての基は置換可能である炭素系有機基と、これらの組合せとからなる群からそれぞれ独立に選択される。「アリール」という用語は、炭素環式(例えば、フェニル、ナフチル)基を言い表し、未置換ならびに置換のアリール基を包含するものと広義に解釈されることが意図される。アルコール基を伴う水酸化テトラアルキルアンモニウムの例にはコリンが含まれる。置換アリール基に対する置換基の例には、1つまたは複数のC−Cアルキル基、C−Cアルコキシ基、及びヒドロキシル基が含まれる。好ましくは、有機第4級塩基は、水酸化テトラブチルアンモニウム(TBAH)、水酸化ベンジルトリメチルアンモニウム(BTMAH)、水酸化テトラエチルアンモニウム、水酸化テトラプロピルアンモニウム、水酸化テトラメチルアンモニウム(TMAH)、及びこれらの組合せを含む。
[0044] アルカリ又はアルカリ土類金属源成分が、水酸化カリウム、塩化カリウム、塩化物イオン及び水酸化物イオンとサイズが相応した陰イオンを有する他のカリウム塩、ならびにこれらの組合せを含むようなタイプの組成物は、誘電体層への悪影響なしに効率の高いクリーニングを達成する際に、特に有利である。アルカリ又はアルカリ土類金属陽イオンがカリウムのイオン半径よりも大きいか又は等しいイオン半径を有するという条件で、他のアルカリ又はアルカリ土類金属塩が検討される。
[0045] こうした組成物に好適な溶媒種は、モノエタノールアミン、トリエタノールアミン、トリエチレンジアミン、メチルエタノールアミン、メチルジエタノールアミン、ペンタメチルジエチレントリアミン、ジメチルジグリコールアミン、1,8−ジアザビシクロ[5.4.0]ウンデセン、アミノプロピルモルホリン、ヒドロキシエチルモルホリン、アミノエチルモルホリン、ヒドロキシプロピルモルホリン、ジグリコールアミン、N−メチルピロリジノン(NMP)、N−オクチルピロリジノン、N−フェニルピロリジノン、シクロヘキシルピロリジノン、及びビニルピロリジノンなどのアミン類と、テトラメチレン・スルホンなどの硫黄含有溶媒と、エチレン・グリコール、プロピレン・グリコール(1,2−プロパンジオール)、及びネオペンチル・グリコールなどのグリコール類と、ジエチレン・グリコール・モノメチル・エーテル、トリエチレン・グリコール・モノメチル・エーテル、ジエチレン・グリコール・モノエチル・エーテル、トリエチレン・グリコール・モノエチル・エーテル、エチレン・グリコール・モノプロピル・エーテル、エチレン・グリコール・モノブチル・エーテル、ジエチレン・グリコール・モノブチル・エーテル、トリエチレン・グリコール・モノブチル・エーテル、エチレン・グリコール・モノヘキシル・エーテル、ジエチレン・グリコール・モノヘキシル・エーテル、エチレン・グリコール・フェニル・エーテル、プロピレン・グリコール・メチル・エーテル、ジプロピレン・グリコール・メチル・エーテル、トリプロピレン・グリコール・メチル・エーテル、プロピレン・グリコールn−プロピル・エーテル、ジプロピレン・グリコールn−プロピル・エーテル、トリプロピレン・グリコールn−プロピル・エーテル、プロピレン・グリコールn−ブチル・エーテル、ジプロピレン・グリコールn−ブチル・エーテル、トリプロピレン・グリコールn−ブチル・エーテル、及びプロピレン・グリコール・フェニル・エーテル(フェノキシ−2−プロパノール)などのグリコール・エーテル類と、これらの組合せとを含むが、これらに限定されない。好ましくは、溶媒種は、1,2−プロパンジオール、フェノキシ−2−プロパノール、ヒドロキシエチルモルホリン、及びジ(エチレン・グリコール)メチル・エーテルを含む。
[0046] こうした組成物中のキレート/不活性化剤は、任意の好適なタイプとすることができ、1,2,4−トリアゾールなどのトリアゾール類、又はベンゾトリアゾール、トリルトリアゾール、5−フェニル−ベンゾトリアゾール、5−ニトロ−ベンゾトリアゾール、3−アミノ−5−メルカプト−1,2,4−トリアゾール、1−アミノ−1,2,4−トリアゾール、ヒドロキシベンゾトリアゾール、2−(5−アミノ−ペンチル)−ベンゾトリアゾール、1−アミノ−1,2,3−トリアゾール、1−アミノ−5−メチル−1,2,3−トリアゾール、3−アミノ−1,2,4−トリアゾール、3−メルカプト−1,2,4−トリアゾール、3−イソプロピル−1,2,4−トリアゾール、5−フェニルチオール−ベンゾトリアゾール、ハロ−ベンゾトリアゾール(ハロ=F、Cl、Br、またはI)、ナフトトリアゾールなどのようなC−Cアルキル、アミノ、チオール、メルカプト、イミノ、カルボキシ、及びニトロ基などの置換基で置換されたトリアゾール類、ならびに2−メルカプトベンゾイミジゾール、2−メルカプトベンゾチアゾール、4−メチル−2−フェニルイミダゾール、2−メルカプトチアゾリン、5−アミノテトラゾール、5−アミノ−1,3,4−チアジアゾール−2−チオール、2,4−ジアミノ−6−メチル−1,3,5−トリアジン、チアゾール、トリアジン、メチルテトラゾール、1,3−ジメチル−2−イミダゾリジノン、1,5−ペンタメチレンテトラゾール、1−フェニル−5−メルカプトテトラゾール、ジアミノメチルトリアジン、メルカプトベンゾチアゾール、イミダゾリン・チオン、メルカプトベンズイミダゾール、4−メチル−4H−1,2,4−トリアゾール−3−チオール、5−アミノ−1,3,4−チアジアゾール−2−チオール、ベンゾチアゾール、リン酸トリトリル、インジアゾールなどのようなチアゾール類、テトラゾール類、イミダゾール類、リン酸塩類、チオール類、及びアジン類を含むことができるが、これらに限定されない。好適なキレート種は、グアニン、尿素、アデニン、グリセロール、チオグリセロール、ニトリロ三酢酸、サリチルアミド、ベンゾグアナミン、メラミン、thiocyranuric acid、アントラニル酸、没食子酸、アスコルビン酸、サリチル酸、8−ヒドロキシキノリン、5−カルボン酸−ベンゾトリアゾール、3−メルカプトプロパノール、ホウ酸、イミノ二酢酸などのようなグリセロール類、アミノ酸類、カルボン酸類、アルコール類、アミド類、及びキノリン類をさらに含む。キレートは、組成物と、半導体デバイスで使用される金属及び誘電体材料との適合性を向上させるために有用に使用される。好ましくは、キレートは2−メルカプトベンズイミダゾールである。
[0047] 本発明の液体除去組成物中の好適な界面活性剤は、クラッチャー他の名前で米国特許第5972875号に記載されたような、TOMAH AO−455、AO−405などのビス−(2−ヒドロキシエチル)イソデシルオキシプロピルアミン・オキシド、アルコキシル化エーテル・アミン・オキシド類、及びTOMAH AO−14−2などの分岐状のC10アルコールから導出されたエーテル・アミン・オキシド類を含むことができる。
[0048] 理論によって縛られることは望まないが、表面相互作用強化添加剤は、水酸化物化合物と連携して、SARC層材料を効率よく除去するための機能を向上させると考えられる。表面相互作用強化添加剤は、ポリ(アクリルアミド−コ−ジアリルメチルアンモニウム・クロライド)、ポリ(アクリルアミド)、ポリ(アクリル酸)、ポリ(ジアリルジメチルアンモニウム・クロライド)、ジアリルジメチルアンモニウム・クロライド、アクリルアミド、アセトグアナミン、及びこれらの組合せを含むが、これらに限定されない。
[0049] 様々な好ましい実施形態では、液体除去組成物は以下の配合A〜Wで配合され、ここですべての割合は配合物の総重量に基づく重量による。
配合A:3.6%のBTMAH、0.27%の水酸化カリウム、0.08%の2−メルカプトベンズイミダゾール、15.73%の水、18.83%のフェノキシ−2−プロパノール、56.49%の1,2−プロパンジオール、5%のヒドロキシエチルモルホリン
配合B:3.6%のBTMAH、0.27%の水酸化カリウム、0.08%の2−メルカプトベンズイミダゾール、15.73%の水、18.83%のフェノキシ−2−プロパノール、56.49%の1,2−プロパンジオール、5%のシクロヘキシルピロリジノン
配合C:4.8%のBTMAH、0.27%の水酸化カリウム、0.08%の2−メルカプトベンズイミダゾール、17.53%の水、42.19%のフェノキシ−2−プロパノール、30.13%の1,2−プロパンジオール、5%のヒドロキシエチルモルホリン
配合D:6.0%のBTMAH、0.27%の水酸化カリウム、0.08%の2−メルカプトベンズイミダゾール、19.33%の水、40.41%のフェノキシ−2−プロパノール、28.91%の1,2−プロパンジオール、5%のヒドロキシエチルモルホリン
配合E:4.8%のBTMAH、0.27%の水酸化カリウム、0.08%の2−メルカプトベンズイミダゾール、17.53%の水、39.25%のフェノキシ−2−プロパノール、28.07%の1,2−プロパンジオール、10%のヒドロキシエチルモルホリン
配合F:3.6%のBTMAH、0.27%の水酸化カリウム、1.25%のTMAH、0.08%の2−メルカプトベンズイミダゾール、19.48%の水、41.00%のフェノキシ−2−プロパノール、29.32%の1,2−プロパンジオール、5%のヒドロキシエチルモルホリン
配合G:3.6%のBTMAH、0.27%の水酸化カリウム、2.5%のTMAH、0.08%の2−メルカプトベンズイミダゾール、23.23%の水、38.08%のフェノキシ−2−プロパノール、27.24%の1,2−プロパンジオール、5%のヒドロキシエチルモルホリン
配合H:3.6%のBTMAH、0.27%の水酸化カリウム、1.25%のTMAH、0.08%の2−メルカプトベンズイミダゾール、19.48%の水、38.08%のフェノキシ−2−プロパノール、27.24%の1,2−プロパンジオール、10%のヒドロキシエチルモルホリン
配合I:1.6%のBTMAH、0.27%の水酸化カリウム、2.5%のTMAH、0.08%の2−メルカプトベンズイミダゾール、10.23%の水、49.74%のフェノキシ−2−プロパノール、35.58%の1,2−プロパンジオール
配合J:1.6%のBTMAH、0.27%の水酸化カリウム、2.5%のTMAH、0.08%の2−メルカプトベンズイミダゾール、20.23%の水、43.91%のフェノキシ−2−プロパノール、31.41%の1,2−プロパンジオール
配合K:1.6%のBTMAH、0.27%の水酸化カリウム、2.5%のTMAH、0.08%の2−メルカプトベンズイミダゾール、20.23%の水、41.0%のフェノキシ−2−プロパノール、29.32%の1,2−プロパンジオール、5.0%のテトラメチレン・スルホン
配合L:1.6%のBTMAH、0.27%の水酸化カリウム、2.5%のTMAH、0.08%の2−メルカプトベンズイミダゾール、10.23%の水、5%のテトラメチレン・スルホン、46.83%のフェノキシ−2−プロパノール、33.49%の1,2−プロパンジオール
配合M:3.6%のBTMAH、0.27%の水酸化カリウム、2.5%のTMAH、0.08%の2−メルカプトベンズイミダゾール、13.23%の水、5%のテトラメチレン・スルホン、43.91%のフェノキシ−2−プロパノール、31.41%の1,2−プロパンジオール
配合N:3.6%のBTMAH、0.27%の水酸化カリウム、2.5%のTMAH、0.08%の2−メルカプトベンズイミダゾール、23.23%の水、5%のテトラメチレン・スルホン、38.08%のフェノキシ−2−プロパノール、27.24%の1,2−プロパンジオール
配合O:3.6%のBTMAH、0.27%の水酸化カリウム、2.5%のTMAH、0.08%の2−メルカプトベンズイミダゾール、18.23%の水、5%のテトラメチレン・スルホン、41.00%のフェノキシ−2−プロパノール、29.32%の1,2−プロパンジオール
配合P:3.34%のBTMAH、0.27%の水酸化カリウム、1.19%のTMAH、0.08%の2−メルカプトベンズイミダゾール、8.92%の水、17.24%のテトラメチレン・スルホン、51.72%の1,2−プロパンジオール、17.24%のジ(エチレン・グリコール)メチル・エーテル
配合Q:4.0%のBTMAH、0.27%の水酸化カリウム、2.0%のTMAH、0.08%の2−メルカプトベンズイミダゾール、7.25%の水、25%のテトラメチレン・スルホン、51.4%の1,2−プロパンジオール、10%のジ(エチレン・グリコール)メチル・エーテル
配合R:3.46%のBTMAH、0.27%の水酸化カリウム、1.5%のTMAH、0.08%の2−メルカプトベンズイミダゾール、10.05%の水、30%のテトラメチレン・スルホン、5%のフェノキシ−2−プロパノール、34.55%の1,2−プロパンジオール、15%のジ(エチレン・グリコール)メチル・エーテル
配合S:3.46%のBTMAH、0.27%の水酸化カリウム、1.5%のTMAH、0.08%の2−メルカプトベンズイミダゾール、9.94%の水、20%のテトラメチレン・スルホン、5%のフェノキシ−2−プロパノール、44.75%の1,2−プロパンジオール、15%のジ(エチレン・グリコール)メチル・エーテル
配合T:3.46%のBTMAH、0.27%の水酸化カリウム、1.5%のTMAH、0.08%の2−メルカプトベンズイミダゾール、10.02%の水、25%のテトラメチレン・スルホン、5%のフェノキシ−2−プロパノール、39.67%の1,2−プロパンジオール、15%のジ(エチレン・グリコール)メチル・エーテル
配合U:3.46%のBTMAH、0.36%の水酸化カリウム、1.5%のTMAH、0.08%の2−メルカプトベンズイミダゾール、10.13%の水、25%のテトラメチレン・スルホン、5%のフェノキシ−2−プロパノール、39.47%の1,2−プロパンジオール、15%のジ(エチレン・グリコール)メチル・エーテル
配合V:3.73%のBTMAH、0.27%の水酸化カリウム、1.5%のTMAH、0.08%の2−メルカプトベンズイミダゾール、10.425%の水、25%のテトラメチレン・スルホン、5%のフェノキシ−2−プロパノール、38.995%の1,2−プロパンジオール、15%のジ(エチレン・グリコール)メチル・エーテル
配合W:3.73%のBTMAH、0.36%の水酸化カリウム、1.5%のTMAH、0.08%の2−メルカプトベンズイミダゾール、10.535%の水、25%のテトラメチレン・スルホン、5%のフェノキシ−2−プロパノール、38.795%の1,2−プロパンジオール、15%のジ(エチレン・グリコール)メチル・エーテル
[0050] 他の実施形態は、クリーニング配合X〜AJを含み、ここですべての割合は配合物の総重量に基づく重量による。配合X〜AJはすべて、15%のジ(エチレン・グリコール)メチル・エーテル、25%のテトラメチレン・スルホン、5%のフェノキシ−2−プロパノール、0.08%の2−メルカプトベンズイミダゾール、0.27%の水酸化カリウム、ならびに列挙された割合のBTMAH、TMAH、水、及びプロピレン・グリコールを含む。
Figure 2009516360
[0051] 他の実施形態は、クリーニング配合AK〜AQを含み、ここですべての割合は、配合物の総重量に基づく重量による。配合AK〜AQは、すべて、3.73%の水酸化ベンジルトリメチルアンモニウム、1.5%のTMAH、0.08%の2−メルカプトベンズイミダゾール、0.27%の水酸化カリウム、5%のフェノキシ−2−プロパノール、及び12.5%の水、ならびに列挙された割合のテトラメチレン・スルホン、ジ(エチレン・グリコール)メチル・エーテル、及びプロピレン・グリコールを含む。
Figure 2009516360
[0052] さらに他の実施形態では、液体除去組成物は配合BA〜BNを含み、ここですべての割合は、配合物の総重量に基づく重量による。配合BA〜BNはすべて、3.0%のBTMAH、0.2925%の水酸化カリウム、及び対応する量の列挙された成分を含む。
Figure 2009516360
[0053] さらに他の実施形態では、液体除去組成物は配合BO〜BTを含み、ここですべての割合は配合物の総重量に基づく重量による。配合BO〜BTはすべて、3.0%のBTMAH、0.2925%の水酸化カリウム、及び対応する量の列挙された成分を含む。
Figure 2009516360
[0054] さらに他の実施形態では、液体除去組成物は配合BU〜BZを含み、ここですべての割合は、配合物の総重量に基づく重量による。配合BU〜BZは、すべて、3.0%のBTMAH、0.2925%の水酸化カリウム、0.15%のポリ(アクリルアミド−コ−ジアリルジメチルアンモニウム・クロライド)、及び対応する量の列挙された成分を含む。
Figure 2009516360
[0055] さらに他の実施形態では、液体除去組成物は配合BA〜BHを含み、ここですべての割合は、配合物の総重量に基づく重量による。配合BA〜BHはすべて、3.0%のBTMAH、0.2925%の水酸化カリウム、0.15%のポリ(アクリルアミド−コ−ジアリルジメチルアンモニウム・クロライド)、及び対応する量の列挙された成分を含む。
Figure 2009516360
[0056] さらに他の実施形態では、液体除去組成物は配合BI〜BRを含み、ここですべての割合は、配合物の総重量に基づく重量による。配合BI〜BRはすべて、0.15%のポリ(アクリルアミド−コ−ジアリルジメチルアンモニウム・クロライド)、0.1%のTOMAH AO−405、及び対応する量の列挙された成分を含む。
Figure 2009516360
[0057] さらに他の実施形態では、液体除去組成物は配合BS〜BBを含み、ここですべての割合は、配合物の総重量に基づく重量による。配合BS〜BBはすべて、0.15%のポリ(アクリルアミド−コ−ジアリルジメチルアンモニウム・クロライド)、0.1%のTOMAH AO−405、5.2%のBTMAH、及び対応する量の列挙された成分を含む。
Figure 2009516360
[0058] 他の実施形態では、液体除去組成物は配合BC〜BHを含み、ここですべての割合は、配合物の総重量に基づく重量による。配合BC〜BHは、すべて、0.15%のポリ(アクリルアミド−コ−ジアリルジメチルアンモニウム・クロライド)、0.1%のTOMAH AO−455、8.25%の水酸化テトラブチルアンモニウム、10%のテトラメチレン・スルホン、20%のジ(エチレン・グリコール)メチル・エーテル、及び対応する量の列挙された成分を含む。
Figure 2009516360
[0059] 本発明の他の実施形態では、液体除去組成物は配合BI〜BMを含み、ここですべての割合は、配合物の総重量に基づく重量による。配合BI〜BMは、すべて、0.15%のポリ(アクリルアミド−コ−ジアリルジメチルアンモニウム・クロライド)、0.1%のTOMAH AO−405、8.25%の水酸化テトラブチルアンモニウム、22%のテトラメチレン・スルホン、27%のジ(エチレン・グリコール)メチル・エーテル、及び対応する量の列挙された成分を含む。
Figure 2009516360
[0060] 本発明のさらに他の実施形態では、液体除去組成物は配合BN〜BSを含み、ここですべての割合は、配合物の総重量に基づく重量による。配合BN〜BSは、すべて、0.15%のポリ(アクリルアミド−コ−ジアリルジメチルアンモニウム・クロライド)、0.1%のTOMAH AO−405、20%のテトラメチレン・スルホン、10%のジ(エチレン・グリコール)メチル・エーテル、60%の水、及び対応する量の列挙された成分を含む。
Figure 2009516360
[0061] 本発明のさらに他の実施形態では、液体除去組成物は配合BT〜CEを含み、ここですべての割合は、配合物の総重量に基づく重量による。配合BT〜CEは、すべて、0.15%のポリ(アクリルアミド−コ−ジアリルジメチルアンモニウム・クロライド)、0.1%のTOMAH AO−405、及び対応する量の列挙された成分を含み、ここで、テトラメチレン・スルホン:ジ(エチレン・グリコール)メチル・エーテル溶液は、22:27の割合である。
Figure 2009516360
[0062] 本発明の他の実施形態では、液体除去組成物は配合CF〜CQを含み、ここですべての割合は、配合物の総重量に基づく重量による。配合CF〜CQは、すべて、0.15%のポリ(アクリルアミド−コ−ジアリルジメチルアンモニウム・クロライド)、0.1%のTOMAH AO−405、22%のテトラメチレン・スルホン、27%のジ(エチレン・グリコール)メチル・エーテル、及び対応する量の列挙された成分を含む。
Figure 2009516360
[0063] 本発明の他の実施形態では、液体除去組成物は配合CR及びCSを含み、ここで、CRは、0.15%のポリ(アクリルアミド−コ−ジアリルジメチルアンモニウム・クロライド)、22%のスルホン、27%のジ(エチレン・グリコール)メチル・エーテル、2.9%のBTMAH、0.018%のKOH、17.9%のプロピレン・グリコール、0.08%のMBI、及び29.95%の水を含み、CSは、0.15%のポリ(アクリルアミド−コ−ジアリルジメチルアンモニウム・クロライド)、22%のスルホン、27%のジ(エチレン・グリコール)メチル・エーテル、2.9%のBTMAH、0.009%のKOH、17.9%のプロピレン・グリコール、0.08%のMBI、及び29.96%の水を含む。
[0064] 本発明のさらに他の実施形態では、液体除去組成物は、上記で列挙された少なくとも1つの第4級塩基、塩化カリウム、及び少なくとも1つの、上記に列挙したキレート/不活性化剤を含む。好ましくは、キレート/不活性化剤は、尿素、2−メルカプトベンズイミダゾール、1,2,4−トリアゾール、及びこれらの組合せからなる群から選択することができる。別の方法としては、液体除去組成物は、少なくとも1つの第4級塩基、塩化カリウム、尿素、及び少なくとも1つの追加のキレート/不活性化剤を含む。液体除去組成物のこの実施形態は、配合DA〜DIを含み、ここですべての割合は、配合物の総重量に基づく重量による。
配合DA:2.9%のBTMAH、0.025%のKOH、22%のジ(エチレン・グリコール)ブチル・エーテル、0.08%の2−メルカプトベンズイミダゾール、1.5重量%の尿素、27%のスルホン、17.9のプロピレン・グリコール、28.595%の水
配合DB:2.9%のBTMAH、0.025%のKOH、22%のジ(エチレン・グリコール)メチル・エーテル、0.08%の2−メルカプトベンズイミダゾール、1.5重量%の尿素、27%のスルホン、17.9のエチレン・グリコール、28.595%の水
配合DC:2.9%のBTMAH、0.025%のKCL、22%のジ(エチレン・グリコール)メチル・エーテル、0.08%の2−メルカプトベンズイミダゾール、1.5重量%の尿素、27%のスルホン、17.9のプロピレン・グリコール、28.595%の水
配合DD:1.5%のBTMAH、0.025%のKOH、22%のジ(エチレン・グリコール)メチル・エーテル、0.08%の2−メルカプトベンズイミダゾール、1.5重量%の尿素、27%のスルホン、17.9のプロピレン・グリコール、27.995%の水
配合DE:2.9%のBTMAH、0.025%のKOH、22%のジ(エチレン・グリコール)ブチル・エーテル、0.08%の2−メルカプトベンズイミダゾール、1.5重量%の尿素、27%のスルホン、17.9のエチレン・グリコール、28.595%の水
配合DF:2.9%のTMAH、0.025%のKOH、22%のジ(エチレン・グリコール)メチル・エーテル、0.08%の2−メルカプトベンズイミダゾール、1.5重量%の尿素、27%のスルホン、17.9のプロピレン・グリコール、28.595%の水
配合DG:2.9%のTMAH、0.025%のKCL、22%のジ(エチレン・グリコール)メチル・エーテル、0.08%の2−メルカプトベンズイミダゾール、1.5重量%の尿素、27%のスルホン、17.9のプロピレン・グリコール、28.595%の水
配合DH:2.9%のTMAH、0.025%のKCL、22%のジ(エチレン・グリコール)ブチル・エーテル、0.08%の2−メルカプトベンズイミダゾール、1.5重量%の尿素、27%のスルホン、17.9のエチレン・グリコール、28.595%の水
配合DI:2.9%のTMAH、0.025%のKCL、22%のジ(エチレン・グリコール)ブチル・エーテル、0.08%の2−メルカプトベンズイミダゾール、1.5重量%の尿素、14%のスルホン、17.9のエチレン・グリコール、41.595%の水
[0065] 本発明のさらに他の実施形態では、液体除去組成物は約35から約65重量%の1,2−プロパンジオール、約5から約25重量%のテトラメチレン・スルホン、約2から約15重量%のフェノキシ−2−プロパノール、約2から約15重量%のジ(エチレン・グリコール)メチル・エーテル、約1から約6重量%の水酸化ベンジルトリメチルアンモニウム、約0.5から約3重量%の水酸化テトラエチルアンモニウム、約0.001から約0.3重量%の2−メルカプトベンズイミダゾール、約0.1から約0.5重量%のKOH、及び約5から約50重量%の水を含む。例えば、液体除去組成物は、以下の配合EA〜EDで配合され、ここですべての割合は、配合物の総重量に基づく重量による。
配合EA:3.73%のBTMAH、0.27%の水酸化カリウム、1.5%のTMAH、0.08%の2−メルカプトベンズイミダゾール、12.777%の水、25%のテトラメチレン・スルホン、5%のフェノキシ−2−プロパノール、36.64%の1,2−プロパンジオール、15%のジ(エチレン・グリコール)メチル・エーテル
配合EB:3.73%のBTMAH、0.27%の水酸化カリウム、1.5%のTMAH、0.08%の2−メルカプトベンズイミダゾール、10.39%の水、25%のテトラメチレン・スルホン、5%のフェノキシ−2−プロパノール、39.032%の1,2−プロパンジオール、15%のジ(エチレン・グリコール)メチル・エーテル
配合EC:3.18%のBTMAH、0.27%の水酸化カリウム、1.5%のTMAH、0.08%の2−メルカプトベンズイミダゾール、13%の水、25%のテトラメチレン・スルホン、5%のフェノキシ−2−プロパノール、36.976%の1,2−プロパンジオール、15%のジ(エチレン・グリコール)メチル・エーテル
配合ED:3.18%のBTMAH、0.27%の水酸化カリウム、1.5%のTMAH、0.08%の2−メルカプトベンズイミダゾール、13%の水、20%のテトラメチレン・スルホン、5%のフェノキシ−2−プロパノール、41.976%の1,2−プロパンジオール、15%のジ(エチレン・グリコール)メチル・エーテル
[0066] 除去組成物が存在する場合、その成分の重量%比の範囲は、アルカリまたはアルカリ土類金属源対有機第4塩基が、約0.001:1から約0.3:1、より好ましくは約0.001:1から約0.1:1、表面相互作用強化添加剤対有機第4級塩基が約0.01:1から約0.2:1、好ましくは約0.03:1から約0.07:1、
界面活性剤対有機第4級塩基が、約0.01:1から約0.07:1、好ましくは約0.03:1から約0.05:1、水対有機第4級塩基が、約1:1から約65:1、好ましくは約2:1から約45:1、溶媒、アミン、又はこれらの組合せ対有機第4級塩基が、約1:1から約55:1、好ましくは約10:1から約30:1、及びキレート/不活性化剤対有機第4級塩基が、約0.01:1から約0.1:1、好ましくは約0.01:1から約0.03:1である。
[0067] さらに他の実施形態では、本発明の広義にまたは具体的に説明された除去組成物のいずれかが、フォトレジスト及び/又はSARC材料の残留物をさらに含む。重要なことには、この残留材料及び/又はハードマスク材料は、本発明の洗浄組成物中で溶解及び/又は浮遊する場合がある。
[0068] 本発明の液体除去組成物は、それぞれの含有物を単に添加し、均一条件まで混合することによって、容易に配合される。さらに液体除去組成物は、使用する時点で混合される単一パッケージの配合物又は複数部分の配合物として、容易に配合することができる。複数部分の配合物の個々の部分は、ツールで、またはツールの格納タンク上流で混合することができる。それぞれの含有物の濃度は、本発明の広範囲の実施において、除去組成物の特定の倍数で大きく異なる、すなわち、より低濃度又はより高濃度となる場合があり、さらに様々に、また代替的に、本発明の液体除去組成物は、本明細書における開示に適合する含有物の任意の組合せを含むか、これらから構成されるか、または本質的にこれらから構成されることができることを理解されたい。
[0069] 一般的な除去の適用例では、極端に希釈して使用されることになるものを高度に濃縮した形にすることは通常の方法であることを理解されたい。例えば除去組成物は、使用前に製造業者側で、及び/又は工場で使用時に、希釈することが可能である。希釈の割合は、希釈剤1:除去組成物1から希釈剤200:除去組成物1までの範囲とすることができる。希釈の際には、除去組成物の成分の重量%比は未変更のままとなることを理解されたい。
[0070] 本発明の他の態様は、1つまたは複数の容器内に、本発明の液体除去組成物を形成するように適合された1つまたは複数の成分を含むキットに関する。好ましくは、このキットは、1つ又は複数の容器内に、工場で任意の水と組み合わせるための、有機第4級塩基と、溶媒と、任意のアルカリ又はアルカリ土類金属源と、任意のキレート/不活性化剤と、任意の界面活性剤と、任意の表面相互作用強化添加剤とを含む。本明細書では、液体除去組成物成分の他の組合せが企図される。キットの容器は、内部に格納された成分を保存及び供給するために化学的に評定されるものとする。例えば、キットの容器は、NOWPak(登録商標)容器(米国コネチカット州ダンベリー、Adavanced Technology Materials,Inc.)とすることができる。
[0071] クリーニングの適用例においては、液体除去組成物は、例えば、液体除去組成物をクリーニングされる材料の表面に噴霧することによって、クリーニングされる材料または材料を含む物品を(多量の液体除去組成物中に)浸漬することによって、クリーニングされる材料または物品を、その上に液体除去組成物が吸収された他の材料(例えば、パッド、または繊維状の吸収剤アプリケータ要素)と接触させることによって、クリーニングされる材料または材料を含む物品を、循環する液体除去組成物と接触させることによって、または液体除去組成物をクリーニングされる材料と接触するクリーニング状態にするための任意の他の好適な手段、様式、または技法によって、任意の好適な様式で、クリーニングされる材料に付される。
[0072] 本発明の液体除去組成物は、マイクロ電子デバイス製造操作に適用される場合、フォトレジスト及び/又はSARC材料が付着している基板及びマイクロ電子デバイス構造からこうした材料を除去するために有用に使用される。
[0073] 「マイクロ電子デバイスからフォトレジスト及び/又はSARC材料を除去する」という言い回しは、決して制限的な意味ではなく、フォトレジスト及び/又はSARC材料の、最終的にマイクロ電子デバイスとなるいずれの基板からの除去をも含むことを理解されたい。
[0074] このようなフォトレジスト及び/又はSARC材料に対するこれらの選択効力により、マイクロ電子デバイスの基板上に存在し、液体除去組成物にさらされる可能性のあるILD構造、金属化層、バリア層などの他の材料に対して、本発明の組成物は、フォトレジスト及び/又はSARC材料の少なくとも部分的な除去をかなり効率的に達成する。
[0075] 重要なことには、本発明の組成物は、好ましくは50重量%未満の水、より好ましくは40重量%未満の水、さらに好ましくは30重量%未満の水、さらに好ましくは25重量%未満の水という少量の水を有するため、銅、アルミニウム、及びコバルトの層に適合可能である。本発明の組成物存在下での銅及び/又はコバルトのエッチング速度は、5Å/分未満、好ましくは2Å/分、最も好ましくは1Å/分である。
[0076] フォトレジスト及び/又はSARC材料をその上に有するマイクロ電子デバイス基板からそれらを除去するために、本発明の組成物を使用する場合、液体除去組成物は、通常、約50℃から約80℃までの温度で、約1から約60分の間、好ましくは約20から約30分の間、デバイス基板と接触する。こうした接触の時間及び温度は例示的なものであり、デバイス基板からフォトレジスト及び/又はSARC材料を少なくとも部分的に除去するために効果的な任意の他の好適な時間及び温度条件が適用可能である。本明細書に定義される場合「少なくとも部分的な除去」とは、フォトレジスト及び/又はSARC材料の少なくとも50%の除去、好ましくはフォトレジスト及び/又はSARC材料の少なくとも80%の除去に対応する。最も好ましくは、フォトレジスト及び/又はSARC材料の少なくとも90%が、本発明の組成物を使用して除去される。
[0077] 所望のクリーニング作業が達成された後、液体除去組成物は、本発明の組成物の所与の最終使用時に、所望かつ効果的であるように、例えば、すすぎ、洗浄、又は他の除去ステップによって、あらかじめ供給されていた基板または物品から容易に除去される。例えば、デバイスは、脱イオン水を含むすすぎ溶液ですすぎ、及び/又は乾燥(例えば、脱水、N2、蒸気乾燥など)させることができる。
[0078] さらに他の実施形態では、本発明の液体除去組成物は、マイクロ電子デバイス製造プロセスの他の態様で、すなわち、フォトレジスト及び/又はSARC材料除去の処理ステップに続いて使用可能である。例えば、液体除去組成物は、希釈され、化学機械研磨(CMP)後のクリーニングとして使用することができる。
[0079] 別の方法としては、本発明のクリーニング組成物は、研削材料と組み合わせて、Step II CMPスラリーとして使用することができる。Step II CMPスラリーは、通常、銅及び誘電体材料の除去速度に対して、高いバリア材料除去速度を有する。例えば、(クリーニング組成物スラリーを生じさせるために)本発明のクリーニング組成物に研削材料を追加して、タングステン及びTi/TiNバリア層材料を有するマイクロ電子デバイスのCMPに使用することができる。マイクロ電子デバイスが銅材料を有する場合、平坦化プロセス中に銅を保護するために、好ましくは、銅阻害種がクリーニング組成物スラリーに追加される。本明細書で企図された研削剤は、シリカ、アルミナ、セリア、及びこれらの混合物を含む。本明細書で企図された阻害剤は、イミダゾール、アミノテトラゾール、ベンゾトリアゾール、ベンズイミダゾール、アミノ、イミノ、カルボキシ、メルカプト、ニトロ、アルキル、尿素及びチオ尿素の化合物、シュウ酸、マロン酸、コハク酸、ニトリロ三酢酸、イミノ二酢酸、ならびにこれらの組合せを含む。好ましくは、代替のStep II CMP組成物は、研削剤、阻害剤、有機第4級塩基、アルカリ又はアルカリ土類金属基、共溶媒、任意選択として界面活性剤、及び任意選択としてキレート剤を含む。
[0080] 本発明のさらに他の態様は、本発明の方法に従って作成された改良型マイクロ電子デバイス、及びこうしたマイクロ電子デバイスを含む製品に関する。
[0081] 本発明のさらに他の態様は、マイクロ電子デバイスを備える物品を製造する方法に関し、上記方法は、フォトレジスト及び/又はSARC材料を、その上に上記フォトレジスト及び/又はSARC材料を有するマイクロ電子デバイスから少なくとも部分的に除去するのに十分な時間、マイクロ電子デバイスを液体除去組成物に接触させるステップと、上記マイクロ電子デバイスを上記物品に組み込むステップとを有し、ここで、液体除去組成物は、少なくとも1つの有機第4級塩基と、水と、任意選択として少なくとも1つのアルカリ又はアルカリ土類金属源と、任意選択として少なくとも1つの溶媒、アミン、又はこれらの組合せと、任意選択として少なくとも1つのキレート/不活性化剤と、任意選択として少なくとも1つの界面活性剤と、任意選択として少なくとも1つの表面相互作用強化添加剤とを含む。
[0082] 本発明の特徴及び利点は、以下で論じる実施例によってより完全に示される。
実施例1
[0083] フォトレジスト及びSARCの除去は、フォトレジスト層及びSARC層を含むパターン化された低k誘電体基板のサンプルで実施された。サンプルは、除去溶媒X〜AJに60℃で30分間浸漬された後、多量の脱イオン水ですすがれ、窒素で乾燥された。パターン化されたウェハからのフォトレジスト及びSARC材料の除去率は、走査型電子顕微鏡を使用して概算された。この結果は、以下の表1にまとめられている。
Figure 2009516360
実施例2
[0084] フォトレジスト及びSARC除去は、フォトレジスト層及びSARC層を含むパターン化された低k誘電体基板のサンプルで実施された。サンプルは、除去溶媒AK〜AQに60℃で30分間浸漬された後、多量の脱イオン水ですすがれ、窒素で乾燥された。パターン化されたウェハからのフォトレジスト及びSARC材料の除去率は、走査型電子顕微鏡を使用して概算された。この結果は、以下の表2にまとめられている。
Figure 2009516360
実施例3
[0085] SARC除去は、SARC層を含むパターン化された低k誘電体基板のサンプルで実施された。サンプルは、除去溶媒BA〜BNに40℃で30分または60分間浸漬された後、多量の脱イオン水ですすがれ、窒素で乾燥された。パターン化されたウェハからのSARC材料の除去率は、走査型電子顕微鏡を使用して概算された。この結果は、以下の表3にまとめられている。
Figure 2009516360
実施例4
[0086] SARC除去は、SARC層を含むパターン化された低k誘電体基板のサンプルで実施された。サンプルは、除去溶媒BO〜BTに40℃で30分又は60分間浸漬された後、多量の脱イオン水ですすがれ、窒素で乾燥された。パターン化されたウェハからのSARC材料の除去率は、走査型電子顕微鏡を使用して概算された。この結果は、以下の表4にまとめられている。
Figure 2009516360
実施例5
[0087] 銅エッチング速度は、1000オングストローム厚さの全面PVD銅ウェハのサンプルで測定された。サンプルは、攪拌(60分、48時間、及び72時間で)有又は無で、除去溶媒BU〜BZに50℃で60分間浸漬された後、多量の脱イオン水ですすがれ、窒素で乾燥された。銅エッチング速度は、4点プローブ比抵抗測定法を使用して測定された。この結果は、以下の表5にまとめられている。
Figure 2009516360
実施例6
[0088] SARC及びクラスト除去は、SARC層を含むパターン化された低k誘電体基板のサンプルで実施された。サンプルは、除去溶媒BA〜BHに50℃で30分間浸漬された後、多量の脱イオン水ですすがれ、窒素で乾燥された。パターン化されたウェハからのSARC材料及びクラストの除去率は、走査型電子顕微鏡を使用して概算された。この結果は、以下の表6にまとめられている。
Figure 2009516360
実施例7
[0089] SARC除去は、SARC層を含むパターン化された低k誘電体基板のサンプルで実施された。サンプルは、除去溶媒BI〜BRに50℃で30分又は60分間浸漬された後、多量の脱イオン水ですすがれ、窒素で乾燥された。パターン化されたウェハからのSARC材料の除去率は、走査型電子顕微鏡を使用して概算された。この結果は、以下の表7にまとめられている。
Figure 2009516360
実施例8
[0090] SARC除去は、SARC層を含むパターン化された低k誘電体基板のサンプルで実施された。サンプルは、除去溶媒BS〜BBに40℃で30分間浸漬された後、多量の脱イオン水ですすがれ、窒素で乾燥された。パターン化されたウェハからのSARC材料の除去率は、走査型電子顕微鏡を使用して概算された。この結果は、以下の表8にまとめられている。
Figure 2009516360
実施例9
[0091] SARC除去は、SARC層を含むパターン化された低k誘電体基板のサンプルで実施された。サンプルは、除去溶媒BC〜BHに40℃で30分間浸漬された後、多量の脱イオン水ですすがれ、窒素で乾燥された。パターン化されたウェハからのSARC材料の除去率は、走査型電子顕微鏡を使用して概算された。この結果は、以下の表9にまとめられている。
Figure 2009516360
実施例10
[0092] SARC除去は、SARC層を含むパターン化された低k誘電体基板のサンプルで実施された。サンプルは、除去溶媒BI〜BMに40℃で30分間浸漬された後、多量の脱イオン水ですすがれ、窒素で乾燥された。パターン化されたウェハからのSARC材料の除去率は、走査型電子顕微鏡を使用して概算された。この結果は、以下の表10にまとめられている。
Figure 2009516360
実施例11
[0093] SARC除去は、SARC層を含むパターン化された低k誘電体基板のサンプルで実施された。サンプルは、除去溶媒BN〜BSに40℃で30分間浸漬された後、多量の脱イオン水ですすがれ、窒素で乾燥された。パターン化されたウェハからのSARC材料の除去率は、走査型電子顕微鏡を使用して概算された。この結果は、以下の表11にまとめられている。
Figure 2009516360
実施例12
[0094] SARC除去は、SARC層を含むパターン化された低k誘電体基板のサンプルで実施された。サンプルは、除去溶媒BT〜CEに40℃で30分間浸漬された後、多量の脱イオン水ですすがれ、窒素で乾燥された。パターン化されたウェハからのSARC材料の除去率は、走査型電子顕微鏡を使用して概算された。この結果は、以下の表12にまとめられている。
Figure 2009516360
実施例13
[0095] SARC除去は、SARC層を含むパターン化された低k誘電体基板のサンプルで実施された。サンプルは、除去溶媒CF〜CQに50℃で18分または30分間浸漬された後、多量の脱イオン水ですすがれ、窒素で乾燥された。パターン化されたウェハからのSARC材料の除去率は、走査型電子顕微鏡を使用して概算された。この結果は、以下の表13にまとめられている。
Figure 2009516360
実施例14
[0096] SARC及びフォトレジストの除去は、SARC層及びフォトレジスト層を含むパターン化された低k誘電体基板のサンプルで実施された。サンプルは、除去溶媒CRに40℃で30分間浸漬された後、多量の脱イオン水ですすがれ、窒素で乾燥された。パターン化されたウェハからのSARC及びフォトレジスト材料の100%除去が、走査型電子顕微鏡を使用して観察された。
実施例15
[0097] エッチング後のアッシュレス・フォトレジストをその上に有するパターン化されたウェハは、配合DA〜DHと50℃で20分間接触された。重要なことに、ウェハは露出されたTEOS及び低k誘電体材料を含んでいた。それぞれのケースで、フォトレジスト材料はウェハの表面から剥離し、配合物及び/又はすすぎ水容器内に浮遊した。各ウェハのFESEM顕微鏡写真は、フォトレジストがほとんど除去されたこと、配合DG及びDHと接触されたウェハ上で最低量の低k誘電体材料の侵食が観察されたことを示した。
[0098] ウェハの表面からフォトレジストを除去するためには、第4級塩基及びKOH/KCIが重要な成分であることが解明された。
実施例16
[0099] 窒化シリコン層(SiN、約300Å厚さ)、Black Diamond(商標)(BlackD、約10kÅ厚さ)、及びTEOSウェハ(約8000Å厚さ)が、それぞれの材料のエッチング速度を決定するために、除去溶媒DH及びDIに50℃、60℃、及び70℃で20分間浸漬された。エッチング速度はNanospecを使用して測定された。それぞれの材料のエッチング速度は、以下にまとめられている。未処理及び処理済みのBlackDウェハのFTIRが、処理後のBlackDウェハの化学構造又は誘電特性に有害な変化がないことを示したことに留意されたい。
Figure 2009516360
[0100] エッチング後のアッシュレス・フォトレジストをその上に有するパターン化されたウェハは、配合DH及びDIと、50℃、60℃、及び70℃で20分間接触された後、DI水ですすがれ、N2ガスで乾燥された。重要なこととしては、ウェハは露出されたTEOS及び低k誘電体材料を含んでいた。それぞれのケースで、フォトレジスト材料は1分以内にウェハの表面から剥離し、表面上への再付着はなかった。各ウェハのFESEM顕微鏡写真は、フォトレジストがほとんど除去されたことを示した。FESEM結果ならびにBlackD及びTEOSのエッチング速度によって証明されたように、50℃での処理が、低k誘電体材料への損害が最も少ない最良の除去結果を示した。
[0101] したがって、これまで特定の態様、特徴、及び例示としての実施形態を参照しながら本発明について説明してきたが、本発明の効用は限定されるものではなく、むしろ多数の他の態様、特徴、及び実施形態を包含するものであることを理解されたい。したがって、添付の特許請求の範囲は、これらの精神及び範囲内のかかるすべての態様、特徴、及び実施形態を含むものとして、それに対応して広義に解釈されることが意図される。

Claims (31)

  1. 少なくとも1つの有機第4級塩基と、少なくとも1つの表面相互作用強化添加剤と、任意選択として、少なくとも1つのアルカリ又はアルカリ土類金属源、少なくとも1つの有機溶媒、少なくとも1つの界面活性剤、少なくとも1つのキレート剤、及びこれらの組合せからなる群から選択された少なくとも1つの成分とを含む液体除去組成物であって、前記液体除去組成物は、フォトレジスト及び/又は犠牲反射防止コーティング(SARC)材料をその上に有するマイクロ電子デバイスからこうした材料を除去するために有用である液体除去組成物。
  2. 前記少なくとも1つの有機第4級塩基は、式NROHを有する化合物を含み、ここで、R、R、R、及びRは同じであるか、又は互いに異なり、水素と、直鎖状のC−Cアルキル基と、分岐状のC−Cアルキル基と、置換のC−C10アリール基と、未置換のC−C10アリール基、アルコール基、アルケン類、及びアルキン類とからなる群から選択される、請求項1に記載の液体除去組成物。
  3. 前記少なくとも1つの有機第4級塩基は、水酸化テトラブチルアンモニウム、水酸化ベンジルトリメチルアンモニウム(BTMAH)、水酸化テトラメチルアンモニウム(TMAH)、及びこれらの組合せからなる群から選択された化合物を含む、請求項1に記載の液体除去組成物。
  4. 前記少なくとも1つの第4級有機塩基は、水酸化ベンジルトリメチルアンモニウムを含む、請求項1に記載の液体除去組成物。
  5. 前記少なくとも1つの表面相互作用強化添加剤は、ポリ(アクリルアミド−コ−ジアリルジメチルアンモニウム・クロライド)、ポリ(アクリルアミド)、ポリ(アクリル酸)、ポリ(ジアリルジメチルアンモニウム・クロライド)、ジアリルジメチルアンモニウム・クロライド、アクリルアミド、アセトグアナミン、及びこれらの組合せからなる群から選択された種を含む、請求項1に記載の液体除去組成物。
  6. 前記アルカリ又はアルカリ土類金属源を含み、前記少なくとも1つのアルカリ又はアルカリ土類金属源は、水酸化カリウム、塩化カリウム、及びこれらの組合せからなる群から選択されたカリウム塩を含む、請求項1に記載の液体除去組成物。
  7. 有機溶媒を含み、前記少なくとも1つの有機溶媒は、アミン、硫黄含有化合物、グリコール、グリコール・エーテル、及びこれらの組合せからなる群から選択された成分を含む、請求項1に記載の液体除去組成物。
  8. 前記有機溶媒は、モノエタノールアミン、トリエタノールアミン、トリエチレンジアミン、メチルエタノールアミン、メチルジエタノールアミン、ペンタメチルジエチレントリアミン、ジメチルジグリコールアミン、1,8−ジアザビシクロ[5.4.0]ウンデセン、アミノプロピルモルホリン、ヒドロキシエチルモルホリン、アミノエチルモルホリン、ヒドロキシプロピルモルホリン、ジグリコールアミン、N−メチルピロリジノン(NMP)、N−オクチルピロリジノン、N−フェニルピロリジノン、シクロヘキシルピロリジノン、ビニルピロリジノン、テトラメチル・スルホン、エチレン・グリコール、プロピレン・グリコール、ネオペンチル・グリコール、ジエチレン・グリコール・モノメチル・エーテル、トリエチレン・グリコール・モノメチル・エーテル、ジエチレン・グリコール・モノエチル・エーテル、トリエチレン・グリコール・モノエチル・エーテル、エチレン・グリコール・モノプロピル・エーテル、エチレン・グリコール・モノブチル・エーテル、ジエチレン・グリコール・モノブチル・エーテル、トリエチレン・グリコール・モノブチル・エーテル、エチレン・グリコール・モノヘキシル・エーテル、ジエチレン・グリコール・モノヘキシル・エーテル、エチレン・グリコール・フェニル・エーテル、プロピレン・グリコール・メチル・エーテル、ジプロピレン・グリコール・メチル・エーテル、トリプロピレン・グリコール・メチル・エーテル、プロピレン・グリコールn−プロピル・エーテル、ジプロピレン・グリコールn−プロピル・エーテル、トリプロピレン・グリコールn−プロピル・エーテル、プロピレン・グリコールn−ブチル・エーテル、ジプロピレン・グリコールn−ブチル・エーテル、トリプロピレン・グリコールn−ブチル・エーテル、プロピレン・グリコール・フェニル・エーテル、及びこれらの組合せからなる群から選択された成分を含む、請求項7に記載の液体除去組成物。
  9. 前記キレート剤を含み、前記少なくとも1つのキレート剤は、ベンゾトリアゾール、トリルトリアゾール、5−フェニル−ベンゾトリアゾール、5−ニトロ−ベンゾトリアゾール、3−アミノ−5−メルカプト−1,2,4−トリアゾール、1−アミノ−1,2,4−トリアゾール、ヒドロキシベンゾトリアゾール、2−(5−アミノ−ペンチル)−ベンゾトリアゾール、1−アミノ−1,2,3−トリアゾール、1−アミノ−5−メチル−1,2,3−トリアゾール、3−アミノ−1,2,4−トリアゾール、3−メルカプト−1,2,4−トリアゾール、3−イソプロピル−1,2,4−トリアゾール、5−フェニルチオール−ベンゾトリアゾール、ハロ−ベンゾトリアゾール類、ナフトトリアゾール、2−メルカプトベンゾイミジゾール、2−メルカプトベンゾチアゾール、4−メチル−2−フェニルイミダゾール、2−メルカプトチアゾリン、5−アミノテトラゾール、5−アミノ−1,3,4−チアジアゾール−2−チオール、2,4−ジアミノ−6−メチル−1,3,5−トリアジン、チアゾール、トリアジン、メチルテトラゾール、1,3−ジメチル−2−イミダゾリジノン、1,5−ペンタメチレンテトラゾール、1−フェニル−5−メルカプトテトラゾール、ジアミノメチルトリアジン、メルカプトベンゾチアゾール、イミダゾリン・チオン、メルカプトベンズイミダゾール、4−メチル−4H−1,2,4−トリアゾール−3−チオール、5−アミノ−1,3,4−チアジアゾール−2−チオール、ベンゾチアゾール、リン酸トリトリル、インジアゾール、グアニン、アデニン、グリセロール、チオグリセロール、ニトリロ三酢酸、サリチルアミド、ベンゾグアナミン、メラミン、thiocyranuric acid、アントラニル酸、没食子酸、アスコルビン酸、サリチル酸、8−ヒドロキシキノリン、5−カルボン酸−ベンゾトリアゾール、3−メルカプトプロパノール、ホウ酸、及びイミノ二酢酸からなる群から選択された種を含む、請求項1に記載の液体除去組成物。
  10. 前記界面活性剤を含み、前記少なくとも1つの界面活性剤は、ビス−(2−ヒドロキシエチル)イソデシルオキシプロピルアミン・オキシド、アルコキシル化エーテル・アミン・オキシド、分岐状のC10アルコールから導出されたエーテル・アミン・オキシド、及びこれらの組合せからなる群から選択された種を含む、請求項1に記載の液体除去組成物。
  11. 前記マイクロ電子デバイスは、半導体基板、フラット・パネル・ディスプレイ、及びマイクロ電気機械システム(MEMS)からなる群から選択された物品を備える、請求項1に記載の液体除去組成物。
  12. フォトレジスト及び/又はSARCの残留材料をさらに含む、請求項1に記載の液体除去組成物。
  13. 前記フォトレジスト及び/又はSARCの残留材料は、有機フォトレジスト残留物と、ホウ素、ヒ素、及びリンからなる群から選択されたイオン注入元素と、ケイ素含有残留物と、酸素及びフッ素からなる群から選択されたプラズマ・エッチング・ガス元素とからなる群から選択された種を含む、請求項12に記載の液体除去組成物。
  14. 前記表面相互作用強化添加剤と有機第4級塩基との重量%比が、約0.01:1から約0.2:1の範囲内である、請求項1に記載の液体除去組成物。
  15. 前記アルカリまたはアルカリ土類金属源と有機第4級塩基との重量%比が、約0.001:1から約0.3:1の範囲内である、請求項6に記載の液体除去組成物。
  16. 前記界面活性剤と有機第4級塩基との重量%比が、約0.01:1から約0.07:1の範囲内である、請求項10に記載の液体除去組成物。
  17. 前記有機溶媒と有機第4級塩基との重量%比が、約1:1から約55:11の範囲内である、請求項10に記載の液体除去組成物。
  18. 前記pHが、約11から約14の範囲内である、請求項1に記載の液体除去組成物。
  19. 水酸化ベンジルトリメチルアンモニウム及びポリ(アクリルアミド−コ−ジアリルジメチルアンモニウム・クロライド)を含む、請求項1に記載の液体除去組成物。
  20. 前記表面相互作用強化添加剤と第4級塩基との重量%比が、約0.03:1から約0.07:1の範囲内である、請求項19に記載の液体除去組成物。
  21. 液体除去組成物を形成するために以下の試薬のうちの1つ又は複数を1つまたは複数の容器内に備えるキットであって、前記1つ又は複数の試薬は、少なくとも1つの有機第4級塩基と、少なくとも1つの表面相互作用強化添加剤と、任意選択として、少なくとも1つのアルカリ又はアルカリ土類金属源、少なくとも1つの有機溶媒、少なくとも1つの界面活性剤、少なくとも1つのキレート剤、及びこれらの組合せからなる群から選択され、前記キットが、請求項1に記載の組成物を形成するように適合されるキット。
  22. フォトレジスト及び/又はSARC材料をその上に有するマイクロ電子デバイスから前記材料を除去する方法であって、前記方法は、前記マイクロ電子デバイスから前記材料を少なくとも部分的に除去するために十分な時間、前記マイクロ電子デバイスを液体除去組成物と接触させるステップを有し、前記液体除去組成物は、少なくとも1つの有機第4級塩基と、少なくとも1つの表面相互作用強化添加剤と、任意選択として、少なくとも1つのアルカリ又はアルカリ土類金属源、少なくとも1つの有機溶媒、少なくとも1つの界面活性剤、少なくとも1つのキレート剤、及びこれらの組合せからなる群から選択された少なくとも1つの成分とを含む方法。
  23. 前記マイクロ電子デバイスは、半導体基板、フラット・パネル・ディスプレイ、及びマイクロ電気機械システム(MEMS)からなる群から選択された物品を備える、請求項22に記載の方法。
  24. 前記材料は、プラズマ・エッチングによって硬化されたフォトレジスト、イオン注入によって硬化されたフォトレジスト、及びSARC材料からなる群から選択された層を含む、請求項22に記載の方法。
  25. 前記接触させるステップは、約1分から約60分の時間、約30℃から約80℃の温度、及びこれらの組合せからなる群から選択された条件で実行される、請求項22に記載の方法。
  26. 前記接触させるステップは、前記マイクロ電子デバイスの表面に前記液体除去組成物を噴霧するステップと、十分な量の液体除去組成物内に前記マイクロ電子デバイスを浸漬するステップと、前記マイクロ電子デバイスの表面をその上に前記液体除去組成物が吸収された他の材料と接触させるステップと、前記マイクロ電子デバイスを循環する液体除去組成物と接触させるステップとからなる群から選択されたプロセスを含む、請求項22に記載の方法。
  27. 前記少なくとも1つの表面相互作用強化添加剤は、ポリ(アクリルアミド−コ−ジアリルジメチルアンモニウム・クロライド)、ポリ(アクリルアミド)、ポリ(アクリル酸)、ポリ(ジアリルジメチルアンモニウム・クロライド)、ジアリルジメチルアンモニウム・クロライド、アクリルアミド、アセトグアナミン、及びこれらの組合せからなる群から選択された種を含み、
    前記少なくとも1つの有機第4級塩基が、式NROHを有する化合物を含み、ここで、R、R、R、及びRは同じであるか、または互いに異なり、水素と、直鎖状のC−Cアルキル基と、分岐状のC−Cアルキル基と、置換のC−C10アリール基と、未置換のC−C10アリール基、アルコール基、アルケン類、及びアルキン類とからなる群から選択される、請求項22に記載の方法。
  28. 前記液体除去組成物との接触ステップに続いて、前記マイクロ電子デバイスを脱イオン水ですすぐステップをさらに含む、請求項22に記載の方法。
  29. 前記除去組成物のpHが、約11から約14の範囲内である、請求項22に記載の方法。
  30. 少なくとも1つの第4級塩基と、塩化カリウムと、少なくとも1つのキレート/不活性化剤とを含む液体除去組成物であって、フォトレジスト材料をその上に有するマイクロ電子デバイスから前記材料を除去するために有用である液体除去組成物。
  31. 前記キレート/不活性化剤が、尿素、2−メルカプトベンズイミダゾール、1,2,4−トリアゾール、及びこれらの組合せからなる群から選択された種を含む、請求項30に記載の除去組成物。
JP2008535667A 2005-10-13 2006-10-12 金属適合フォトレジスト及び/又は犠牲反射防止コーティング除去組成物 Pending JP2009516360A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US72641005P 2005-10-13 2005-10-13
PCT/US2006/039888 WO2007047365A2 (en) 2005-10-13 2006-10-12 Metals compatible photoresist and/or sacrificial antireflective coating removal composition

Publications (1)

Publication Number Publication Date
JP2009516360A true JP2009516360A (ja) 2009-04-16

Family

ID=37963086

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008535667A Pending JP2009516360A (ja) 2005-10-13 2006-10-12 金属適合フォトレジスト及び/又は犠牲反射防止コーティング除去組成物

Country Status (7)

Country Link
US (1) US8058219B2 (ja)
EP (1) EP1945748A4 (ja)
JP (1) JP2009516360A (ja)
KR (1) KR20080059442A (ja)
CN (1) CN101421386B (ja)
TW (1) TW200720862A (ja)
WO (1) WO2007047365A2 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010002580A (ja) * 2008-06-19 2010-01-07 Tosoh Corp レジスト剥離液
JP2014523538A (ja) * 2011-06-01 2014-09-11 アバンター・パフォーマンス・マテリアルズ・インコーポレイテッド 銅、タングステンおよび多孔質低κ誘電体に対する増強された相溶性を有する半水溶性ポリマー除去組成物
JP2016074906A (ja) * 2008-10-21 2016-05-12 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅の洗浄及び保護配合物
WO2016076031A1 (ja) * 2014-11-13 2016-05-19 三菱瓦斯化学株式会社 タングステンを含む材料のダメージを抑制した半導体素子の洗浄液、およびこれを用いた半導体素子の洗浄方法
JP2018041033A (ja) * 2016-09-09 2018-03-15 花王株式会社 樹脂マスク剥離用洗浄剤組成物
WO2024128210A1 (ja) * 2022-12-12 2024-06-20 三菱瓦斯化学株式会社 フォトレジスト除去用組成物およびフォトレジストの除去方法

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060154186A1 (en) * 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
WO2007120259A2 (en) * 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
US7960328B2 (en) 2005-11-09 2011-06-14 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
US7674755B2 (en) * 2005-12-22 2010-03-09 Air Products And Chemicals, Inc. Formulation for removal of photoresist, etch residue and BARC
US8685909B2 (en) * 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
EP1918322A1 (en) * 2006-11-03 2008-05-07 Henkel Kommanditgesellschaft auf Aktien Paint Stripper with Corrosion Inhibitor for Aluminium
TWI611047B (zh) * 2006-12-21 2018-01-11 恩特葛瑞斯股份有限公司 用以移除蝕刻後殘餘物之液體清洗劑
TWI516573B (zh) * 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
US7799139B2 (en) * 2007-03-28 2010-09-21 Intel Corporation Chemistry for removal of photo resist, organic sacrificial fill material and etch polymer
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
JP2010535422A (ja) * 2007-08-02 2010-11-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド マイクロ電子デバイスから残渣を除去するための非フッ化物含有組成物
US7655608B2 (en) * 2007-08-03 2010-02-02 Dynaloy, Llc Reduced metal etch rates using stripper solutions containing a copper salt
CN101373339B (zh) * 2007-08-23 2011-12-07 安集微电子(上海)有限公司 一种厚膜光刻胶的清洗剂
CN101373340B (zh) * 2007-08-23 2013-07-10 安集微电子(上海)有限公司 一种光刻胶清洗剂
TWI591158B (zh) * 2008-03-07 2017-07-11 恩特葛瑞斯股份有限公司 非選擇性氧化物蝕刻濕清潔組合物及使用方法
US20090241988A1 (en) * 2008-03-31 2009-10-01 Intel Corporation Photoresist and antireflective layer removal solution and method thereof
CN101597546B (zh) * 2008-06-04 2014-07-23 S.C.约翰逊父子公司 稳定的碱性液体磨料清洁剂组合物及其制法
WO2010091045A2 (en) * 2009-02-05 2010-08-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of polymers and other organic material from a surface
US8754021B2 (en) 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
US9484218B2 (en) * 2009-07-30 2016-11-01 Basf Se Post ion implant stripper for advanced semiconductor application
SG170691A1 (en) 2009-10-14 2011-05-30 Rohm & Haas Elect Mat Method of cleaning and micro-etching semiconductor wafers
US9045717B2 (en) * 2010-01-29 2015-06-02 Advanced Technology Materials, Inc. Cleaning agent for semiconductor provided with metal wiring
US8058221B2 (en) * 2010-04-06 2011-11-15 Samsung Electronics Co., Ltd. Composition for removing a photoresist and method of manufacturing semiconductor device using the composition
US9063431B2 (en) 2010-07-16 2015-06-23 Advanced Technology Materials, Inc. Aqueous cleaner for the removal of post-etch residues
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
WO2012024603A2 (en) 2010-08-20 2012-02-23 Advanced Technology Materials, Inc. Sustainable process for reclaiming precious metals and base metals from e-waste
CN101957565B (zh) * 2010-08-28 2012-05-23 汕头超声印制板(二厂)有限公司 一种有机退膜剂
SG10201508015RA (en) * 2010-10-06 2015-10-29 Entegris Inc Composition and process for selectively etching metal nitrides
CN102109777B (zh) * 2010-12-15 2012-08-22 绵阳艾萨斯电子材料有限公司 一种等离子显示用障壁浆料的再生液
US8889609B2 (en) * 2011-03-16 2014-11-18 Air Products And Chemicals, Inc. Cleaning formulations and method of using the cleaning formulations
CN102199499B (zh) * 2011-04-02 2013-01-16 浙江向日葵光能科技股份有限公司 太阳能电池硅片清洗剂及其使用方法
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
SG11201400840UA (en) 2011-10-05 2014-04-28 Avantor Performance Mat Inc Microelectronic substrate cleaning compositions having copper/azole polymer inhibition
CN103958640B (zh) * 2011-10-21 2016-05-18 安格斯公司 无胺cmp后组合物及其使用方法
SG10201605172RA (en) 2011-12-28 2016-08-30 Entegris Inc Compositions and methods for selectively etching titanium nitride
TWI565836B (zh) * 2011-12-28 2017-01-11 Tokyo Ohka Kogyo Co Ltd Cleaning solution and anti-corrosion agent
HUE026813T2 (en) * 2012-02-10 2016-07-28 Atotech Deutschland Gmbh Composition and method for removing organic paint coatings from carriers
US10176979B2 (en) 2012-02-15 2019-01-08 Entegris, Inc. Post-CMP removal using compositions and method of use
CN102634809B (zh) * 2012-04-27 2013-11-13 东莞市广华化工有限公司 一种二次干膜去膜液
TW201406932A (zh) 2012-05-18 2014-02-16 Advanced Tech Materials 用於自包含氮化鈦之表面脫除光阻劑之組成物及方法
TWI593783B (zh) * 2012-07-24 2017-08-01 Ltc股份有限公司 用於移除與防止於金屬線路表面形成氧化物之組合物
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
SG10201706443QA (en) 2013-03-04 2017-09-28 Entegris Inc Compositions and methods for selectively etching titanium nitride
WO2014197808A1 (en) 2013-06-06 2014-12-11 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
EP3027709A4 (en) 2013-07-31 2017-03-29 Entegris, Inc. AQUEOUS FORMULATIONS FOR REMOVING METAL HARD MASK AND POST-ETCH RESIDUE WITH Cu/W COMPATIBILITY
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
TWI654340B (zh) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
JP6776125B2 (ja) 2013-12-20 2020-10-28 インテグリス・インコーポレーテッド イオン注入レジストの除去のための非酸化性の強酸の使用
KR102290209B1 (ko) 2013-12-31 2021-08-20 엔테그리스, 아이엔씨. 규소 및 게르마늄을 선택적으로 에칭하기 위한 배합물
US20160340620A1 (en) 2014-01-29 2016-11-24 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
WO2015187675A2 (en) * 2014-06-04 2015-12-10 Entegris, Inc. Anti-reflective coating cleaning and post-etch residue removal composition having metal, dielectric and nitride compatibility
CN104531397A (zh) * 2014-11-18 2015-04-22 惠晶显示科技(苏州)有限公司 一种平板玻璃基板减薄预清洗用清洗液及其应用
CN108290775A (zh) * 2015-11-23 2018-07-17 康宁股份有限公司 从玻璃基材去除无机涂层
KR20170086838A (ko) * 2016-01-19 2017-07-27 동우 화인켐 주식회사 경화수지막 박리액 조성물
US10748757B2 (en) 2017-09-21 2020-08-18 Honeywell International, Inc. Thermally removable fill materials for anti-stiction applications
US10727044B2 (en) 2017-09-21 2020-07-28 Honeywell International Inc. Fill material to mitigate pattern collapse
US10529617B2 (en) * 2017-09-29 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Metal routing with flexible space formed using self-aligned spacer patterning
CN112424327A (zh) * 2018-07-20 2021-02-26 恩特格里斯公司 含腐蚀抑制剂的清洗组合物
EP3959291A4 (en) 2019-03-11 2023-07-19 Versum Materials US, LLC ETCHING SOLUTION AND PROCESS FOR ALUMINUM NITRIDE
JP7365427B2 (ja) * 2019-11-22 2023-10-19 富士フイルム株式会社 洗浄液、洗浄方法
CN113430065B (zh) * 2020-03-23 2024-06-07 上海新阳半导体材料股份有限公司 抗反射涂层清洗及刻蚀后残留物去除组合物、制备方法及用途
US20230372981A1 (en) * 2020-10-30 2023-11-23 Postprocess Technologies, Inc. Compositions for removing resin and ceramic from a surface of an object and methods of using such compositions
CN114126245B (zh) * 2022-01-26 2022-04-22 深圳市板明科技股份有限公司 线路板图形电镀夹膜去除剂和图形电镀夹膜去除工艺

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001312074A (ja) * 2000-02-25 2001-11-09 Shipley Co Llc ポリマー残さ除去用組成物
JP2003035963A (ja) * 2001-07-24 2003-02-07 Kanto Chem Co Inc フォトレジスト残渣除去液組成物
JP2006515933A (ja) * 2002-12-20 2006-06-08 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド フォトレジスト除去

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5259888A (en) * 1992-02-03 1993-11-09 Sachem, Inc. Process for cleaning quartz and silicon surfaces
US5972875A (en) 1997-04-23 1999-10-26 Crutcher; Terry Low-foaming amine oxide surfactant concentrate and method of manufacture
KR100540477B1 (ko) * 1998-06-30 2006-03-17 주식회사 하이닉스반도체 반도체 소자의 게이트 전극 형성방법
US6498131B1 (en) * 2000-08-07 2002-12-24 Ekc Technology, Inc. Composition for cleaning chemical mechanical planarization apparatus
US6752878B2 (en) * 2000-09-19 2004-06-22 Shipley Company, L.L.C. Process for treating adhesion promoted metal surfaces
US6599370B2 (en) 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6525009B2 (en) * 2000-12-07 2003-02-25 International Business Machines Corporation Polycarboxylates-based aqueous compositions for cleaning of screening apparatus
US6627587B2 (en) * 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US6551973B1 (en) * 2001-10-09 2003-04-22 General Chemical Corporation Stable metal-safe stripper for removing cured negative-tone novolak and acrylic photoresists and post-etch residue
JP2004101849A (ja) * 2002-09-09 2004-04-02 Mitsubishi Gas Chem Co Inc 洗浄剤組成物
US8338087B2 (en) * 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001312074A (ja) * 2000-02-25 2001-11-09 Shipley Co Llc ポリマー残さ除去用組成物
JP2003035963A (ja) * 2001-07-24 2003-02-07 Kanto Chem Co Inc フォトレジスト残渣除去液組成物
JP2006515933A (ja) * 2002-12-20 2006-06-08 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド フォトレジスト除去

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010002580A (ja) * 2008-06-19 2010-01-07 Tosoh Corp レジスト剥離液
JP2016074906A (ja) * 2008-10-21 2016-05-12 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅の洗浄及び保護配合物
JP2014523538A (ja) * 2011-06-01 2014-09-11 アバンター・パフォーマンス・マテリアルズ・インコーポレイテッド 銅、タングステンおよび多孔質低κ誘電体に対する増強された相溶性を有する半水溶性ポリマー除去組成物
WO2016076031A1 (ja) * 2014-11-13 2016-05-19 三菱瓦斯化学株式会社 タングステンを含む材料のダメージを抑制した半導体素子の洗浄液、およびこれを用いた半導体素子の洗浄方法
KR20170085483A (ko) * 2014-11-13 2017-07-24 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 텅스텐을 포함하는 재료의 데미지를 억제한 반도체 소자의 세정액, 및 이것을 이용한 반도체 소자의 세정방법
JPWO2016076031A1 (ja) * 2014-11-13 2017-08-24 三菱瓦斯化学株式会社 タングステンを含む材料のダメージを抑制した半導体素子の洗浄液、およびこれを用いた半導体素子の洗浄方法
US10651028B2 (en) 2014-11-13 2020-05-12 Mitsubishi Gas Chemical Company, Inc. Semiconductor element cleaning solution that suppresses damage to tungsten-containing materials, and method for cleaning semiconductor element using same
KR102405637B1 (ko) * 2014-11-13 2022-06-07 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 텅스텐을 포함하는 재료의 데미지를 억제한 반도체 소자의 세정액, 및 이것을 이용한 반도체 소자의 세정방법
JP2018041033A (ja) * 2016-09-09 2018-03-15 花王株式会社 樹脂マスク剥離用洗浄剤組成物
WO2018047631A1 (ja) * 2016-09-09 2018-03-15 花王株式会社 樹脂マスク剥離用洗浄剤組成物
CN109791377A (zh) * 2016-09-09 2019-05-21 花王株式会社 树脂掩膜剥离用洗涤剂组合物
WO2024128210A1 (ja) * 2022-12-12 2024-06-20 三菱瓦斯化学株式会社 フォトレジスト除去用組成物およびフォトレジストの除去方法

Also Published As

Publication number Publication date
KR20080059442A (ko) 2008-06-27
EP1945748A2 (en) 2008-07-23
WO2007047365A2 (en) 2007-04-26
EP1945748A4 (en) 2009-01-07
CN101421386A (zh) 2009-04-29
CN101421386B (zh) 2011-08-10
TW200720862A (en) 2007-06-01
US20090118153A1 (en) 2009-05-07
WO2007047365A3 (en) 2007-08-09
US8058219B2 (en) 2011-11-15

Similar Documents

Publication Publication Date Title
US8058219B2 (en) Metals compatible post-etch photoresist remover and/or sacrificial antireflective coating etchant
US9422513B2 (en) Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
JP4758982B2 (ja) 基板上に付着したフォトレジスト及び/又は犠牲反射防止材料のエッチング後除去のための組成物並びにプロセス
KR101444468B1 (ko) 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
KR101449774B1 (ko) 에칭 후 잔류물의 제거를 위한 액체 세정제
US20060063687A1 (en) Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091009

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110826

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120209