CN101421386A - 金属相容的光致抗蚀剂和/或牺牲性抗反射涂层去除组合物 - Google Patents

金属相容的光致抗蚀剂和/或牺牲性抗反射涂层去除组合物 Download PDF

Info

Publication number
CN101421386A
CN101421386A CNA2006800464974A CN200680046497A CN101421386A CN 101421386 A CN101421386 A CN 101421386A CN A2006800464974 A CNA2006800464974 A CN A2006800464974A CN 200680046497 A CN200680046497 A CN 200680046497A CN 101421386 A CN101421386 A CN 101421386A
Authority
CN
China
Prior art keywords
composition
liquid
ether
formulation
microelectronic device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006800464974A
Other languages
English (en)
Other versions
CN101421386B (zh
Inventor
梅利莎·K·拉斯
大卫·D·伯恩哈德
托马斯·H·包姆
江平
周仁杰
迈克尔·B·克赞斯基
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Technology Materials Inc
Original Assignee
Advanced Technology Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials Inc filed Critical Advanced Technology Materials Inc
Publication of CN101421386A publication Critical patent/CN101421386A/zh
Application granted granted Critical
Publication of CN101421386B publication Critical patent/CN101421386B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D9/00Chemical paint or ink removers
    • C09D9/04Chemical paint or ink removers with surface-active agents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3746Macromolecular compounds obtained by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3769(Co)polymerised monomers containing nitrogen, e.g. carbonamides, nitriles or amines
    • C11D3/3773(Co)polymerised monomers containing nitrogen, e.g. carbonamides, nitriles or amines in liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/20Other heavy metals
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/34Imagewise removal by selective transfer, e.g. peeling away
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Detergent Compositions (AREA)

Abstract

本发明公开了一种用于从其上具有光致抗蚀剂和/或牺牲性抗反射涂层(SARC)材料的微电子器件上去除所述材料的液体去除组合物和方法。所述液体去除组合物包括至少一种有机季碱和至少一种表面相互作用增强添加剂。在制造集成电路时,所述组合物实现至少部分去除光致抗蚀剂和/或SARC材料,同时对微电子器件上的金属材料如铜和钴的蚀刻最小化,且不会损害微电子器件结构中所用的低k介电材料。

Description

金属相容的光致抗蚀剂和/或牺牲性抗反射涂层去除组合物
发明领域
本发明涉及用于微电子器件制备过程的液体去除组合物和方法,尤其是用于光致抗蚀剂去除和硅酸盐剥离,例如从其上沉积有牺牲性抗反射硅酸盐材料的微电子器件上液体去除所述材料,尤其是当所述牺牲性抗反射硅酸盐材料与期望不受所述液体去除组合物影响的永久硅酸盐材料和互连金属共存时。
相关技术的描述
目前,有四种用于光刻工业的辐照显影波长:436nm、365nm、248nm和193nm,近期的努力集中于157nm光刻工艺。理论上,随着每个波长降低,可以在微电子器件芯片上产生更小的特征(feature)。然而,因为微电子器件基底的反射性与光刻波长成反比,所以干涉和不均衡暴露的光致抗蚀剂限制了微电子器件的临界尺寸的一致性。
例如,在暴露于DUV辐照时,已经知道光致抗蚀剂的透射性以及基底对DUV波长的高反射性导致将DUV辐照反射回光致抗蚀剂中,从而在光致抗蚀剂层中产生驻波。驻波在光致抗蚀剂中进一步触发光化学反应,引起不均匀的光致抗蚀剂暴露,包括不期望暴露于辐照的掩模部分,这导致线宽、间隔和其它临界尺寸的变化。
为了解决透射性和反射性问题,研制了双层和三层光致抗蚀剂、底部抗反射涂层(BARCs)和牺牲性抗反射涂层(SARCs),在应用光致抗蚀剂前将它们应用到基底上。所有这些抗反射涂层都对在典型的双镶嵌集成中遇到的拓扑晶片表面有平整化作用,而且都将UV发色团引入到将吸收入射UV辐照的旋涂聚合物基质中。
当使用SiOC基介电材料时,SARCs具有两个重要的优点:SARCs是基于TEOS的,因此可以与SiOC介电材料以相同的速率蚀刻,这使得能得到远远更大的蚀刻均一性和蚀刻控制,从而不需要沟槽蚀刻停止层,而且通孔蚀刻停止层的厚度可减少高达50%;可以用液体去除组合物去除经蚀刻的SARCs,因为相对于经蚀刻的光致抗蚀剂和经蚀剂的BARCs,经蚀刻的SARCs的蚀刻后交联并未显著增加。
未处理的光致抗蚀剂在强碱水溶液以及特选有机溶剂的溶液中具有溶解性。但是,对于通常用于蚀刻介电材料的气相等离子体蚀刻,暴露于气相等离子体蚀刻的光致抗蚀剂将在材料表面形成硬化壳。该硬化壳由交联的有机聚合物组成,可能还含有少量的硅或金属原子。用于双镶嵌工艺的氟基等离子体蚀刻可能会在光致抗蚀剂壳中沉积氟原子,这将降低其溶解性并增加其对化学去除的抗性。
已经证明从微电子器件晶片上清洗去除硬化光致抗蚀剂和/或SARC材料是困难和/或昂贵的。如果不去除,这些层会干扰后续硅化或接触形成。通常,通过氧化或还原等离子体灰化或湿法清洗去除所述层。然而,通过改变特征形状和尺寸,或通过提高介电材料的介电常数,将基底暴露于氧化或还原等离子体蚀刻的等离子体灰化可能导致损害介电材料。当低k介电材料如有机硅酸盐玻璃(OSG)或掺碳氧化物玻璃作为下面的介电材料时,后一问题更为显著。这样的话,通常期望避免使用等离子体灰化来去除硬化的光致抗蚀剂和/或SARC层。
当清洗剂/蚀刻剂组合物被用于后端工序(BEOL)应用来处理铝、铜或钴互连线时,重要的是组合物具有良好的金属相容性,例如,对铜、铝、钴等具有低的蚀刻速率,且永久性硅酸材料不受清洗剂组合物的影响。优选含水去除溶液,因为处理技术更简单,然而,光致抗蚀剂“壳”通常极难溶于含水清洗剂,尤其是不会损害介电材料的清洗剂。而且,已知含水去除溶液会蚀刻金属互连材料。
可以使用氟化氢(HF)溶液蚀刻氧化硅材料。然而,HF溶液会迅速蚀刻氧化硅并且没有选择性,因此可能会损害或损失器件中含有硅酸盐的其它材料,尤其是通常用作ILDs的硅酸盐材料。作为示例,这些ILD材料包括二氧化硅、氟化硅酸盐玻璃(FSG)和有机硅酸盐玻璃(OSG),包括有孔和无孔材料两者。
因此,本领域需要无HF的液体去除组合物,所述去除组合物可以从微电子器件上完全和有效地去除光致抗蚀剂和/或SARC层,同时使对广泛共存的介电材料和/或互连金属的损害最小。
发明概述
本发明涉及用于微电子器件制造过程的液体去除组合物和方法,特别是用于从其上沉积有牺牲性抗反射硅酸盐材料和光致抗蚀剂材料的微电子器件上去除所述材料,尤其是当所述牺牲性抗反射硅酸盐材料与期望不受所述液体去除组合物影响的永久硅酸盐材料和互连金属共存时。
本发明的一个方面涉及基底处理配方物如清洗配方物,所述配方物包括表面相互作用增强剂,包括但不限于聚(丙烯酰胺-共-二烯丙基二甲基氯化铵)、聚(丙烯酰胺)、聚(丙烯酸)、聚(二烯丙基二甲基氯化铵)、二烯丙基二甲基氯化铵、丙烯酰胺、乙酰胍胺及其组合。优选地,所述处理配方物包括小于50wt%水,更优选小于40wt%水,甚至更优选小于30wt%水,甚至更优选小于25wt%水。
在另一方面,本发明涉及液体去除组合物,所述液体去除组合物包括:至少一种有机季碱,至少一种表面相互作用增强添加剂,和任选至少一种选自下列的组分:至少一种碱金属或碱土金属源;至少一种有机溶剂;至少一种表面活性剂;至少一种螯合剂;及其组合,其中所述液体去除组合物用于从其上具有光致抗蚀剂和/或牺牲性抗反射涂层(SARC)材料的微电子器件上去除所述材料。优选地,所述液体去除组合物不含研磨材料,也不是超临界或次临界的。
在还一方面,本发明涉及液体去除组合物,其包括:至少一种有机季碱、至少一种碱金属或碱土金属源、至少一种有机溶剂、至少一种表面相互作用增强添加剂、任选至少一种表面活性剂、和任选至少一种螯合剂,其中所述液体去除组合物用于从其上具有光致抗蚀剂和/或牺牲性抗反射涂层(SARC)材料的微电子器件上去除所述材料。
在又一方面,本发明涉及试剂盒,所述试剂盒在一个或多个容器内包括用于形成液体去除组合物的一种或多种下列试剂,所述一种或多种试剂选自:至少一种有机季碱,至少一种表面相互作用增强添加剂,和任选至少一种选自下列的组分:至少一种碱金属或碱土金属源;至少一种有机溶剂;至少一种表面活性剂;至少一种螯合剂;及其组合,其中所述试剂盒适于形成液体去除组合物,所述液体去除组合物适合从其上具有光致抗蚀剂和/或SARC材料的微电子器件上去除所述材料。
在另一方面,本发明涉及从其上具有材料的微电子器件上去除所述材料的方法,所述方法包括使微电子器件与液体去除组合物接触足够的时间,以从微电子器件上至少部分地去除所述材料,其中所述液体去除组合物包括:至少一种有机季碱,至少一种表面相互作用增强添加剂,和任选至少一种选自下列的组分:至少一种碱金属或碱土金属源;至少一种有机溶剂;至少一种表面活性剂;至少一种螯合剂;及其组合。
在还一方面,本发明涉及从其上具有光致抗蚀剂和/或SARC材料的微电子器件上去除所述材料的方法,所述方法包括使微电子器件与液体去除组合物接触足够的时间,以从微电子器件上至少部分地去除所述材料,其中所述液体去除组合物包括:至少一种有机季碱,至少一种表面相互作用增强添加剂,和任选至少一种选自下列的组分:至少一种碱金属或碱土金属源;至少一种有机溶剂;至少一种表面活性剂;至少一种螯合剂;及其组合。
在又一方面,本发明涉及从其上具有光致抗蚀剂和/或SARC材料的微电子器件上去除所述材料的方法,所述方法包括使微电子器件与液体去除组合物接触足够的时间,以从微电子器件上至少部分地去除所述材料,其中所述液体去除组合物包括:至少一种有机季碱、至少一种碱金属或碱土金属源、至少一种有机溶剂、至少一种表面相互作用增强添加剂、任选至少一种表面活性剂、和任选至少一种螯合剂。
本发明的另一方面涉及一种制品,所述制品包括液体去除组合物、微电子器件和其上的光致抗蚀剂和/或SARC材料,其中所述液体去除组合物包括:至少一种有机季碱,至少一种表面相互作用增强添加剂,和任选至少一种选自下列的组分:至少一种碱金属或碱土金属源;至少一种有机溶剂;至少一种表面活性剂;至少一种螯合剂;及其组合。
在还一方面,本发明涉及制造微电子器件的方法,所述方法包括使微电子器件与液体去除组合物接触足够的时间,以从微电子器件上至少部分地去除光致抗蚀剂和/或SARC材料,其中所述液体去除组合物包括:至少一种有机季碱,至少一种表面相互作用增强添加剂,和任选至少一种选自下列的组分:至少一种碱金属或碱土金属源;至少一种有机溶剂;至少一种表面活性剂;至少一种螯合剂;及其组合。
本发明的再一方面涉及使用本发明的方法制造的改良微电子器件和引入了所述器件的产品,所述方法包括使用本文所述的方法和/或组合物,从其上具有光致抗蚀剂和/或SARC材料的微电子器件上去除所述材料,和任选地将所述微电子器件引入到产品中。
本发明的又一方面涉及液体去除组合物,所述液体去除组合物包括至少一种共溶剂和至少一种表面相互作用增强添加剂,其中所述表面相互作用增强添加剂包括选自下列的物质:聚(丙烯酰胺-共-二烯丙基二甲基氯化铵)、聚(丙烯酰胺)、聚(丙烯酸)、聚(二烯丙基二甲基氯化铵)、二烯丙基二甲基氯化铵、丙烯酰胺、乙酰胍胺及其组合,其中所述去除组合物用于从其上具有光致抗蚀剂和/或牺牲性抗反射涂层(SARC)材料的微电子器件上去除所述材料。优选地,所述液体去除组合物不含研磨材料,也不是超临界或次临界的。
通过后续公开和所附权利要求书,本发明的其它方面、特征和实施方案将更充分明显。
发明详述及其优选实施方案
本发明设计出液体去除组合物,其用于从其上具有光致抗蚀剂和/或牺牲性抗反射涂层(SARC)材料的微电子器件上去除所述材料。
为易于说明,“微电子器件”对应于制造用于微电子、集成电路或计算机芯片应用中的半导体基底、平板显示器、和微电子机械系统(MEMS)。要理解术语“微电子器件”绝非意味着限制,而是包括将最终变成微电子器件或微电子组件的任何基底。
在本文中定义时,“低k介电材料”对应于用作分层微电子器件内的介电材料的任何材料,其中所述材料的介电常数小于约3.5。优选地,低k介电材料包括低极性材料如含硅有机聚合物、含硅杂化有机/无机材料、有机硅酸盐玻璃(OSG)、TEOS、氟化的硅酸盐玻璃(FSG)、二氧化硅和掺碳的氧化物(CDO)玻璃。将理解低k介电材料可以具有变化的密度和变化的孔隙率。
在用于本文时,“约”将对应所示值的±5%。
如本文中所用的,“适合”从其上具有光致抗蚀剂和/或SARC材料的微电子器件上去除所述材料,对应于从所述微电子器件上至少部分地去除所述材料。优选地,使用本发明的组合物从所述微电子器件上去除至少约90%材料、更优选去除至少95%材料、和最优选去除至少99%材料。
在本文中定义时,“光致抗蚀剂”包括但不限于显影和未显影的光致抗蚀剂材料;已经经过等离子体蚀刻的光致抗蚀剂,例如在BEOL双镶嵌处理集成电路的过程中硬化的光致抗蚀剂;和/或已经经过离子注入的光致抗蚀剂,例如在前端工序(FEOL)处理以将掺杂物注入半导体晶片的合适层的过程中。在FEOL处理过程中经过离子注入的光致抗蚀剂可以包括选自下列的物质:硼、砷和磷等,蚀刻后残渣可以包括所述物质。
如本文中所用的,“蚀刻后残渣”对应于在气相等离子体蚀刻工艺如BEOL双镶嵌处理后剩下的材料。所述蚀刻后残渣的性质可以是有机的、有机金属的、有机硅的、或无机的,例如含硅材料、含氮材料、含氧材料、聚合残渣材料、含铜残渣材料、蚀刻气体残渣如氯和氟、及其组合。
本发明的组合物可以以各种具体配方物体现,如下面更充分的描述。
重要的是,本发明的液体去除组合物必须具有良好的金属相容性,例如对金属具有低蚀刻速率。相关金属包括但不限于铜、钨、钴、铝、钽、钼、镍、钌、其硅化物、其合金及其组合。
在所有这些组合物中,其中参考包括零下限的重量百分比范围讨论组合物的具体组分,将理解组合物的各种具体实施方案可以包含或不含这些组分,当存在这些组分时,基于使用这些组分的组合物的总重,它们的浓度可以低至0.001重量百分比。
在一方面,本发明涉及用于从微电子器件基底上去除光致抗蚀剂和/或SARC层的液体去除组合物。广泛地,本发明的配方物包括:至少一种有机季碱,水,任选至少一种碱金属或碱土金属源,任选至少一种溶剂、胺或其组合,任选至少一种螯合剂/钝化剂,任选至少一种表面相互作用增强添加剂,和任选至少一种表面活性剂。所述配方物可以包括:至少一种有机季碱,水,至少一种表面相互作用增强添加剂,任选至少一种碱金属或碱土金属源,任选至少一种溶剂、胺或其组合,任选至少一种螯合剂/钝化剂、和任选至少一种表面活性剂。在一个实施方案中,所述配方物包括:至少一种有机季碱,水,至少一种碱金属或碱土金属源,至少一种溶剂、胺或其组合,和至少一种螯合剂/钝化剂。在另一个实施方案中,所述配方物包括:至少一种有机季碱,水,至少一种碱金属或碱土金属源,至少一种溶剂、胺或其组合,至少一种表面相互作用增强添加剂,任选至少一种螯合剂/钝化剂,和任选至少一种表面活性剂。还一个实施方案涉及的配方物包括:至少一种有机季碱,水,至少一种碱金属或碱土金属源,至少一种螯合剂/钝化剂,和至少一种表面相互作用增强添加剂。在又一个实施方案中,所述配方物包括:至少一种有机季碱,水,至少一种碱金属或碱土金属源,至少一种表面相互作用增强添加剂,和至少一种表面活性剂。另一个实施方案中,所述配方物包括:至少一种有机季碱,水,至少一种溶剂、胺或其组合,至少一种表面相互作用增强添加剂,和至少一种表面活性剂。在还一个实施方案中,所述配方物包括:至少一种有机季碱,水,至少一种碱金属或碱土金属源,至少一种溶剂、胺或其组合,至少一种表面相互作用增强添加剂,和至少一种表面活性剂。在又一个实施方案中,所述配方物包括:至少一种有机季碱,水,至少一种碱金属或碱土金属源,至少一种溶剂、胺或其组合,至少一种螯合剂/钝化剂,和至少一种表面相互作用增强添加剂。
广泛地,基于组合物的总重,本发明的配方物包括含量处于下列范围内的下列组分。
Figure A200680046497D00161
当存在时,每种任选组分的下限为约0.01wt%,但碱金属或碱土金属源是例外,其可以低至约0.001wt%。
在本发明的广泛实施中,所述液体去除组合物可以包括下列组分、由下列组分组成、或基本上由下列组分组成:(i)有机季碱,水,碱金属或碱土金属源,溶剂、胺或其组合,和螯合剂/钝化剂;(ii)有机季碱,水,碱金属或碱土金属源,溶剂、胺或其组合,表面相互作用增强添加剂,任选螯合剂/钝化剂,和任选表面活性剂;(iii)有机季碱,水,碱金属或碱土金属源,螯合剂/钝化剂,和表面相互作用增强添加剂;(iv)有机季碱,水,碱金属或碱土金属源,表面相互作用增强添加剂,和表面活性剂;(v)有机季碱,水,溶剂、胺或其组合,表面相互作用增强添加剂,和表面活性剂;(vi)有机季碱,水,碱金属或碱土金属源,溶剂、胺或其组合,表面相互作用增强添加剂,和表面活性剂;(vii)有机季碱,水,碱金属或碱土金属源,溶剂、胺或其组合,螯合剂/钝化剂,和表面相互作用增强添加剂;或(viii)有机季碱,水,表面相互作用增强添加剂,任选碱金属或碱土金属源,任选溶剂、胺或其组合,任选螯合剂/钝化剂,和任选表面活性剂。通常,组分相对于彼此的具体比例和量可以适当变化,从而为所述液体去除组合物提供对光致抗蚀剂和/或SARC层物质和/或处理设备的期望去除作用,本领域技术人员无需努力即可方便地确定所述比例和量。
本发明的组合物可以以各种具体配方物体现,如下文更充分的描述。而且,当所述组合物被配制用于从微电子器件基底上去除光致抗蚀剂和/或SARC层时,所述组合物基本上不含研磨材料,如氧化铝、二氧化硅、二氧化钛、二氧化铈、氧化锆、氧化锗、氧化镁、其共成产物、及其组合、氟化物和氧代铵化合物如羟胺和羟胺衍生物。“基本上不含”在本文中定义为小于2wt.%,优选小于1wt.%,更优选小于0.5wt.%,甚至更优选小于0.1wt.%,最优选小于约0.01%。
本发明组合物的pH为约11至约14,优选约12至约14。
在一个具体实施方案中,所述液体去除组合物包括下列组分:
Figure A200680046497D00171
其中组分的百分比为基于组合物总重的重量百分比,其中组合物的这些组分的总重量百分比不超过100wt%。在优选实施方案中,所述液体去除组合物包括约0.01%至约1.0%螯合剂/钝化剂。
在还一个实施方案中,所述液体去除组合物包括下列组分:
Figure A200680046497D00172
其中组分的百分比为基于组合物总重的重量百分比,其中组合物的这些组分的总重量百分比不超过100wt%。
在优选实施方案中,所述组合物包括浓度为约0.01wt.%至约5.0wt.%的表面活性剂。
所述组合物可以任选包括另外的组分,包括活性以及无活性成分,例如稳定剂、分散剂、抗氧化剂、穿透剂、佐剂、添加剂、填料、赋形剂等。
本文可考虑的有机季碱包括但不限于(NR1R2R3R4)OH,其中R1、R2、R3和R4可以彼此相同或不同,并且分别独立地选自氢;C1-C7直链或支化烷基如甲基、乙基、丙基、丁基、戊基、己基和庚基;C6-C10芳基如苄基;醇基团;任何基于碳的有机基团如烯烃基、炔烃基等,其中所述烷基、醇和基于碳的基团可以是线性和/或支化的,所有基团都可以被取代;及其组合。术语“芳基”将广泛地理解为指碳环(例如苯基、萘基)基团并包括未取代以及取代的芳基。包含醇基团的四烷基氢氧化铵的例子包括胆碱。用于取代芳基的取代基例子包括C1-C4烷基、C1-C4烷氧基和羟基中的一个或多个。优选地,所述有机季碱包括四丁基氢氧化铵(TEAH)、苄基三甲基氢氧化铵(BTMAH)、四乙基氢氧化铵、四丙基氢氧化铵、四甲基氢氧化铵(TMAH)及其组合。
这类组合物中的碱金属或碱土金属源组分包括氢氧化钾、氯化钾、包含尺寸与氯离子和氢氧根离子相当的阴离子的其它钾盐、及其组合,它们在实现高效清洗方面尤其有利,而且不会对介电层产生有害影响。还可考虑其它碱金属或碱土金属盐,条件是所述碱金属或碱土金属阳离子的离子半径大于或等于钾的离子半径。
用于所述组合物的合适溶剂包括但不限于:胺如一乙醇胺、三乙醇胺、三亚乙基二胺、甲基乙醇胺、甲基二乙醇胺、五甲基二亚乙基三胺、二甲基二甘醇胺、1,8-二氮杂双环[5.4.0]十一碳烯、氨基丙基吗啉、羟乙基吗啉、氨基乙基吗啉、羟丙基吗啉、二甘醇胺、N-甲基吡咯烷酮(NMP)、N-辛基吡咯烷酮、N-苯基吡咯烷酮、环己基吡咯烷酮和乙烯基吡咯烷酮;含硫溶剂如四亚甲基砜;二醇如乙二醇、丙二醇(1,2-丙二醇)和新戊二醇;二醇醚如二乙二醇一甲醚、三乙二醇一甲醚、二乙二醇一乙醚、三乙二醇一乙醚、乙二醇一丙醚、乙二醇一丁醚、二乙二醇一丁醚、三乙二醇一丁醚、乙二醇一己醚、二乙二醇一己醚、乙二醇苯醚、丙二醇甲醚、二丙二醇甲醚、三丙二醇甲醚、丙二醇正丙醚、二丙二醇正丙醚、三丙二醇正丙醚、丙二醇正丁醚、二丙二醇正丁醚、三丙二醇正丁醚和丙二醇苯醚(苯氧基-2-丙醇);及其组合。优选地,所述溶剂包括1,2-丙二醇、苯氧基-2-丙醇、羟乙基吗啉和二(乙二醇)甲醚。
所述组合物中的螯合剂/钝化剂可以是任何合适的类型,可以包括但不限于:三唑如1,2,4-三唑,或用取代基如C1-C8烷基、氨基、硫醇、巯基、亚氨基、羧基和硝基取代的三唑如苯并三唑、甲苯基三唑、5-苯基-苯并三唑、5-硝基-苯并三唑、3-氨基-5-巯基-1,2,4-三唑、1-氨基-1,2,4-三唑、羟基苯并三唑、2-(5-氨基-戊基)-苯并三唑、1-氨基-1,2,3-三唑、1-氨基-5-甲基-1,2,3-三唑、3-氨基-1,2,4-三唑、3-巯基-1,2,4-三唑、3-异丙基-1,2,4-三唑、5-苯基硫醇-苯并三唑、卤代苯并三唑(卤素=F、Cl、Br或I)、萘并三唑等,以及噻唑、四唑、咪唑、磷酸酯、硫醇和吖嗪如2-巯基苯并咪唑、2-巯基苯并噻唑、4-甲基-2-苯基咪唑、2-巯基噻唑啉、5-氨基四唑、5-氨基-1,3,4-噻二唑-2-硫醇、2,4-二氨基-6-甲基-1,3,5-三嗪、噻唑、三嗪、甲基四唑、1,3-二甲基-2-咪唑啉酮、1,5-五亚甲基四唑、1-苯基-5-巯基四唑、二氨基甲基三嗪、巯基苯并噻唑、咪唑啉硫酮、巯基苯并咪唑、4-甲基-4H-1,2,4-三唑-3-硫醇、5-氨基-1,3,4-噻二唑-2-硫醇、苯并噻唑、磷酸三甲苯酯、苯并异二唑(indiazole)等。合适的螯合剂还包括甘油、氨基酸、羧酸、醇、酰胺和喹啉如鸟嘌呤、脲、腺嘌呤、甘油、硫代甘油、次氮基三乙酸、水杨酰胺、苯并胍胺、三聚氰胺、硫氰尿酸、邻氨基苯甲酸、没食子酸、抗坏血酸、水杨酸、8-羟基喹啉、5-羧酸-苯并三唑、3-巯基丙醇、硼酸、亚氨基二乙酸等。螯合剂通常用于提高组合物与半导体器件中所用的金属和介电材料的相容性。优选地,所述螯合剂为2-巯基苯并咪唑。
本发明液体去除组合物中的合适表面活性剂可以包括双-(2-羟乙基)异癸氧基丙胺氧化物、烷氧基化的醚胺氧化物,如以Crutcher等人的名义提交的美国专利5,972,785中所述,例如TOMAH AO-455、AO-405,和源自C10支链醇的醚胺氧化物如TOMAH AO-14-2。
尽管不希望受理论的限制,但认为表面相互作用增强添加剂与氢氧化物配位,以提高它们有效去除SARC层材料的能力。表面相互作用增强添加剂包括但不限于:聚(丙烯酰胺-共-二烯丙基二甲基氯化铵)、聚(丙烯酰胺)、聚(丙烯酸)、聚(二烯丙基二甲基氯化铵)、二烯丙基二甲基氯化铵、丙烯酰胺、乙酰胍胺及其组合。
在各种优选实施方案中,按照下列配方物A-W配制液体去除组合物,其中所有百分比均为基于配方物总重的重量百分比:
配方物 A:3.6% BTMAH;0.27%氢氧化钾;0.08% 2-巯基苯并咪唑;15.73%水;18.83%苯氧基-2-丙醇;56.49% 1,2-丙二醇;5%羟乙基吗啉
配方物 B:3.6% BTMAH;0.27%氢氧化钾;0.08% 2-巯基苯并咪唑;15.73%水;18.83%苯氧基-2-丙醇;56.49% 1,2-丙二醇;5%环己基吡咯烷酮
配方物 C:4.8% BTMAH;0.27%氢氧化钾;0.08% 2-巯基苯并咪唑;17.53%水;42.19%苯氧基-2-丙醇;30.13% 1,2-丙二醇;5%羟乙基吗啉
配方物 D:6.0% BTMAH;0.27%氢氧化钾;0.08% 2-巯基苯并咪唑;19.33%水;40.41%苯氧基-2-丙醇;28.91% 1,2-丙二醇;5%羟乙基吗啉
配方物 E:4.8% BTMAH;0.27%氢氧化钾;0.08% 2-巯基苯并咪唑;17.53%水;39.25%苯氧基-2-丙醇;28.07% 1,2-丙二醇;10%羟乙基吗啉
配方物 F:3.6% BTMAH;0.27%氢氧化钾;1.25% TMAH;0.08%2-巯基苯并咪唑;19.48%水;41.00%苯氧基-2-丙醇;29.32% 1,2-丙二醇;5%羟乙基吗啉
配方物 G:3.6% BTMAH;0.27%氢氧化钾;2.5% TMAH;0.08%2-巯基苯并咪唑;23.23%水;38.08%苯氧基-2-丙醇;27.24% 1,2-丙二醇;5%羟乙基吗啉
配方物 H:3.6% BTMAH;0.27%氢氧化钾;1.25% TMAH;0.08%2-巯基苯并咪唑;19.48%水;38.08%苯氧基-2-丙醇;27.24% 1,2-丙二醇;10%羟乙基吗啉
配方物 I:1.6% BTMAH;0.27%氢氧化钾;2.5% TMAH;0.08% 2-巯基苯并咪唑;10.23%水;49.74%苯氧基-2-丙醇;35.58% 1,2-丙二醇
配方物 J:1.6% BTMAH;0.27%氢氧化钾;2.5% TMAH;0.08% 2-巯基苯并咪唑;20.23%水;43.91%苯氧基-2-丙醇;31.41% 1,2-丙二醇
配方物 K:1.6% BTMAH;0.27%氢氧化钾;2.5% TMAH;0.08%2-巯基苯并咪唑;20.23%水;41.0%苯氧基-2-丙醇;29.32% 1,2-丙二醇;5.0%四亚甲基砜
配方物 L:1.6% BTMAH;0.27%氢氧化钾;2.5% TMAH;0.08% 2-巯基苯并咪唑;10.23%水;5%四亚甲基砜;46.83%苯氧基-2-丙醇;33.49% 1,2-丙二醇
配方物 M:3.6% BTMAH;0.27%氢氧化钾;2.5% TMAH;0.08%2-巯基苯并咪唑;13.23%水;5%四亚甲基砜;43.91%苯氧基-2-丙醇;31.41% 1,2-丙二醇
配方物 N:3.6% BTMAH;0.27%氢氧化钾;2.5% TMAH;0.08%2-巯基苯并咪唑;23.23%水;5%四亚甲基砜;38.08%苯氧基-2-丙醇;27.24% 1,2-丙二醇
配方物 O:3.6% BTMAH;0.27%氢氧化钾;2.5% TMAH;0.08%2-巯基苯并咪唑;18.23%水;5%四亚甲基砜;41.00%苯氧基-2-丙醇;29.32% 1,2-丙二醇
配方物 P:3.34% BTMAH;0.27%氢氧化钾;1.19% TMAH;0.08%2-巯基苯并咪唑;8.92%水;17.24%四亚甲基砜;51.72% 1,2-丙二醇;17.24%二(乙二醇)甲醚
配方物 Q:4.0% BTMAH;0.27%氢氧化钾;2.0% TMAH;0.08%2-巯基苯并咪唑;7.25%水;25%四亚甲基砜;51.4% 1,2-丙二醇;10%二(乙二醇)甲醚
配方物 R:3.46% BTMAH;0.36%氢氧化钾;1.5% TMAH;0.08%2-巯基苯并咪唑;10.05%水;30%四亚甲基砜;5%苯氧基-2-丙醇;34.55%1,2-丙二醇;15%二(乙二醇)甲醚
配方物 S:3.46% BTMAH;0.27%氢氧化钾;1.5% TMAH;0.08%2-巯基苯并咪唑;9.94%水;20%四亚甲基砜;5%苯氧基-2-丙醇;44.75%1,2-丙二醇;15%二(乙二醇)甲醚
配方物 T:3.46% BTMAH;0.27%氢氧化钾;1.5% TMAH;0.08%2-巯基苯并咪唑;10.02%水;25%四亚甲基砜;5%苯氧基-2-丙醇;39.67%1,2-丙二醇;15%二(乙二醇)甲醚
配方物 U:3.46% BTMAH;0.36%氢氧化钾;1.5% TMAH;0.08%2-巯基苯并咪唑;10.13%水;25%四亚甲基砜;5%苯氧基-2-丙醇;39.47%1,2-丙二醇;15%二(乙二醇)甲醚
配方物 V:3.73% BTMAH;0.27%氢氧化钾;1.5% TMAH;0.08%2-巯基苯并咪唑;10.425%水;25%四亚甲基砜;5%苯氧基-2-丙醇;38.995% 1,2-丙二醇;15%二(乙二醇)甲醚
配方物 W:3.73% BTMAH;0.36%氢氧化钾;1.5% TMAH;0.08%2-巯基苯并咪唑;10.535%水;25%四亚甲基砜;5%苯氧基-2-丙醇;38.795% 1,2-丙二醇;15%二(乙二醇)甲醚
其它实施方案包括清洗配方物X-AJ,其中所有百分比均为基于配方物总重的重量百分比。配方物X-AJ全都包含15%二(乙二醇)甲醚、25%四亚甲基砜、5%苯氧基-2-丙醇、0.08% 2-巯基苯并咪唑、0.27%氢氧化钾和所列百分比的BTMAH、TMAH、水和丙二醇。
 
配方物 BTMAH% TMAH% 水% 丙二醇%
X 1.5 0.4 9.5 43.25
Y 1.5 1.1 9.5 42.55
Z 2.5 0.4 9.5 42.25
AA 2.5 1.1 9.5 41.55
AB 1.5 0.75 6.0 46.4
AC 1.5 0.75 13.0 39.4
AD 2.5 0.75 6.0 45.4
AE 2.5 0.75 13.0 38.4
AF 2.0 0.4 6.0 46.25
AG 2.0 0.4 13.0 39.25
 
AH 2.0 1.1 6.0 45.55
AI 2.0 1.1 13.0 38.55
AJ 2.0 0.75 9.5 42.4
其它实施方案包括清洗配方物AK-AQ,其中所有百分比均为基于配方物总重的重量百分比。配方物AK-AQ全都包含3.73%苄基三甲基氢氧化铵、1.5% TMAH、0.08% 2-巯基苯并咪唑、0.27%氢氧化钾、5%苯氧基-2-丙醇和12.5%水以及所列百分比的四亚甲基砜、二(乙二醇)甲醚和丙二醇。
 
配方物 四亚甲基砜% 二(乙二醇)甲醚% 丙二醇%
AK 25.0 22.5 29.42
AL 25.0 30.0 21.92
AM 25.0 37.5 14.42
AN 25.0 45.0 6.92
AO 15.0 30.0 31.92
AP 15.0 45.0 16.92
AQ 15.0 60.0 1.92
在还一个实施方案中,所述液体去除组合物包括配方物BA-BN,其中所有百分比均为基于配方物总重的重量百分比。配方物BA-BN全都包含3.0% BTMAH、0.2925%氢氧化钾和相应量的所列组分。
 
配方物   聚(丙烯酰胺-共-二烯丙基二甲基氯化铵)% 二(乙二醇)甲醚% 水%
BA 0.075 0 96.6325
BB 0.1 0 96.6075
BC 0.125 0 96.5825
BD 0.15 0 96.5575
BE 0.175 0 96.5325
BF 0.2 0 96.5075
BG 0.25 0 96.4575
BH 0.3 0 96.4075
BI 0.4 0 96.3075
BJ 0.5 0 96.2075
 
BK 0.15 2 94.5575
BL 0.15 4 92.5575
BM 0.15 6 90.5575
BN 0.15 8 88.5575
在又一个实施方案中,所述液体去除组合物包括配方物BO-BT,其中所有百分比均为基于配方物总重的重量百分比。配方物BO-BT全都包含3.0% BTMAH、0.2925%氢氧化钾和相应量的所列组分。
Figure A200680046497D00251
在又一个实施方案中,所述液体去除组合物包括配方物BU-BZ,其中所有百分比均为基于配方物总重的重量百分比。配方物BU-BZ全都包含3.0% BTMAH、0.2925%氢氧化钾、0.15%聚(丙烯酰胺-共-二烯丙基二甲基氯化铵)和相应量的所列组分。
 
配方物 2-巯基苯并咪唑% 水%
BU 0.05 96.5075
BV 0.075 96.4825
BW 0.1 96.4575
BX 0.15 96.4075
BY 0.2 96.3575
BZ 0.25 96.3075
在另一个实施方案中,所述液体去除组合物包括配方物BA2-BH2,其中所有百分比均为基于配方物总重的重量百分比。配方物BA2-BH2全都包含3.0% BTMAH、0.2925%氢氧化钾、0.15%聚(丙烯酰胺-共-二烯丙基二甲基氯化铵)和相应量的所列组分。
在还一个实施方案中,所述液体去除组合物包括配方物BI2-BR2,其中所有百分比均为基于配方物总重的重量百分比。配方物BI2-BR2全都包含0.15%聚(丙烯酰胺-共-二烯丙基二甲基氯化铵)、0.1% TomahAO-405和相应量的所列组分。
 
配方物 BTMAH% KOH% 水%
BII 1.5 0.18 98.07
BJJ 1.5 0.405 97.845
BKK 3.0 0.18 96.57
BLL 3.0 0.405 96.345
BMM 2.25 0.2925 97.2075
BNN 2.25 0.2925 97.2075
BOO 1.5 0.2925 97.9575
BPP 3.0 0.2925 96.4575
BQQ 2.25 0.18 97.32
BRR 2.25 0.405 97.095
在还一个实施方案中,所述液体去除组合物包括配方物BS2-BB3,其中所有百分比均为基于配方物总重的重量百分比。配方物BS2-BB3全都包含0.15%聚(丙烯酰胺-共-二烯丙基二甲基氯化铵)、0.1% TomahAO-405、5.2% BTMAH和相应量的所列组分。
 
配方物 四亚甲基砜% 二(乙二醇)甲醚% 水%
BSS 10 15 69.55
BTT 20 15 59.55
BUU 10 30 54.55
BVV 20 30 44.55
BWW 15 22.5 57.05
BXX 15 22.5 57.05
BYY 15 15 64.55
BZZ 15 30 49.55
BAAA 10 22.5 62.05
BBBB 20 22.5 52.05
在另一个实施方案中,所述液体去除组合物包括配方物BC3-BH3,其中所有百分比均为基于配方物总重的重量百分比。配方物BC3-BH3全都包含0.15%聚(丙烯酰胺-共-二烯丙基二甲基氯化铵)、0.1% TomahAO-455、8.25%四丁基氢氧化铵、10%四亚甲基砜、20%二(乙二醇)甲醚和相应量的所列组分。
 
配方物 水% 丙二醇%
BCCC 10 51.5
BDDD 20 41.5
BEEE 30 31.5
BFFF 40 21.5
BGGG 50 11.5
BHHH 60 1.5
在另一个实施方案中,所述液体去除组合物包括配方物BI3-BM3,其中所有百分比均为基于配方物总重的重量百分比。配方物BI3-BM3全都包含0.15%聚(丙烯酰胺-共-二烯丙基二甲基氯化铵)、0.1% TomahAO-405、8.25%四丁基氢氧化铵、22%四亚甲基砜、27%二(乙二醇)甲醚和相应量的所列组分。
 
配方物 水% 丙二醇%
BIII 10 32.5
BJJJ 20 22.5
BKKK 30 12.5
BLLL 40 2.5
BMMM 42.5 0
在还一个实施方案中,所述液体去除组合物包括配方物BN3-BS3,其中所有百分比均为基于配方物总重的重量百分比。配方物BN3-BS3全都包含0.15%聚(丙烯酰胺-共-二烯丙基二甲基氯化铵)、0.1% TomahAO-405、20%四亚甲基砜、10%二(乙二醇)甲醚、60%水和相应量的所列组分。
 
配方物 四丁基氢氧化铵% 丙二醇%
BNNN 8.25 1.5
BOOO 7.15 2.6
BPPP 6.05 3.7
BQQQ 4.95 4.8
BRRR 3.85 5.9
BSSS 2.75 7.0
在还一个实施方案中,所述液体去除组合物包括配方物BT3-CE,其中所有百分比均为基于配方物总重的重量百分比。配方物BT3-CE全都包含0.15%聚(丙烯酰胺-共-二烯丙基二甲基氯化铵)、0.1% TomahAO-405和相应量的所列组分,其中四亚甲基砜:二(乙二醇)甲醚溶液比率为22:27。
Figure A200680046497D00291
在另一个实施方案中,所述液体去除组合物包括配方物CF-CQ,其中所有百分比均为基于配方物总重的重量百分比。配方物CF-CQ全都包含0.15%聚(丙烯酰胺-共-二烯丙基二甲基氯化铵)、0.1% TomahAO-405、22%四亚甲基砜、27%二(乙二醇)甲醚、和相应量的所列组分。
Figure A200680046497D00292
在另一个实施方案中,所述液体去除组合物包括配方物CR和CS,其中CR包含0.15%聚(丙烯酰胺-共-二烯丙基二甲基氯化铵)、22%环丁砜、27%二(乙二醇)甲醚、2.9% BTMAH、0.018% KOH、17.9%丙二醇、0.08% MBI、和29.95%水,CR包含0.15%聚(丙烯酰胺-共-二烯丙基二甲基氯化铵)、22%环丁砜、27%二(乙二醇)甲醚、2.9% BTMAH、0.009%KOH、17.9%丙二醇、0.08% MBI、和29.96%水。
在本发明的还一个实施方案中,所述液体去除组合物包括至少一种季碱、氯化钾和上面列举的至少一种螯合剂/钝化剂。优选地,所述螯合剂/钝化剂可以选自脲、2-巯基苯并咪唑、1,2,4-三唑及其组合。或者,所述液体去除组合物包括至少一种季碱、氯化钾、脲和至少一种另外的螯合剂/钝化剂。所述液体去除组合物的这个实施方案包括配方物DA-DI,其中所有百分比均为基于配方物总重的重量百分比:
配方物 DA:2.9% BTMAH;0.025% KOH;22%二(乙二醇)丁醚;0.08%2-巯基苯并咪唑;1.5wt.%脲;27%环丁砜;17.9%丙二醇;28.595%水
配方物 DB:2.9% BTMAH;0.025% KOH;22%二(乙二醇)甲醚;0.08%2-巯基苯并咪唑;1.5wt.%脲;27%环丁砜;17.9%乙二醇;28.595%水
配方物 DC:2.9% BTMAH;0.025% KCl;22%二(乙二醇)甲醚;0.08% 2-巯基苯并咪唑;1.5wt.%脲;27%环丁砜;17.9%丙二醇;28.595%水
配方物 DD:1.5% BTMAH;0.025% KOH;22%二(乙二醇)甲醚;0.08% 2-巯基苯并咪唑;1.5wt.%脲;27%环丁砜;17.9%丙二醇;27.995%水
配方物 DE:2.9% BTMAH;0.025% KOH;22%二(乙二醇)丁醚;0.08% 2-巯基苯并咪唑;1.5wt.%脲;27%环丁砜;17.9%乙二醇;28.595%水
配方物 DF:2.9% TMAH;0.025% KOH;22%二(乙二醇)甲醚;0.08% 2-巯基苯并咪唑;1.5wt.%脲;27%环丁砜;17.9%丙二醇;28.595%水
配方物 DG:2.9% TMAH;0.025% KCl;22%二(乙二醇)甲醚;0.08%2-巯基苯并咪唑;1.5wt.%脲;27%环丁砜;17.9%丙二醇;28.595%水
配方物 DH:2.9% TMAH;0.025% KCl;22%二(乙二醇)丁醚;0.08%2-巯基苯并咪唑;1.5wt.%脲;27%环丁砜;17.9%乙二醇;28.595%水
配方物 DI:2.9% TMAH;0.025% KCl;22%二(乙二醇)丁醚;0.08%2-巯基苯并咪唑;1.5wt.%脲;14%环丁砜;17.9%乙二醇;41.595%水
在本发明的又一个实施方案中,所述液体去除组合物包括约35至约65wt.% 1,2-丙二醇、约5至约25wt.%四亚甲基砜、约2至约15wt.%苯氧基-2-丙醇、约2至约15wt.%二(乙二醇)甲醚、约1至约6wt.%苄基三甲基氢氧化铵、约0.5至约3wt.%四甲基氢氧化铵、约0.001至约0.3wt.% 2-巯基苯并咪唑、约0.1至约0.5wt.% KOH、和约5至约50wt.%水。例如,按照下列配方物EA-ED配制所述液体去除组合物,其中所有百分比均为基于配方物总重的重量百分比:
配方物 EA:3.73% BTMAH;0.27%氢氧化钾;1.5% TMAH;0.08%2-巯基苯并咪唑;12.777%水;25%四亚甲基砜;5%苯氧基-2-丙醇;36.64% 1,2-丙二醇;15%二(乙二醇)甲醚
配方物 EB:3.73% BTMAH;0.27%氢氧化钾;1.5% TMAH;0.08%2-巯基苯并咪唑;10.39%水;25%四亚甲基砜;5%苯氧基-2-丙醇;39.032% 1,2-丙二醇;15%二(乙二醇)甲醚
配方物 EC:3.18% BTMAH;0.27%氢氧化钾;1.5% TMAH;0.08%2-巯基苯并咪唑;13%水;25%四亚甲基砜;5%苯氧基-2-丙醇;36.976%1,2-丙二醇;15%二(乙二醇)甲醚
配方物 ED:3.18% BTMAH;0.27%氢氧化钾;1.5% TMAH;0.08%2-巯基苯并咪唑;13%水;20%四亚甲基砜;5%苯氧基-2-丙醇;41.976%1,2-丙二醇;15%二(乙二醇)甲醚
当存在时,所述去除组合物的组分的重量百分比范围为:约0.001:1至约0.3:1碱金属或碱土金属源相对于有机季碱,更优选约0.001:1至约0.1:1;约0.01:1至约0.2:1表面相互作用增强添加剂相对于有机季碱,优选约0.03:1至约0.07:1;约0.01:1至约0.07:1表面活性剂相对于有机季碱,优选约0.03:1至约0.05:1;约1:1至约65:1水相对于有机季碱,优选约2:1至约45:1;约1:1至约55:1溶剂、胺及其组合相对于有机季碱,优选约10:1至约30:1;和约0.01:1至约0.1:1螯合剂/钝化剂相对于有机季碱,优选约0.01:1至约0.03:1。
在还一个实施方案中,任何广泛或明确描述的本发明去除组合物还包括光致抗蚀剂和/或SARC材料残渣。重要的是,所述残渣材料和/或硬掩模材料可以溶于和/或悬浮于本发明的清洗组合物中。
通过简单添加各种成分并混合至均匀的状态,可以容易地配制本发明的液体去除组合物。而且,可以将液体去除组合物方便地配制成单包装配方物或在使用时混合的多部分配方物。可以在工具处或在工具上游的贮存罐内混合多部分配方物的各单个部分。在本发明的广泛实践中,各种成分的浓度可以在去除组合物的特定倍数内广泛变化,也就是更稀或更浓,将理解本发明的液体去除组合物可以不同或替换地包括与本文内容一致的任意成分组合、由所述任意成分组合组成或基本上由所述任意成分组合组成。
将理解在一般的去除应用中,通常以极大的稀释倍数使用高度浓缩的形式。例如,可以在制造时、在使用前、和/或在使用过程中即时稀释去除组合物。稀释比率可以为1份稀释剂:1份去除组合物至200份稀释剂:1份去除组合物。要理解在稀释后,去除组合物的组分的重量百分比将保持不变。
本发明的另一方面涉及一种试剂盒,所述试剂盒在一个或多个容器内包括适于形成本发明液体去除组合物的一种或多种组分。优选地,所述试剂盒在一个或多个容器内包括有机季碱、溶剂、任选碱金属或碱土金属源、任选螯合剂/钝化剂、任选表面活性剂和任选表面相互作用增强添加剂,用于与任选水即时合并。本文还可考虑液体去除组合物组分的其它组合。试剂盒的容器在化学上应该耐受贮存和分配其中所含的组分。例如,试剂盒的容器可以为NOWPak
Figure A200680035722D0007142923QIETU
容器(AdvancedTechnology Materials,Inc.,Danbury,Conn.,USA)。
在清洗应用中,以任何合适的方式将所述液体去除组合物施用到要清洗的材料上,例如通过将液体去除组合物喷洒到要清洗的材料表面上,通过(在一定体积的液体去除组合物中)浸渍待清洗的材料或包括待清洗材料的制品,通过使待清洗的材料或制品与其上吸收有液体去除组合物的另一种材料如垫子或纤维吸附剂施用元件接触,或通过使待清洗的材料或包括所述材料的制品与循环的液体去除组合物接触,或通过使液体去除组合物与待清洗的材料发生清洗接触的任何其它合适的手段、方式或技术。
在用于微电子器件制造操作时,本发明的液体去除组合物通常用于从光致抗蚀剂和/或SARC材料所沉积的基底和微电子器件结构上去除所述材料。
要理解短语“从微电子器件上去除光致抗蚀剂和/或SARC材料”绝非意味着限制,而是包括从最终将变成微电子器件的任何基底上去除光致抗蚀剂和/或SARC材料。
相对于微电子器件基底上可能存在并且可能暴露于所述液体去除组合物的其它材料,如ILD结构、金属化层、阻挡层等,受益于本发明组合物对这些光致抗蚀剂和/或SARC材料的选择性,本发明的组合物可以以高效方式至少部分地去除所述光致抗蚀剂和/或SARC材料。
重要的是,本发明的组合物包含低量的水,因此与铜、铝和钴层是相容的,优选小于50wt%水、更优选小于40wt%水、甚至更优选小于30wt%水、甚至更优选小于25wt%水。在本发明组合物的存在下,铜和/或钴蚀刻速率小于
Figure A200680046497D0034091056QIETU
,优选小于
Figure A200680046497D0034091109QIETU
,最优选小于
Figure A200680046497D0034091136QIETU
Figure A200680046497D0034091130QIETU
在使用本发明的组合物从其上具有光致抗蚀剂和/或SARC材料的微电子器件基底上去除所述材料时,通常在约50℃至约80℃的温度下,使所述液体去除组合物与所述器件基底接触约1分钟至约60分钟,优选约20至约30分钟。这些接触时间和温度是例示性的,在本发明的广泛实践中,可以使用能从所述器件基底上至少部分有效地去除光致抗蚀剂和/或SARC材料的任何其它合适的时间和温度条件。在本文中定义时,“至少部分地去除”对应于去除至少50%光致抗蚀剂和/或SARC材料,优选去除至少80%光致抗蚀剂和/或SARC材料。最优选地,使用本发明的组合物可以去除至少90%光致抗蚀剂和/或SARC材料。
在获得期望的清洗作用后,通过例如漂洗、洗涤或其它去除步骤,从液体去除组合物先前所施用的基底或制品上容易地去除所述组合物,在本发明组合物的给定终端应用中,这可能是期望和有效的。例如,可以用包括去离子水的漂洗溶液漂洗所述器件和/或将其干燥(例如旋转干燥、N2、蒸气干燥等)。
在又一个实施方案中,本发明的液体去除组合物可以用于微电子器件制造过程的其它方面,即在去除光致抗蚀剂和/或SARC材料的处理步骤之后。例如,可以将所述液体去除组合物稀释并用作化学机械抛光(CMP)后清洗。
或者,本发明的清洗组合物可以与研磨材料组合并用作II步CMP浆料。相对于铜和介电材料的去除速率,II步CMP浆料通常具有高的阻挡材料去除速率。例如,可以将研磨材料添加到本发明的清洗组合物中(以获得清洗组合物浆料),并用于其上具有钨和Ti/TiN阻挡层材料的微电子器件的CMP。如果所述微电子器件包括铜材料,则优选将铜抑制物质添加到清洗组合物浆料中,以在平坦化过程中保护铜。本文中可考虑的研磨剂包括二氧化硅、氧化铝、二氧化铈及其混合物。本文中可考虑的抑制剂包括咪唑、氨基四唑、苯并三唑、苯并咪唑、氨基、亚氨基、羧基、巯基、硝基、烷基、脲和硫脲化合物、草酸、丙二酸、琥珀酸、次氮基三乙酸、亚氨基二乙酸、及其组合。优选地,所述备选的II步CMP组合物包括研磨剂、抑制剂、有机季碱、碱金属或碱土金属碱、共溶剂、任选表面活性剂、和任选螯合剂。
本发明的另一方面涉及使用本发明的方法制备的改良微电子器件和包含所述微电子器件的产品。
本发明的再一方面涉及制造包括微电子器件的制品的方法,所述方法包括使微电子器件与液体去除组合物接触足够的时间,以从其上具有光致抗蚀剂和/或SARC材料的微电子器件上至少部分去除所述光致抗蚀剂和/或SARC材料,和将所述微电子器件引入到所述制品中,其中所述液体去除组合物包括:至少一种有机季碱、水、任选至少一种碱金属或碱土金属源、任选至少一种溶剂、胺或其组合、任选至少一种螯合剂/钝化剂、任选至少一种表面活性剂、和任选至少一种表面相互作用增强添加剂。
将通过下列非限制性实施例更充分地说明本发明的特征和优点。
实施例 1
从包括光致抗蚀剂和SARC层的图案化低k介电基底样品上去除光致抗蚀剂和SARC。在60℃,将样品在去除溶液X-AJ中浸渍30分钟,然后用大量去离子水漂洗并在氮气下干燥。使用扫描电子显微镜估计光致抗蚀剂和SARC材料从图案化晶片上的去除百分比。下面的表1列出结果。
表1:配方物X-AJ的清洗结果
 
配方物   清洗百分比
X 85%
Y 98%
Z 98%
AA 99%
AB 99%
AC 99%
AD 97%
AE 99%
AF 67%
AG 99%
AH 83%
AI 93%
AJ 87%
实施例 2
从包括光致抗蚀剂和SARC层的图案化低k介电基底样品上去除光致抗蚀剂和SARC。在60℃,将样品在去除溶液AK-AQ中浸渍30分钟,然后用大量去离子水漂洗并在氮气下干燥。使用扫描电子显微镜估计光致抗蚀剂和SARC材料从图案化晶片上的去除百分比。下面的表2列出结果。
表2:配方物AK-AQ的清洗结果
 
配方物   清洗百分比
AK 100%
AL 100%
AM 100%
AN 100%
AO 100%
AP 100%
AQ 100%
实施例 3
从包括SARC层的图案化低k介电基底样品上去除SARC。在40℃,将样品在去除溶液BA-BN中浸渍30分钟或60分钟,然后用大量去离子水漂洗并在氮气下干燥。使用扫描电子显微镜估计SARC材料从图案化晶片上的去除百分比。下面的表3列出结果。
表3:配方物BA-BN的清洗结果
 
配方物 在30分钟去除的SARC/% 在60分钟去除的SARC/%
BA 5 8
BB 10 97
BC 20 100
BD 50 100
BE 20 95
BF 40 85
BG 50 100
BH 60 100
 
BI 70 100
BJ 70 100
BK 70 100
BL 85 100
BM 95 100
BN 95 100
实施例 4
从包括SARC层的图案化低k介电基底样品上去除SARC。在40℃,将样品在去除溶液BO-BT中浸渍30分钟或60分钟,然后用大量去离子水漂洗并在氮气下干燥。使用扫描电子显微镜估计SARC材料从图案化晶片上的去除百分比。下面的表4列出结果。
表4:配方物BO-BT的清洗结果
 
配方物 在30分钟去除的SARC/% 在60分钟去除的SARC/%
BO 70 80
BP 40 50
BQ 30 40
BR 80 95
BS 90 100
BT 80 95
实施例 5
在1000埃厚度的无图案PVD铜晶片样品上确定铜蚀刻速率。在50℃,将样品在去除溶液BU-BZ中浸渍60分钟,进行搅拌或不进行搅拌(在60分钟、48小时和72小时),然后用大量去离子水漂洗并在氮气下干燥。使用4点探针电阻率测量法确定铜蚀刻速率。下面的表5列出结果。
表5:配方物BU-BZ的铜蚀刻速率结果
Figure A200680046497D0039091242QIETU
实施例 6
从包括SARC层的图案化低k介电基底样品上去除SARC和硬壳。在50℃,将样品在去除溶液BA2-BH2中浸渍30分钟,然后用大量去离子水漂洗并在氮气下干燥。使用扫描电子显微镜估计SARC材料和硬壳从图案化晶片上的去除百分比。下面的表6列出结果。
表6:配方物BA2-BH2的清洗结果
 
配方物 SARC去除/% 硬壳去除/%
BAA 98 40
BBB 100 100
BCC 100 100
BDD 98 100
BEE 100 100
BFF 99 100
BGG 100 99
BHH 98 100
实施例 7
从包括SARC层的图案化低k介电基底样品上去除SARC。在50℃,将样品在去除溶液BI2-BR2中浸渍30分钟或60分钟,然后用大量去离子水漂洗并在氮气下干燥。使用扫描电子显微镜估计SARC材料从图案化晶片上的去除百分比。下面的表7列出结果。
表7:配方物BI2-BR2的清洗结果
 
配方物 在30分钟去除的SARC/% 在60分钟去除的SARC/%
BII 25 100
BJJ 98 100
BKK 25 100
BLL 99 100
BMM 95 100
BNN 90 100
BOO 40 100
BPP 95 100
BQQ 50 90
BRR 99 100
实施例 8
从包括SARC层的图案化低k介电基底样品上去除SARC。在40℃,将样品在去除溶液BS2-BB3中浸渍30分钟,然后用大量去离子水漂洗并在氮气下干燥。使用扫描电子显微镜估计SARC材料从图案化晶片上的去除百分比。下面的表8列出结果。
表8:配方物BS2-BB3的清洗结果
 
配方物 SARC去除/%
BSS 30
BTT 100
BUU 100
BVV 90
BWW 98
BXX 100
BYY 95
BZZ 100
BAAA 70
BBBB 100
实施例 9
从包括SARC层的图案化低k介电基底样品上去除SARC。在40℃,将样品在去除溶液BC3-BH3中浸渍30分钟,然后用大量去离子水漂洗并在氮气下干燥。使用扫描电子显微镜估计SARC材料从图案化晶片上的去除百分比。下面的表9列出结果。
表9:配方物BC3-BH3的清洗结果
 
配方物 SARC去除/%
BCCC 10
BDDD 30
BEEE 100
BFFF 95
BGGG 100
BHHH 100
实施例 10
从包括SARC层的图案化低k介电基底样品上去除SARC。在40℃,将样品在去除溶液BI3-BM3中浸渍30分钟,然后用大量去离子水漂洗并在氮气下干燥。使用扫描电子显微镜估计SARC材料从图案化晶片上的去除百分比。下面的表10列出结果。
表10:配方物BI3-BM3的清洗结果
 
配方物 SARC去除/%
BIII 5
BJJJ 40
BKKK 100
BLLL 100
BMMM 100
实施例 11
从包括SARC层的图案化低k介电基底样品上去除SARC。在40℃,将样品在去除溶液BN3-BS3中浸渍30分钟,然后用大量去离子水漂洗并在氮气下干燥。使用扫描电子显微镜估计SARC材料从图案化晶片上的去除百分比。下面的表11列出结果。
表11:配方物BN3-BS3的清洗结果
 
配方物 SARC去除/%
BNNN 85
BOOO 95
BPPP 60
BQQQ 50
BRRR 30
BSSS 5
实施例 12
从包括SARC层的图案化低k介电基底样品上去除SARC。在40℃,将样品在去除溶液BT3-CE中浸渍30分钟,然后用大量去离子水漂洗并在氮气下干燥。使用扫描电子显微镜估计SARC材料从图案化晶片上的去除百分比。下面的表12列出结果。
表12:配方物BT3-CE的清洗结果
 
配方物 SARC去除/%
BTTT 0
BUUU 50
BVVV 100
BWWW 10
BXXX 20
BYYY 95
BZZZ 0
CA 10
CB 70
CC 0
CD 5
CE 50
实施例 13
从包括SARC层的图案化低k介电基底样品上去除SARC。在50℃,将样品在去除溶液CF-CQ中浸渍18分钟或30分钟,然后用大量去离子水漂洗并在氮气下干燥。使用扫描电子显微镜估计SARC材料从图案化晶片上的去除百分比。下面的表13列出结果。
表13:配方物CF-CQ的清洗结果
 
配方物 在30分钟去除的SARC/% 在18分钟去除的SARC/%
CF 100 100
CG 100 -
CH 100 -
CI 95 40
 
CJ 100 99
CK 100 100
CL 100 -
CM 100 -
CN 100 -
CO 100 90
CP 100 100
CQ 100 100
实施例 14
从包括SARC和光致抗蚀剂层的图案化低k介电基底样品上去除SARC和光致抗蚀剂。在40℃,将样品在去除溶液CR中浸渍30分钟,然后用大量去离子水漂洗并在氮气下干燥。使用扫描电子显微镜观察到从图案化晶片上去除了100%SARC和光致抗蚀剂材料。
实施例 15
使其上具有蚀刻后无灰光致抗蚀剂的图案化晶片与配方物DA-DH在50℃接触20分钟。重要的是,所述晶片包括暴露的TEOS和低k介电材料。在每种情况下,光致抗蚀剂材料从晶片表面剥离并漂浮在配方物和/或漂洗水容器中。每个晶片的FESEM显微照片显示充分去除了光致抗蚀剂,在与配方物DG和DH接触的晶片上观察到了最少量的低k材料侵蚀。
确定季碱和KOH/KCl是用于从晶片表面去除光致抗蚀剂的重要组分。
实施例 16
在50℃、60℃、和70℃,将无图案的氮化硅(SiN,厚度大约
Figure A200680046497D00441
黑钻石TM(BlackD,厚度大约
Figure A200680046497D0044091445QIETU
)和TEOS晶片(厚度大约
Figure A200680046497D0044091454QIETU
)在去除配方物DH和DI中浸渍20分钟,以确定每种材料的蚀刻速率。
使用Nanospec确定蚀刻速率。下面列出每种材料的蚀刻速率。注意到未经处理和经过处理的BlackD晶片的FTIR显示,在处理后对于BlackD晶片的化学结构或介电性质没有损害。
Figure A200680046497D00451
在50℃、60℃、和70℃,使其上具有蚀刻后无灰光致抗蚀剂的图案化晶片与配方物DH和DI接触20分钟,用DI水漂洗,并在N2气下干燥。重要的是,所述晶片包括暴露的TEOS和低k介电材料。在每种情况下,光致抗蚀剂材料在1分钟内从晶片表面剥离,而且不会再沉积到表面上。每个晶片的FESEM显微照片显示从中充分去除了光致抗蚀剂。在50℃处理现示出最好的去除结果,同时对低k介电材料的损害最小,由BlackD和TEOS的FESEM结果和蚀刻速率可证明。
因此,尽管本文已经参考本发明的具体方面、特征和例示性实施方案描述了本发明,但将理解本发明的利用并未因此受限,而是延伸到并包括许多其它方面、特征和实施方案。因此将相应广泛将下面所列的权利要求书理解为在其精神和范围内包括所有这些方面、特征和实施方案。

Claims (31)

1.一种液体去除组合物,所述去除组合物包括:
至少一种有机季碱,
至少一种表面相互作用增强添加剂,和
任选至少一种选自下列的组分:至少一种碱金属或碱土金属源;至少一种有机溶剂;至少一种表面活性剂;至少一种螯合剂;及其组合,
其中所述液体去除组合物用于从其上具有光致抗蚀剂和/或牺牲性抗反射涂层(SARC)材料的微电子器件上去除所述材料。
2.权利要求1的液体去除组合物,其中所述至少一种有机季碱包括具有式NR1R2R3R4OH的化合物,其中R1、R2、R3和R4可以彼此相同或不同,并且选自氢、C1-C6直链烷基、C1-C6支链烷基、取代的C6-C10芳基、和未取代的C6-C10芳基、醇基团、烯烃基和炔烃基。
3.权利要求1的液体去除组合物,其中所述至少一种有机季碱包括选自下列的化合物:四丁基氢氧化铵、苄基三甲基氢氧化铵(BTMAH)、四甲基氢氧化铵(TMAH)及其组合。
4.权利要求1的液体去除组合物,其中所述至少一种有机季碱包括苄基三甲基氢氧化铵。
5.权利要求1的液体去除组合物,其中所述至少一种表面相互作用增强添加剂包括选自下列的物质:聚(丙烯酰胺-共-二烯丙基二甲基氯化铵)、聚(丙烯酰胺)、聚(丙烯酸)、聚(二烯丙基二甲基氯化铵)、二烯丙基二甲基氯化铵、丙烯酰胺、乙酰胍胺及其组合。
6.权利要求1的液体去除组合物,包括碱金属或碱土金属源,其中所述至少一种碱金属或碱土金属源包括选自下列的钾盐:氢氧化钾、氯化钾及其组合。
7.权利要求1的液体去除组合物,包括有机溶剂,其中所述至少一种有机溶剂包括选自下列的组分:胺、含硫化合物、二醇、二醇醚及其组合。
8.权利要求7的液体去除组合物,其中所述有机溶剂包括选自下列的化合物:一乙醇胺、三乙醇胺、三亚乙基二胺、甲基乙醇胺、甲基二乙醇胺、五甲基二亚乙基三胺、二甲基二甘醇胺、1,8-二氮杂双环[5.4.0]十一碳烯、氨基丙基吗啉、羟乙基吗啉、氨基乙基吗啉、羟丙基吗啉、二甘醇胺、N-甲基吡咯烷酮(NMP)、N-辛基吡咯烷酮、N-苯基吡咯烷酮、环己基吡咯烷酮、乙烯基吡咯烷酮、四甲基砜、乙二醇、丙二醇、新戊二醇、二乙二醇一甲醚、三乙二醇一甲醚、二乙二醇一乙醚、三乙二醇一乙醚、乙二醇一丙醚、乙二醇一丁醚、二乙二醇一丁醚、三乙二醇一丁醚、乙二醇一己醚、二乙二醇一己醚、乙二醇苯醚、丙二醇甲醚、二丙二醇甲醚、三丙二醇甲醚、丙二醇正丙醚、二丙二醇正丙醚、三丙二醇正丙醚、丙二醇正丁醚、二丙二醇正丁醚、三丙二醇正丁醚、丙二醇苯醚及其组合。
9.权利要求1的液体去除组合物,包括螯合剂,其中所述至少一种螯合剂包括选自下列的物质:苯并三唑、甲苯基三唑、5-苯基-苯并三唑、5-硝基-苯并三唑、3-氨基-5-巯基-1,2,4-三唑、1-氨基-1,2,4-三唑、羟基苯并三唑、2-(5-氨基-戊基)-苯并三唑、1-氨基-1,2,3-三唑、1-氨基-5-甲基-1,2,3-三唑、3-氨基-1,2,4-三唑、3-巯基-1,2,4-三唑、3-异丙基-1,2,4-三唑、5-苯基硫醇-苯并三唑、卤代苯并三唑、萘并三唑、2-巯基苯并咪唑、2-巯基苯并噻唑、4-甲基-2-苯基咪唑、2-巯基噻唑啉、5-氨基四唑、5-氨基-1,3,4-噻二唑-2-硫醇、2,4-二氨基-6-甲基-1,3,5-三嗪、噻唑、三嗪、甲基四唑、1,3-二甲基-2-咪唑啉酮、1,5-五亚甲基四唑、1-苯基-5-巯基四唑、二氨基甲基三嗪、巯基苯并噻唑、咪唑啉硫酮、巯基苯并咪唑、4-甲基-4H-1,2,4-三唑-3-硫醇、5-氨基-1,3,4-噻二唑-2-硫醇、苯并噻唑、磷酸三甲苯酯、苯并异二唑、鸟嘌呤、腺嘌呤、甘油、硫代甘油、次氮基三乙酸、水杨酰胺、苯并胍胺、三聚氰胺、硫氰尿酸、邻氨基苯甲酸、没食子酸、抗坏血酸、水杨酸、8-羟基喹啉、5-羧酸-苯并三唑、3-巯基丙醇、硼酸和亚氨基二乙酸。
10.权利要求1的液体去除组合物,包括表面活性剂,其中所述至少一种表面活性剂包括选自下列的物质:双-(2-羟乙基)异癸氧基丙胺氧化物、烷氧基化的醚胺氧化物、源自C10支链醇的醚胺氧化物及其组合。
11.权利要求1的液体去除组合物,其中所述微电子器件包括选自下列的制品:半导体基底、平板显示器和微电子机械系统(MEMS)。
12.权利要求1的液体去除组合物,还包括光致抗蚀剂和/或SARC残渣材料。
13.权利要求12的液体去除组合物,其中所述光致抗蚀剂和/或SARC残渣材料包括选自下列的物质:有机光致抗蚀剂残渣;选自硼、砷和磷的离子注入元素;含硅残渣;以及选自氧和氟的等离子体蚀刻气体元素。
14.权利要求1的液体去除组合物,其中所述表面相互作用增强添加剂相对于有机季碱的重量百分比为约0.01:1至约0.2:1。
15.权利要求6的液体去除组合物,其中所述碱金属或碱土金属源相对于有机季碱的重量百分比为约0.001:1至约0.3:1。
16.权利要求10的液体去除组合物,其中所述表面活性剂相对于有机季碱的重量百分比为约0.01:1至约0.07:1。
17.权利要求10的液体去除组合物,其中所述有机溶剂相对于有机季碱的重量百分比为约1:1至约55:11。
18.权利要求1的液体去除组合物,其中pH为约11至约14。
19.权利要求1的液体去除组合物,包括苄基三甲基氢氧化铵和聚(丙烯酰胺-共-二烯丙基二甲基氯化铵)。
20.权利要求19的液体去除组合物,其中所述表面相互作用增强添加剂相对于季碱的重量百分比之比为约0.03:1至约0.07:1。
21.一种试剂盒,其在一个或多个容器内包括用于形成液体去除组合物的一种或多种下列试剂,所述一种或多种试剂选自:
至少一种有机季碱,
至少一种表面相互作用增强添加剂,和
任选至少一种选自下列的组分:至少一种碱金属或碱土金属源;至少一种有机溶剂;至少一种表面活性剂;至少一种螯合剂;及其组合,
其中所述试剂盒适于形成权利要求1的组合物。
22.一种从其上具有光致抗蚀剂和/或SARC材料的微电子器件上去除所述材料的方法,所述方法包括使微电子器件与液体去除组合物接触足够的时间,以从微电子器件上至少部分去除所述材料,其中所述液体去除组合物包括:
至少一种有机季碱,
至少一种表面相互作用增强添加剂,和
任选至少一种选自下列的组分:至少一种碱金属或碱土金属源;至少一种有机溶剂;至少一种表面活性剂;至少一种螯合剂;及其组合。
23.权利要求22的方法,其中所述微电子器件包括选自下列的制品:半导体基底、平板显示器和微电子机械系统(MEMS)。
24.权利要求22的方法,其中所述材料包括选自下列的层:被等离子体蚀刻硬化的光致抗蚀剂、被离子注入硬化的光致抗蚀剂和SARC材料。
25.权利要求22的方法,其中所述接触的进行条件选自:时间为约1分钟至约60分钟;温度为约30℃至约80℃;及其组合。
26.权利要求22的方法,其中所述接触包括选自下列的过程:将液体去除组合物喷洒到微电子器件的表面上;在足够体积的液体去除组合物中浸渍微电子器件;使微电子器件的表面与其上吸收有液体去除组合物的另一种材料接触;和使微电子器件与循环的液体去除组合物接触。
27.权利要求22的方法,其中所述至少一种表面相互作用增强添加剂包括选自下列的物质:聚(丙烯酰胺-共-二烯丙基二甲基氯化铵)、聚(丙烯酰胺)、聚(丙烯酸)、聚(二烯丙基二甲基氯化铵)、二烯丙基二甲基氯化铵、丙烯酰胺、乙酰胍胺及其组合;且
其中所述至少一种有机季碱包括具有式NR1R2R3R4OH的化合物,其中R1、R2、R3和R4可以彼此相同或不同,并且选自氢、C1-C6直链烷基、C1-C6支链烷基、取代的C6-C10芳基、和未取代的C6-C10芳基、醇基团、烯烃基、和炔烃基。
28.权利要求22的方法,还包括在与液体去除组合物接触后,用去离子水漂洗微电子器件。
29.权利要求22的方法,其中去除组合物的pH为约11至约14。
30.一种液体去除组合物,其包括至少一种季碱、氯化钾和至少一种螯合剂/钝化剂,其中所述液体去除组合物用于从其上具有光致抗蚀剂材料的微电子器件上去除所述材料。
31.权利要求30的去除组合物,其中所述螯合剂/钝化剂包括选自下列的物质:脲、2-巯基苯并咪唑、1,2,4-三唑及其组合。
CN2006800464974A 2005-10-13 2006-10-12 金属相容的光致抗蚀剂和/或牺牲性抗反射涂层去除组合物 Expired - Fee Related CN101421386B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US72641005P 2005-10-13 2005-10-13
US60/726,410 2005-10-13
PCT/US2006/039888 WO2007047365A2 (en) 2005-10-13 2006-10-12 Metals compatible photoresist and/or sacrificial antireflective coating removal composition

Publications (2)

Publication Number Publication Date
CN101421386A true CN101421386A (zh) 2009-04-29
CN101421386B CN101421386B (zh) 2011-08-10

Family

ID=37963086

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006800464974A Expired - Fee Related CN101421386B (zh) 2005-10-13 2006-10-12 金属相容的光致抗蚀剂和/或牺牲性抗反射涂层去除组合物

Country Status (7)

Country Link
US (1) US8058219B2 (zh)
EP (1) EP1945748A4 (zh)
JP (1) JP2009516360A (zh)
KR (1) KR20080059442A (zh)
CN (1) CN101421386B (zh)
TW (1) TW200720862A (zh)
WO (1) WO2007047365A2 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102109777A (zh) * 2010-12-15 2011-06-29 绵阳艾萨斯电子材料有限公司 一种等离子显示用障壁浆料的再生液
CN103154321A (zh) * 2010-10-06 2013-06-12 高级技术材料公司 选择性蚀刻金属氮化物的组合物及方法
CN104039897A (zh) * 2012-02-10 2014-09-10 埃托特克德国有限公司 用于从基底除去有机涂料涂层的组合物和方法
CN104531397A (zh) * 2014-11-18 2015-04-22 惠晶显示科技(苏州)有限公司 一种平板玻璃基板减薄预清洗用清洗液及其应用
CN106796878A (zh) * 2014-11-13 2017-05-31 三菱瓦斯化学株式会社 抑制了包含钨的材料的损伤的半导体元件的清洗液、及使用其的半导体元件的清洗方法
CN106980240A (zh) * 2016-01-19 2017-07-25 东友精细化工有限公司 固化树脂膜剥离液组合物
CN107004575A (zh) * 2014-06-04 2017-08-01 恩特格里斯公司 具有金属、电介质及氮化物兼容性的抗反射涂层清洗及蚀刻后残留物去除组成物

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060154186A1 (en) * 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US20090301996A1 (en) * 2005-11-08 2009-12-10 Advanced Technology Materials, Inc. Formulations for removing cooper-containing post-etch residue from microelectronic devices
CN101356629B (zh) 2005-11-09 2012-06-06 高级技术材料公司 用于将其上具有低k介电材料的半导体晶片再循环的组合物和方法
US7674755B2 (en) * 2005-12-22 2010-03-09 Air Products And Chemicals, Inc. Formulation for removal of photoresist, etch residue and BARC
US8685909B2 (en) * 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
EP1918322A1 (en) * 2006-11-03 2008-05-07 Henkel Kommanditgesellschaft auf Aktien Paint Stripper with Corrosion Inhibitor for Aluminium
SG177915A1 (en) * 2006-12-21 2012-02-28 Advanced Tech Materials Liquid cleaner for the removal of post-etch residues
TWI516573B (zh) * 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
US7799139B2 (en) * 2007-03-28 2010-09-21 Intel Corporation Chemistry for removal of photo resist, organic sacrificial fill material and etch polymer
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
WO2009032460A1 (en) * 2007-08-02 2009-03-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of residue from a microelectronic device
US7655608B2 (en) * 2007-08-03 2010-02-02 Dynaloy, Llc Reduced metal etch rates using stripper solutions containing a copper salt
CN101373340B (zh) * 2007-08-23 2013-07-10 安集微电子(上海)有限公司 一种光刻胶清洗剂
CN101373339B (zh) * 2007-08-23 2011-12-07 安集微电子(上海)有限公司 一种厚膜光刻胶的清洗剂
SG188848A1 (en) * 2008-03-07 2013-04-30 Advanced Tech Materials Non-selective oxide etch wet clean composition and method of use
US20090241988A1 (en) * 2008-03-31 2009-10-01 Intel Corporation Photoresist and antireflective layer removal solution and method thereof
CN101597546B (zh) * 2008-06-04 2014-07-23 S.C.约翰逊父子公司 稳定的碱性液体磨料清洁剂组合物及其制法
JP5195063B2 (ja) * 2008-06-19 2013-05-08 東ソー株式会社 レジスト剥離液
US9074170B2 (en) * 2008-10-21 2015-07-07 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
WO2010091045A2 (en) * 2009-02-05 2010-08-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of polymers and other organic material from a surface
US8754021B2 (en) 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
KR101746879B1 (ko) * 2009-07-30 2017-06-14 바스프 에스이 고급 반도체 적용을 위한 이온 주입 후 스트리퍼
SG170691A1 (en) 2009-10-14 2011-05-30 Rohm & Haas Elect Mat Method of cleaning and micro-etching semiconductor wafers
KR20130016200A (ko) * 2010-01-29 2013-02-14 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 배선이 제공된 반도체를 위한 세정제
US8058221B2 (en) * 2010-04-06 2011-11-15 Samsung Electronics Co., Ltd. Composition for removing a photoresist and method of manufacturing semiconductor device using the composition
JP2013533631A (ja) 2010-07-16 2013-08-22 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド エッチング後残渣を除去するための水性洗浄剤
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
EP2606158A4 (en) 2010-08-20 2017-04-26 Entegris Inc. Sustainable process for reclaiming precious metals and base metals from e-waste
CN101957565B (zh) * 2010-08-28 2012-05-23 汕头超声印制板(二厂)有限公司 一种有机退膜剂
US8889609B2 (en) * 2011-03-16 2014-11-18 Air Products And Chemicals, Inc. Cleaning formulations and method of using the cleaning formulations
CN102199499B (zh) * 2011-04-02 2013-01-16 浙江向日葵光能科技股份有限公司 太阳能电池硅片清洗剂及其使用方法
MY165756A (en) * 2011-06-01 2018-04-23 Avantor Performance Mat Llc SEMI-AQUEOUS POLYMER REMOVAL COMPOSITIONS WITH ENHANCED COMPATIBILITY TO COPPER, TUNGSTEN, AND POROUS LOW-k DIELECTRICS
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
SG11201400840UA (en) 2011-10-05 2014-04-28 Avantor Performance Mat Inc Microelectronic substrate cleaning compositions having copper/azole polymer inhibition
EP2768920A4 (en) * 2011-10-21 2015-06-03 Advanced Tech Materials AMIN FREE POST-KMP COMPOSITION AND METHOD OF USE THEREOF
CN104145324B (zh) 2011-12-28 2017-12-22 恩特格里斯公司 用于选择性蚀刻氮化钛的组合物和方法
TWI565836B (zh) * 2011-12-28 2017-01-11 Tokyo Ohka Kogyo Co Ltd Cleaning solution and anti-corrosion agent
JP2015512971A (ja) 2012-02-15 2015-04-30 インテグリス,インコーポレイテッド 組成物を使用したcmp後除去及び使用方法
CN102634809B (zh) * 2012-04-27 2013-11-13 东莞市广华化工有限公司 一种二次干膜去膜液
TW201406932A (zh) 2012-05-18 2014-02-16 Advanced Tech Materials 用於自包含氮化鈦之表面脫除光阻劑之組成物及方法
TWI593783B (zh) * 2012-07-24 2017-08-01 Ltc股份有限公司 用於移除與防止於金屬線路表面形成氧化物之組合物
WO2014089196A1 (en) 2012-12-05 2014-06-12 Advanced Technology Materials, Inc. Compositions for cleaning iii-v semiconductor materials and methods of using same
KR102294726B1 (ko) 2013-03-04 2021-08-30 엔테그리스, 아이엔씨. 티타늄 나이트라이드를 선택적으로 에칭하기 위한 조성물 및 방법
CN111394100A (zh) 2013-06-06 2020-07-10 恩特格里斯公司 用于选择性蚀刻氮化钛的组合物和方法
KR102338526B1 (ko) 2013-07-31 2021-12-14 엔테그리스, 아이엔씨. Cu/W 호환성을 갖는, 금속 하드 마스크 및 에칭-후 잔여물을 제거하기 위한 수성 제형
EP3039098B1 (en) 2013-08-30 2020-09-30 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
TWI654340B (zh) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
US20160322232A1 (en) 2013-12-20 2016-11-03 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
KR102290209B1 (ko) 2013-12-31 2021-08-20 엔테그리스, 아이엔씨. 규소 및 게르마늄을 선택적으로 에칭하기 위한 배합물
EP3099839A4 (en) 2014-01-29 2017-10-11 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
CN108290775A (zh) * 2015-11-23 2018-07-17 康宁股份有限公司 从玻璃基材去除无机涂层
JP6860276B2 (ja) * 2016-09-09 2021-04-14 花王株式会社 樹脂マスク剥離用洗浄剤組成物
US10748757B2 (en) 2017-09-21 2020-08-18 Honeywell International, Inc. Thermally removable fill materials for anti-stiction applications
US10727044B2 (en) 2017-09-21 2020-07-28 Honeywell International Inc. Fill material to mitigate pattern collapse
US10529617B2 (en) * 2017-09-29 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Metal routing with flexible space formed using self-aligned spacer patterning
KR20210024187A (ko) * 2018-07-20 2021-03-04 엔테그리스, 아이엔씨. 부식 억제제를 갖는 세정 조성물
WO2020185745A1 (en) 2019-03-11 2020-09-17 Versum Materials Us, Llc Etching solution and method for aluminum nitride
WO2021100353A1 (ja) * 2019-11-22 2021-05-27 富士フイルムエレクトロニクスマテリアルズ株式会社 洗浄液、洗浄方法
CN113430065B (zh) * 2020-03-23 2024-06-07 上海新阳半导体材料股份有限公司 抗反射涂层清洗及刻蚀后残留物去除组合物、制备方法及用途
KR20230098296A (ko) * 2020-10-30 2023-07-03 포스트프로세스 테크놀로지스 인코포레이티드 물체의 표면으로부터 수지 및 세라믹을 제거하기 위한 조성물 및 이러한 조성물의 사용 방법
CN114126245B (zh) * 2022-01-26 2022-04-22 深圳市板明科技股份有限公司 线路板图形电镀夹膜去除剂和图形电镀夹膜去除工艺
WO2024128210A1 (ja) * 2022-12-12 2024-06-20 三菱瓦斯化学株式会社 フォトレジスト除去用組成物およびフォトレジストの除去方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5259888A (en) * 1992-02-03 1993-11-09 Sachem, Inc. Process for cleaning quartz and silicon surfaces
US5972875A (en) 1997-04-23 1999-10-26 Crutcher; Terry Low-foaming amine oxide surfactant concentrate and method of manufacture
KR100540477B1 (ko) * 1998-06-30 2006-03-17 주식회사 하이닉스반도체 반도체 소자의 게이트 전극 형성방법
US6475966B1 (en) * 2000-02-25 2002-11-05 Shipley Company, L.L.C. Plasma etching residue removal
US6498131B1 (en) * 2000-08-07 2002-12-24 Ekc Technology, Inc. Composition for cleaning chemical mechanical planarization apparatus
AU2001291098A1 (en) * 2000-09-19 2002-04-02 Shipley Company, L.L.C. Process for treating adhesion promoted metal surfaces
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6525009B2 (en) * 2000-12-07 2003-02-25 International Business Machines Corporation Polycarboxylates-based aqueous compositions for cleaning of screening apparatus
US6627587B2 (en) * 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
JP2003035963A (ja) * 2001-07-24 2003-02-07 Kanto Chem Co Inc フォトレジスト残渣除去液組成物
US6551973B1 (en) * 2001-10-09 2003-04-22 General Chemical Corporation Stable metal-safe stripper for removing cured negative-tone novolak and acrylic photoresists and post-etch residue
JP2004101849A (ja) * 2002-09-09 2004-04-02 Mitsubishi Gas Chem Co Inc 洗浄剤組成物
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
US8338087B2 (en) * 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US20060154186A1 (en) * 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103154321A (zh) * 2010-10-06 2013-06-12 高级技术材料公司 选择性蚀刻金属氮化物的组合物及方法
CN103154321B (zh) * 2010-10-06 2015-11-25 安格斯公司 选择性蚀刻金属氮化物的组合物及方法
CN102109777A (zh) * 2010-12-15 2011-06-29 绵阳艾萨斯电子材料有限公司 一种等离子显示用障壁浆料的再生液
CN102109777B (zh) * 2010-12-15 2012-08-22 绵阳艾萨斯电子材料有限公司 一种等离子显示用障壁浆料的再生液
CN104039897A (zh) * 2012-02-10 2014-09-10 埃托特克德国有限公司 用于从基底除去有机涂料涂层的组合物和方法
CN104039897B (zh) * 2012-02-10 2017-03-08 埃托特克德国有限公司 用于从基底除去有机涂料涂层的组合物和方法
CN107004575A (zh) * 2014-06-04 2017-08-01 恩特格里斯公司 具有金属、电介质及氮化物兼容性的抗反射涂层清洗及蚀刻后残留物去除组成物
US10460954B2 (en) 2014-06-04 2019-10-29 Entegris, Inc. Anti-reflective coating cleaning and post-etch residue removal composition having metal, dielectric and nitride compatibility
CN106796878A (zh) * 2014-11-13 2017-05-31 三菱瓦斯化学株式会社 抑制了包含钨的材料的损伤的半导体元件的清洗液、及使用其的半导体元件的清洗方法
CN104531397A (zh) * 2014-11-18 2015-04-22 惠晶显示科技(苏州)有限公司 一种平板玻璃基板减薄预清洗用清洗液及其应用
CN106980240A (zh) * 2016-01-19 2017-07-25 东友精细化工有限公司 固化树脂膜剥离液组合物

Also Published As

Publication number Publication date
US20090118153A1 (en) 2009-05-07
US8058219B2 (en) 2011-11-15
WO2007047365A3 (en) 2007-08-09
CN101421386B (zh) 2011-08-10
KR20080059442A (ko) 2008-06-27
TW200720862A (en) 2007-06-01
WO2007047365A2 (en) 2007-04-26
EP1945748A2 (en) 2008-07-23
JP2009516360A (ja) 2009-04-16
EP1945748A4 (en) 2009-01-07

Similar Documents

Publication Publication Date Title
CN101421386B (zh) 金属相容的光致抗蚀剂和/或牺牲性抗反射涂层去除组合物
CN101233456B (zh) 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
US6825156B2 (en) Semiconductor process residue removal composition and process
JP6470239B2 (ja) 洗浄配合物
CN1938647B (zh) 用于蚀刻后去除基片上沉积的光致抗蚀剂和/或牺牲性抗反射物质的组合物和方法
CN104199261B (zh) 适用于去除蚀刻后的光致抗蚀剂和底部抗反射涂层的组合物
KR100857865B1 (ko) 세정 제제
TW580516B (en) Non-corrosive cleaning composition and method for removing photoresist and/or plasma etching residues
CN1938412A (zh) 剥离抗蚀剂、barc和填隙材料的化学制剂及方法
KR20120106928A (ko) 세정 포뮬레이션 및 세정 포뮬레이션을 사용하는 방법
EP3599633B1 (en) Post etch residue cleaning compositions and methods of using the same
CN101233221A (zh) 铜钝化的化学机械抛光后清洗组合物及使用方法
KR20200088821A (ko) 반도체 기판으로부터 식각 후 또는 애싱 후 잔여물을 제거하는 세정 조성물 및 상응하는 제조 방법
KR102321217B1 (ko) 에칭 후 잔여물 세정 조성물 및 이의 사용 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110810

Termination date: 20131012