KR100857865B1 - 세정 제제 - Google Patents

세정 제제 Download PDF

Info

Publication number
KR100857865B1
KR100857865B1 KR1020070009421A KR20070009421A KR100857865B1 KR 100857865 B1 KR100857865 B1 KR 100857865B1 KR 1020070009421 A KR1020070009421 A KR 1020070009421A KR 20070009421 A KR20070009421 A KR 20070009421A KR 100857865 B1 KR100857865 B1 KR 100857865B1
Authority
KR
South Korea
Prior art keywords
composition
formula
water
compound
dimethylurea
Prior art date
Application number
KR1020070009421A
Other languages
English (en)
Other versions
KR20070078817A (ko
Inventor
마드후카르 브하스카라 라오
토마스 마이클 위더
존 안토니 마셀라
마크 레오 리스테만
Original Assignee
에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 filed Critical 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Publication of KR20070078817A publication Critical patent/KR20070078817A/ko
Application granted granted Critical
Publication of KR100857865B1 publication Critical patent/KR100857865B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/28Organic compounds containing halogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3272Urea, guanidine or derivatives thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Detergent Compositions (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Epoxy Compounds (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

본 발명은 반도체 기판으로부터의 원치 않는, 유기 및 무기 잔류물, 및 오염 물질을 제거하는 데 사용되는 수성 세정 조성물에 관한 것이다. 세정 조성물은, 예컨대 디메틸 우레아와 같은 우레아 유도체를, 주로 기판으로부터 유기 잔류물을 제거하는 작용을 하는 성분으로서 포함한다. 불화물 이온원도 본 발명의 세정 조성물에 포함되며, 주로 기판으로부터 무기 잔류물을 제거하는 작용을 한다. 본 발명의 세정 조성물은 독성이 낮으며 환경적으로 허용적이다.

Description

세정 제제{CLEANING FORMULAT1ONS}
본 발명의 범위 내에 있는 세정 조성물 및 비교 조성물의 사용의 결과들은 하기의 도로 구성된, 첨부된 도면에 설명하였다:
도 1은 세정 작업 전에, 원치 않는 잔류물을 갖는 반도체 기판의 다양한 배율에서의 SEM 사진을 포함한다.
도 2는 본 발명에 따른 세정 조성물로 세정한 후에, 반도체 기판의 다양한 배율에서의 SEM 사진을 포함한다.
도 3는 본 발명에 따른 세정 조성물로 세정한 후에, 반도체 기판의 다양한 배율에서의 SEM 사진을 포함한다.
도 4는 비교예 A의 조성물로 세정한 후에, 반도체 기판의 다양한 배율에서의 SEM 사진을 포함한다.
도 5는 비교예 C의 조성물로 세정한 후에, 반도체 기판의 다양한 배율에서의 SEM 사진을 포함한다.
본 발명은, 예를 들면, 반도체 기판 상의 원치 않는 레지스트 필름, 에칭후 잔류물 및 회화후 잔류물을 제거하는 것을 포함하여 다양한 적용에 사용될 수 있는 세정 조성물을 제공한다. 본 발명은 특히, 우레아 유도체를 세정제로 포함하는 세정 조성물을 제공한다.
본 발명의 배경을 집적 회로의 제조에 관련된 세정 적용에서의 그것의 용도와 관련하여 개시할 것이다. 그러나, 본 발명의 용도가 하기에 개시된 바와 같이 더 넓은 응용성을 갖는다는 것이 이해되어야 한다.
집적 회로의 제조에 있어, 규소, 비소화갈륨, 유리 또는 제조 과정 중인 직접 회로의 웨이퍼에 위치한 다른 기판의 표면 상에 침착되거나 성장한 박막 중의, 개구부나 다른 기하학적 형상을 에칭할 필요가 때때로 있다. 그런 필름을 에칭하는 본 방법들은 필름을 화학 에칭제에 노출시켜 필름의 일부를 제거할 것을 요한다. 필름의 일부를 제거하는 데 사용되는 특정 에칭제는 필름의 성질에 좌우된다. 산화물 필름의 경우에, 예를 들면, 에칭제는 불화수소산일 수 있다. 폴리규소 필름의 경우에는, 일반적으로 불화수소산 또는, 질산과 아세트산의 혼합물이다.
필름의 소정 부분만을 제거하기 위해, 광석판술 공정이 사용되고, 이 공정을 통해서, 컴퓨터로 설계된 포토마스크에서의 패턴이 필름의 표면에 전사된다. 마스크는 선택적으로 제거될 필름의 영역을 식별하는 역할을 한다. 패턴은 제조 과정 중인 직접 회로 웨이퍼 상에 박막으로 스피닝되고 포토마스크를 통해서 분사된 고강도 방사선에 노출되는 감광성 재료인 포토레지스트 재료로 형성된다. 노광 또는 비노광된 포토레지스트 재료는, 그 조성에 따라, 일반적으로 현상액에 용해되어 다른 범위에서는 에칭되는 것을 방지하면서 선택된 범위에서는 에칭이 일어나도록 하 는 패턴을 남긴다. 포지티브형 레지스트는 예를 들면, 에칭이 일어나면 비아(via), 트렌치, 접촉 홀 등이 될 기판 상의 패턴의 윤곽을 그리는 마스킹 재료로서 광범위하게 사용되어 왔다.
점차로, 예를 들어 플라즈마 에칭, 반응성 이온 에칭, 또는 이온 밀링과 같은 건식 에칭 공정이, 기판의 포토레지스트-비보호 범위를 침식하여 비아, 트렌치, 접촉 홀 등을 형성하는 데 사용된다. 플라즈마 에칭 공정의 결과로, 포토레지스트, 에칭 기체 및 에칭된 물질의 부산물들은 기판 상의 에칭된 개구부의 측벽 상에 또는 그 주위에 잔류물로 침착된다.
이러한 건식 에칭 공정은 일반적으로 레지스트 마스크를 제거하기 대단히 어렵게 만든다. 예를 들면, 상호 연결 배선의 후미 선의 복수층을 갖는, 진보한 DRAMS 및 논리 소자와 같은 복합 반도체 소자에서는, 반응성 이온 에칭(RIE)을 사용하여 층간 절연체를 통해 비아를 생산하여, 한 수준의 규소, 규화물 또는 금속 배선과 다음 수준의 배선 사이의 접촉을 제공한다. 이 비아들은 일반적으로 Al, AlCu, Cu, Ti, TiN, Ta, TaN, 규소 또는, 예를 들면 텅스텐, 티타늄 또는 코발트의 규화물과 같은 규화물을 노광한다. RIE 공정은 복합 혼합물을 포함하는 연관된 기판 위에 잔류물을 남기는데, 이 복합 혼합물에는, 예를 들어, 재-스퍼터링된 산화 물질, 에칭 기체로부터 유도된 중합 물질 및 비아의 윤곽을 그리는 데 사용되는 레지스트로부터의 유기 물질이 포함될 수 있다.
또한, 에칭 단계의 종결 후, 포토레지스트 및 에칭 잔류물은, 최종 피니쉬 처리가 실시될 수 있도록, 웨이퍼의 보호된 영역으로부터 제거되어야 한다. 이것은 플라즈마 "회화" 단계에서 적합한 플라즈마 회화 기체를 사용하여 할 수 있다. 이는 일반적으로 예를 들면 약 200℃ 이상의 고온에서 일어난다. 회화는 대부분의 유기 잔류물을 휘발성 종으로 전환시키지만, 기판 상에 주로 무기 잔류물을 남긴다. 그러한 잔류물은 일반적으로 기판의 표면뿐만 아니라 존재할 수도 있는 비아의 내벽 상에도 남게 된다. 결과적으로, 회분-처리된 기판은, 일반적으로 "액체 스트립핑 조성물"이라고 불리는 세정 조성물로 자주 처리하여, 고점착성 잔류물을 기판으로부터 제거한다. 악영향(예를 들어, 부식, 용해, 변색) 없이 잔류물의 제거에 적합한 세정 조성물을 찾으면서, 금속 회로가 문제가 된다는 것이 증명되었다. 잔류물을 완전히 제거하거나 또는 중화시키는 데 실패하면, 회로 배선에서 단절 및 전기 저항에 원치 않는 증가를 초래할 수 있다.
선행 기술의 스트리핑 조성물에는, 예를 들면 (a) 벤젠술폰산을 주된 스트리핑 성분으로서 포함하는 유기 술폰산계 스트리핑 용액; 및 (b) 모노에탄올 아민과 같은 아민을 주된 스트리핑 성분으로서 포함하는 유기 아민계 스트립핑 용액이 포함된다. 그러나, 에칭 잔류물을 제거하기 위한, 그런 선행 기술의 스트리핑 조성물은 중대한 결함이 있다. 예를 들면, 그것의 사용은, 비아 홀의 바닥 상에 노출된 구리 전선을 부식시키는 경향이 있다.
디메틸 아세트아미드(DMAC)를 포함하는 세정 조성물은, 반도체 기판으로부터 잔류물을 제거하는 데 널리 사용된다. DMAC는 대단히 극성이어서, 유기 잔류물에 대한 우수 용매가 되므로 이러한 적용에 특히 적합하다. DMAC는 인화점이 높고, 수용성이며, 점성이 낮고, 상대적으로 저렴하기 때문에도 바람직하다. 그러나, 공교 롭게도 DMAC는 미국과 유럽 모두에서 독성 물질로 분류된다. 이 점에 있어서, DMAC는 NPFA 건강 등급 2이고, 이것의 MSDS는 이것이 피부를 통해 용이하게 흡수됨을 의미한다. 독성 자료도 DMAC가 태아독(embryotoxin)일 수 있을 것이라 시사하고 있으며, 그와 같은 이유로, 이것의 사용이 유럽에서는 금지되었으며, 미국 및 아시아에서는 광범위한 정밀 조사를 받아오고 있다. 결과적으로, 예를 들면 전자 공업에서는, DMAC를 포함한 세정 조성물을 사용하지 않을 것이다.
따라서, 업계에서는 예를 들면 플라즈마 과정에 의해 발생되는 것들과 같은 스트리핑 포토레지스트 및 플라즈마 회화 잔류물을 포함하는 후위 세정 처리를 위한 무독성이고 친환경적인 세정 조성물을 필요로 한다.
본 발명은, 세정 유효량으로 물, 세정 성분으로서의 1 이상의 우레아 유도체 및 불화물 공급원을 포함하는, 반도체 기판으로부터 잔류물을 제거하는 데 유용한 조성물을 제공하여 이러한 필요를 충족시킨다. 본 발명에 의한 조성물에는 다른 물질, 예컨대 1 이상의 수-혼화성 유기 용매, 완충제, 및 부식-억제제가 임의로 포함된다.
한 구체예에서, 본 발명은 반도체 기판으로부터 잔류물을 제거하는 데 유용한 조성물을 제공하며, 상기 조성물은 세정 유효량으로 물; 세정제로 작용하는, 1 이상의, 하기 화학식 1의 화합물; 및 불화물 이온원; 및 임의로 수-혼화성 유기 용매; 완충제; 및 부식-억제제를 포함한다:
Figure 112007009128168-pat00001
상기 화학식에서, R1 및 R3는 독립적으로 수소, C1-C4 알킬, 또는 C1-C4 알킬올이고; R2 및 R4는 독립적으로 C1-C4 알킬, 또는 C1-C4 알킬올이다.
세정 조성물의 바람직한 구체예에서, 화학식 1의 화합물은 1,1-디메틸우레아 및 1,3-디메틸우레아로 구성된 군으로부터 선택되고, 불화물 이온원은 불화암모늄이다.
다른 구체예에서, 본 발명은 예를 들어 반도체 기판과 같은 기판으로부터 원치 않는 잔류물을 제거하는 방법을 제공한다. 이 방법은, 기판을 본 발명에 따른 조성물과 접촉시키는 단계, 기판으로부터 세정 조성물을 헹구는 단계, 기판을 건조하는 단계를 포함한다.
본 발명은 그 성분이, 예를 들면 반도체 기판과 같은 기판으로부터 잔류물을 효과적으로 제거하는 양으로 존재하는 조성물을 제공한다. 반도체 기판과 관련되는 적용에서, 그러한 잔류물에는 예를 들어, 포토레지스트 잔류물, 회화 잔류물, 및 예를 들어, 반응성 이온 에칭에 의해 야기된 잔류물과 같은 에칭 잔류물이 포함된 다. 또한, 반도체 기판에는 세정 조성물과 접촉하게 될 금속, 규소, 규산염 및/또는 침착된 규소 산화물과 같은 층간 유전 물질이 포함된다. 전형적인 금속에는 구리, 구리 합금, 티타늄, 질화티타늄, 탄탈, 질화탄탈, 알루미늄 및/또는 알루미늄 합금이 포함된다. 본 발명의 세정 조성물은, 상기의 물질이 금속 및/또는 유전체 에칭률이 낮으므로, 상기의 물질들과 상용성이다.
본 발명의 세정 조성물은 수계이고, 따라서 물을 포함한다. 본 발명에서, 물은 다양한 방식, 예를 들면 성분의 담체로서, 잔류물 제거의 보조물로서, 조성물의 점도 변경제로서, 및 희석제로서, 조성물의 1 이상의 고체 성분을 용해시키는 것과 같이 다양한 방식으로 작용한다. 바람직하게, 세정 조성물에 사용되는 물은 탈이온(DI)수이다.
대부분의 적용에서 물은, 예를 들어, 조성물 중 약 10 내지 약 90 중량%로 포함될 것이라 여겨진다. 본 발명의 다른 바람직한 구체예는, 약 18 내지 약 90 중량%의 물을 포함할 수 있다. 본 발명의 또 다른 바람직한 구체예는 약 35 내지 약 60 중량%의 물을 포함할 수 있다. 본 발명의 더 다른 바람직한 구체예는, 약 12 내지 약 25 중량%의 물을 포함할 수도 있다. 본 발명의 더 다른 바람직한 구체예는, 소정 중량 백분율의 다른 성분을 얻는 양으로 물을 포함할 수도 있다.
본 발명의 세정 조성물은, 기판 상에 존재하는 유기 잔류물을 주로 가용성으로하거나 또는 가용성으로 하는 것을 돕는 세정제로서 작용하는 우레아 유도체를 포함한다. 바람직하게, 우레아 유도체는 하기 화학식 1의 화합물이다:
화학식 1
Figure 112007009128168-pat00002
상기 화학식에서, R1 및 R3는 독립적으로 수소, C1-C4 알킬, 또는 C1-C4 알킬올이고; R2 및 R4는 독립적으로 C1-C4 알킬 또는 C1-C4 알킬올이다. C1-C4 알킬기 또는 C1-C4 알킬올기의 알킬 부분은 직쇄형 또는 분쇄형, 예를 들면, 메틸기, 에틸기, 프로필기, 이소프로필기, 및 부틸기일 수 있다. 바람직하게, 알킬올 부분은 같은 자리가 아니고, 즉, 에틸올 또는 1-알킬올이 아니다. 일반적으로, 화학식 1의 화합물은 실온에서 고체이다.
화학식 1에 따른 바람직한 우레아 유도체에는 1,1-디메틸우레아, 1,3-디메틸우레아, 1,1,3-트리메틸우레아, 1,1,3,3-테트라메틸우레아, 1,3-비스(2-히드록시에틸)우레아, 및 1-메틸, 3-(2-히드록시프로필)우레아, 및 이들의 혼합물이 포함된다. 가장 바람직한 우레아 유도체는 1,3-디메틸우레아이다.
대부분의 적용에서, 우레아 유도체의 양은, 조성물의 약 2 내지 약 75 중량%를 구성할 것이라 여겨진다. 우레아 유도체는 바람직하게는 조성물의 약 5 내지 약 70 중량%를, 가장 바람직하게는, 약 5 내지 약 67 중량%를 구성한다.
본 발명의 조성물에 사용되는 우레아 유도체는, 예를 들면 DMAC 또는 N-메틸 피롤리돈과 같은 종래의 유기 용매계 세정제와 비교하여 상대적으로 비독성이고 우수한 세정 특성을 가지고 있다. 바람직한 형태에서, 이들은 대단히 극성이고, 물에 높은 용해도를 가지며, 생분해성이다.
본 발명의 세정 조성물은 불화물 이온의 1 이상의 공급원도 포함한다. 불화물 이온은 주로 기판으로부터 무기 잔류물을 제거하는 데 도움을 주는 작용을 한다. 본 발명에 따라 불화물 이온원을 제공하는 전형적인 화합물은 불화수소산 및 그것의 염, 불화암모늄, 4급불화암모늄, 예컨대 테트라메틸암모늄 플루오리드, 테트라부틸암모늄 플루오리드, 플루오로붕산염, 플루오로붕산, 테트라부틸암모늄 테트라플루오로보레이트 및 알루미늄 헥사플루오리드이다. 또한, 지방족 1차, 2차 또는 3차 아민, 예컨대 하기 화학식의 아민의 불화물 염도 사용될 수 있다:
R5N(R6)R7
상기 화학식에서, R5, R6 및 R7은 각각 H 또는 (C1-C4)알킬기를 나타낸다. 일반적으로, R5, R6 및 R7기 중 탄소 원자의 총 수는 12개 이하이다.
바람직한 구체예에서, 불화물 이온원은 불화암모늄이나, 불화암모늄을 사용할 경우, 이 시스템으로부터 암모늄 이온을 제거하는 것이 바람직하다. 이것은 제조된 세정 조성물을 실온에서 장 시간 방치함으로써 달성되긴 그러나, 용액을 가열함으로써 그것들을 제거할 수도 있다.
불화물 이온의 공급원을 선택하는 데 있어, 상기 공급원이 세정된 표면에 악 영향을 주는 이온을 방출하는 경향이 있는지 여부에 대하여 고려해야 한다. 예를 들면, 반도체 소자를 세정하는데 있어, 세정 조성물 중 나트륨 또는 칼슘 이온의 존재는 소자의 표면에 역효과를 줄 수 있다.
세정 조성물 중 불화물 이온의 공급원으로서 사용되는 화합물의 양은, 대부분의 적용에 있어서, 약 0.1 내지 약 5 중량%를 포함할 것이라 여겨진다. 바람직하게, 화합물은 약 0.1 내지 약 3 중량%를, 가장 바람직하게는 약 0.1 내지 약 2.5 중량%를 포함한다. 그러나, 사용되는 불화물 이온의 양은 일반적으로 세정된 특정 기판에 달려있다고 이해되어야 한다. 예를 들면, 어떤 세정 적용에서 불화물 이온의 양은, 불화물 에칭에 대한 저항이 높은 유전 물질을 포함하는 기판을 세정할 경우, 상대적으로 높을 수 있다. 반대로, 다른 적용에서, 불화물 이온의 양은, 예를 들면 불화물 에칭에 대한 저항이 낮은 유전 물질을 포함하는 기판을 세정할 경우 상대적으로 낮아야 한다.
본 발명의 세정 조성물은 1 이상의 수-혼화성 유기 용매를 임의로 포함한다. 본 발명의 다양한 구체예에서, 기판 상의 금속 선은 일반적으로 수-혼화성 유기 용매가 사용될지 여부를 지시한다. 예를 들면, 알루미늄 선이 기판 상에 존재할 경우, 물 및 불화물 이온의 조합물은 일반적으로 알루미늄을 에칭하는 경향이 있다. 그런 구체예에서, 수-혼화성 유기 용매의 사용은, 알루미늄의 에칭을 제거하지는 않더라도 상당히 감소시킬 수 있다.
사용될 수 있는 수-혼화성 유기 용매의 예에는, 에틸렌 글리콜, 프로필렌 글리콜, 1,4-부탄디올, 트리프로필렌 글리콜 메틸 에테르, 프로필렌 글리콜 프로필 에테르, 디에틸렌 글리콘 n-부틸 에테르(예를 들어, 상표명 Dowanol DB 하에 상업적으로 입수 가능), 헥실옥시프로필아민, 폴리(옥시에틸렌)디아민, 디메틸술폭시드, 테트라히드로퍼푸릴 알콜, 글리세롤, 알콜, 술폭시드, 또는 이들의 혼합물이 있다. 바람직한 용매는 알콜, 디올 또는 이들의 혼합물이다. 가장 바람직한 용매는, 예를 들면 프로필렌 글리콜과 같은 디올이다.
대부분의 적용에서, 수-혼화성 유기 용매의 양은, 조성물의 약 5 내지 75 중량% 또는 약 10 내지 약 75 중량%를 구성할 것이라 여겨진다. 바람직하게, 용매는 조성물의 5 내지 약 70 중량%를, 가장 바람직하게, 약 5 중량% 내지 약 67 중량%를 구성한다.
또한, 본 발명의 세정 조성물은, 조성물의 pH를 일반적으로 약 3 내지 약 6 및, 더 일반적으로 약 3.5 내지 약 5.5의 범위 내로 제어하는 완충제를 임의로 포함한다. 완충의 사용이 유리하고, 게다가 매우 중요하기까지 한 다양한 적용이 있다. 예를 들면, 몇몇의 적용에서, pH 변화는 세정 및 기판 에칭에, 중요하고 바람직하지 않은 변화를 일으키는데, 반-수성 불화물-함유 스트리퍼는 pH 4.75에서 구리를 유의적으로 에칭시키지 않으나 pH 7.5 이상에서는 구리를 심하게 부식시켜 소자 임계 치수의 비허용적인 손실을 야기시킬 수 있다.
본 발명에 사용에 사용되는 완충제는 일반적으로 약산 및 약산의 짝염기를 함유하는 가용성 염을 포함한다. 예를 들면, 완충제는 약 유기 일산 및 아세트산 및 아세트산암모늄과 같은, 그의 짝염기를 포함할 수 있다. 다른 구체예에서, 완충제는 유기 이산과 조합하여 유기 및 무기 염기를 포함할 수 있다. 적합한 염기의 예에는 수산화암모늄, 아민, 및 4급 수산화암모늄이 포함된다. 반도체 적용에서, 염기는 금속 이온, 예를 들면 나트륨 및 칼륨을 포함하지 않는 것이 바람직한데, 이들이 기판을 오염시키는 경향이 있기 때문이다. 바람직한 염기에는 수산화암모늄 및 모노에탄올아민(MEA)이 있다.
세정 조성물의 pH는, 선택된 특정 일산 또는 이산 및 이들의 유효한 완충 범위에 따라, 약 1 내지 약 7, 더 일반적으로는 약 5.5 내지 약 6.0까지 어느 정도라도 다양해 질 수 있다. 이산은, 예를 들면 두 pKa 값에 의해 정의될 수 있고, 완충제는 주어진 pKa의 양쪽에서 일반적으로 약 0.75 pH 단위로 제조된다. 예를 들면, 말론산의 pKa 값은 pK1 = 2.8 및 pK2 = 5.7이다. 그러므로, 말론산이 pH 2.05 ~ 3.55 및, 다시 4.95 ~ 6.45에서 완충제로 작용하리라 기대할 수 있다. 마찬가지로, 아디프산의 pK 값은 pK1 = 4.5 및 pK2 = 5.5이다. 두 pH가 거의 중복되기 때문에, 아디프산의 유효한 완충 범위는 pH 3.75 및 6.25 사이이다.
대부분의 적용에서, 완충제는 조성물의 약 0.2 내지 약 30 중량%, 바람직하게는 조성물의 약 0.5 내지 약 30 중량%, 가장 바람직하게는 조성물의 약 0.5 내지 약 28 중량%를 구성한다고 사료되어진다.
본 발명의 세정 조성물은 부식-억제제도 임의로 포함한다. 부식-억제제의 사용은 조성물이 금속 기판을 세정하는 데 사용될 경우가 바람직하다. 부식-억제제의 예에는 방향족 히드록실 화합물, 아세틸렌 알콜, 카르복실기-함유 유기 화합물 및 이들의 무수물, 및 트리아졸 화합물이 포함된다.
예시적인 방향족 히드록실 화합물에는 페놀, 크레졸, 크실레놀, 피로카테콜, 레조르시놀, 히드로퀴논, 피로갈올, 1.2.4-벤젠트리올, 살리실 알콜, p-히드록시벤 질 알콜, o-히드록시벤질 알콜, p-히드록시페네틸 알콜, p-아미노페놀, m-아미노페놀, 디아미노페놀, 아미노 레조르시놀, p-히드록시벤조산, o-히드록시벤조산, 2,4-디히드록시벤조산, 2,5-디히드록시벤조산, 3,4-디히드록시벤조산 및 3,5-디히드록시벤조산이 포함된다.
예시적인 아세틸렌 알콜에는 2-부틴-1,4-디올, 3,5-디메틸-1-헥신-3-올, 2메틸-3-부틴-2-올, 3-메틸-1-펜틴-3-올, 3,6-디메틸-4-옥틴-3,6-디올, 2,4-7,9-테트라메틸-5-데킨-4,7-디올 및 2,5-디메틸-3-헥신 2,5-디올이 포함된다.
예시적인 카르복실기-함유 유기 화합물 및 이들의 무수물에는 포름산, 아세트산, 프로피온산, 부티르산, 이소부티르산, 옥살산, 말론산, 숙신산, 글루타르산, 말레산, 푸마르산, 벤조산, 프탈산, 1,2,3-벤젠트리카르복실산, 글리콜산, 젖산, 말레산, 시트르산, 아세트산 무수물 및 살리실산이 포함된다.
예시적인 트리아졸 화합물에는 벤조트리아졸, o-톨릴트리아졸, m-톨릴트리아졸, p-톨릴트리아졸, 카르복실벤조트리아졸, 1-히드록시벤조트리아졸, 니트로벤조트리아졸 및 디히드록시프로필벤조트리아졸이 포함된다.
바람직한 억제제는 카테콜, 갈산, 벤조트리아졸, 피로갈올, 4-메틸카테콜 푸마르산 및 디에틸히드록실아민(DEHA)이며, 벤조트리아졸은 구리와 결합하려는 경향이 있으므로 구리를 포함하는 기판을 세정할 경우에는 벤조트리아졸을 제외한 억제제를 사용하는 것이 바람직하다.
대부분의 적용에서, 부식-억제제는 조성물의 약 0.01 내지 약 5 중량%, 바람직하게는 조성물의 약 0.01 내지 약 4 중량%, 더 바람직하게는 조성물의 약 0.01 내지 3 중량%, 가장 바람직하게는 약 0.01 내지 약 2.5 중량%를 구성한다고 여겨진다.
세정 조성물에 사용될 수 있는 다른 임의의 성분은 금속 킬레이트화제이며, 이것은 조성물의 용액 중 금속 보유능 및 금속 잔류물의 용해 증진능을 증가시키는 작용을 한다. 이 목적에 유용한 킬레이트화제의 전형적인 예는 다음의 유기산 및 그들의 이성질체 및 염이다: (에틸렌디니트릴로)테르타아세트산(EDTA), 부틸렌디아민테트라아세트산, (1,2-시클로헥실렌디니트릴로-)테트라아세트산(CyDTA), 디에틸렌트리아민펜타아세트산(DETPA), 에틸렌디아민테트라프로피온산, (히드록시에틸)에틸렌디아민트리아세트산(HEDTA), N,N,N',N'-에틸렌디아민테트라(메틸렌포스포닉)산(EDTMP), 트리에틸렌테트라민헥사아세트산(TTHA), 1,3-디아미노-2-히드록시프로판-N,N,N',N'-테트라아세트산(DHPTA), 메틸이미노디아세트산, 프로필렌디아민테트라아세트산, 니트롤로트리아세트산(NTA), 시트르산, 타르타르산, 글루콘산, 당산, 글리세르산, 옥살산, 프탈산, 말레산, 만델산, 말론산, 젖산, 살리실산, 카테콜, 갈산, 프로필 갈레이트, 피로갈올, 8-히드록시퀴놀린, 및 시스테인. 바람직한 킬레이트화제는 EDTA, CyDTA와 같은 아미노카르복실산 및 EDTMP와 같은 아미노포스폰산이다.
대부분의 적용에서, 킬레이트화제는 조성물 중에 조성물의 0 내지 약 5 중량%의 양으로, 바람직하게 약 0.1 내지 2 중량%의 양으로 존재할 것이라고 여겨진다.
염료, 살생제 등과 같은 일반적으로 공지된 다른 성분은 세정 조성물에 통상적인 양, 예를 들어, 조성물의 약 5 중량% 이하의 총량으로 포함될 수 있다.
본 발명의 세정 조성물은, 일반적으로 모든 고체가 수계 매질에 용해될 때까지 실온에서 용기 내에 성분들을 함께 혼합하여 제조한다.
본 발명의 세정 조성물은 기판으로부터 원치 않는 잔류물을 제거할 때 사용될 수 있다. 조성물은, 반도체 소자를 제조하는 과정 동안 잔류물이 침착되거나 또는 형성된 반도체 기판을 세정하는 데 특히 유리하게 사용될 수 있다고 여겨지고 있으며, 그런 잔류물의 예에는 필름(포지티브 및 네거티브 모두)의 형태인 레지스트 조성물 및 건식 에칭 중에 형성된 에칭 침착물은 물론 화학적으로 분해된 레지스트 필름이 포함된다. 그 조성물의 사용은, 제거하고자 하는 잔류물이 레지스트 필름 및/또는 금속 필름-노출 표면을 갖는 반도체 기판 상의 에칭 침착물일 경우 특히 효과적이다. 기판 자체를 부식시킴 없이, 본 발명의 조성물을 사용하여 세정할 수 있는 기판의 예에는, 예를 들면 알루미늄 티타늄/텅스텐; 알루미늄/규소; 알루미늄/규소/구리; 산화규소; 질화규소; 및 갈륨/비소화물과 같은 금속 기판이 포함된다. 그런 기판들은 일반적으로 포토레지스트 및/또는 에칭후 침착물을 함유하는 잔류물을 포함한다.
본 발명의 세정 조성물을 사용하여 효과적으로 제거할 수 있는 레지스트 조성물의 예에는 에스테르 또는 o-나프토퀴논 및 노볼락-형 접합제를 함유하는 포토레지스트 및 화학적으로 블록킹된 폴리히드록시스티렌 또는 폴리히드록시스티렌의 공중합체를 함유하는 증폭된 레지스트 및 광산발생제가 포함된다. 시판되는 포토레지스트 조성물의 예에는 Clariant Corporation AZ 1518, AZ 4620, Shipley Company, Inc.포토레지스트 S1400, APEX-ETM positive DUV, UV5TM positive DUV, MegapositTM SPRTM 220 Series; JSR Microelectronics 포토레지스트 KRF® Series, ARF® Series; 및 Tokyo Ohka Kogyo Co.,Ltd. Photoresists TSCR Series 및 TDUR-P/N Series가 포함된다.
레지스트 필름 및/또는 금속 필름의 노출된 표면을 갖는 반도체 웨이퍼 상에서 에칭 잔류물을 제거하는 데 사용될 때 효과적인데 더하여, 본 세정 조성물은 금속 필름이 구리 또는 구리를 주성분으로 함유하는 구리 합금으로 제조되었을 때, 및 저-유전성 필름이 층간 절연 필름으로서 사용될 때 특히 효과적이다. 구리를 주성분으로 함유하는 구리 합금의 예에는, 구리 90 중량% 이상 및 예를 들어 Sn, Ag, Mg, Ni, Co, Ti, Si, 및 Al과 같은 기타 성분을 함유하는 것이 있다. 이 금속들은 저항성이 낮고 부품의 고속 처리를 개선키시지만, 화학 물질에 의해 쉽게 용해되거나 부식되기 때문에, 본 발명의 조성물의 "비-부식" 특성은 의의가 깊다.
세정 조성물은 에칭후 잔류물 및 회화후 잔류물, 다른 유기 및 무기 잔류물, 게다가 반도체 기판으로부터의 중합 잔류물을, 상대적으로 낮은 온도에서 부식 작용 거의 없이 제거하는 데 사용될 수 있다. 세정 조성물을 일정 시간 동안 표면에 적용해서 원하는 세정 효과를 얻는다. 그 시간은, 예를 들면 잔류물의 성질, 세정 조성물의 온도 및 사용된 특정 세정 조성물을 포함해서 다수의 요소에 따라 다양해질 수 있다. 일반적으로, 세정 조성물은 예를 들면, 기판을 약 25℃ 내지 약 85℃의 온도에서 약 1분 내지 약 1시간의 범위의 시간 동안 접촉하고, 이어서 세정 조 성물을 기판으로부터 씻어 내고 기판을 건조시킴으로써 사용될 수 있다.
그 접촉 단계는 임의의 적합한 수단, 예컨대 담금, 분무에 의해 또는 단일 웨이퍼 과정에 의하여 수행될 수 있으며, 포토레지스트, 회화 또는 에칭 침착물 및/또는 오염 물질을 제거하는 데 액체를 이용하는 임의의 방법을 사용할 수 있다.
헹굼 단계는 임의의 적합한 수단, 예를 들어, 담금 또는 분무 기술에 의해 기판을 탈이온수로 헹굼으로써 수행된다. 바람직한 구체예에서, 헹굼 단계는 탈이온수 및 수-혼화성 유기 용매(예컨대, 이소프로필 알콜)의 혼합물을 사용하여 수행된다.
건조 단계는 임의의 적합한 수단, 예를 들어 이소프로필 알콜(1PA) 증기 건조에 의해 또는 원심력에 의해 수행된다.
본 발명의 세정 조성물은 개질되어 기판에 손상시킴 없이 최적의 세정을 달성하므로, 고속 처리 세정이 제조 과정 중 유지될 수 있다는 것을 당업자는 이해할 것이다. 예를 들면, 당업자는, 예컨대 세정된 기판의 조성물, 제거될 잔류물의 성질 및 사용된 특정 과정 변수에 따라 성분의 몇몇 또는 전부의 양을 변화시킬 수 있음을 인식하게 될 것이다.
본 발명은 주로 반도체 기판을 세정하는 것과 관련하여 개시되어 왔긴 그러나, 본 발명의 세정 조성물은 유기 및 무기 잔류물을 포함하는 임의의 기판을 세정하는 데 사용될 수 있다.
실시예
하기의 실시예는 본 발명을 더 예시할 목적으로 제공된 것이지 본 발명을 제 한할 의도는 아니다.
세정 조성물의 제조를 위한 일반적 절차
본 실시예의 주제인 모든 조성물은 600 mL 비커에서 물질 500 g을 1"Teflon-코팅된 교반 막대로 혼합하여 제조하였다. 수-혼화성 유기 용매가 없는 조성물의 경우, 비커에 첨가한 제1 물질은 탈이온(DI)수였다. 물에 고가용성인 디메틸 우레아를 다음에 첨가하였다. 상대적으로 다량의 고체 디메틸 우레아(DMU)를 사용할 때는, 수용액이 맑아질 때까지 교반하면서 DMU를 물에 첨가하는 것이 권장된다. 그 다음, 남아있는 성분은 임의의 순서로 첨가할 수 있지만, 본 실시예에서 사용될 때 바람직한 순서는, 사용된다면 (1)아세트산, (2)불화암모늄(40%), 및 (3)아세트산암모늄이다.
수-혼화성 유기 용매를 포함하는 조성물의 경우, 조성물을 상기에 언급한 바와 같이 혼합그러나, 예를 들어 프로필렌 글리콜과 같은 용매는, 바람직하게 디메틸 우레아를 넣기 전에 물에 첨가한다. 이 결과로 생성된 용액은, 우레아가 물에 용해되는만큼 프로필렌 글리콜에서 용해되지 않기 때문에 맑아지는데 좀 더 걸린다.
기판의 조성물
본 실시예에서 사용된 각각의 기판은 오가노실리케이트 글래스(OSG) 유전 물질을, 질화규소 기판에 침착된 질화티타늄 캡핑층과 함께 포함한다. OSG는 반응성 이온 에칭(RIE)으로 에칭하여, 질화티타늄으로 캡핑된 OSG 선을 남겼다. RIE에 이어서, 기판을 플라즈마에서 처리하여 포토레지스트를 회화하였다. 도 1은 세정 전 에 기판 상의 잔류물을 보여준다.
처리 상태
600 rpm으로 맞춰진 ½" 둥근 Teflon 교반 막대로 400 mL 비커에 305 mL의 세정 조성물을 사용하여 세정 시험을 시행하였다. 그 세정 조성물을 하기에 표시된 소정 온도로, 필요하다면 가열판에서, 가열하였다. 어림잡아 ½" X ½" 크기의 웨이퍼 조각을, 하기 개시한 조건 하에서 조성물에 침지시켰다.
25℃에서 10분
25℃에서 20분
35℃에서 10분
35℃에서 20분
그 다음, 조각들을 3분 동안 DI수 오버플로우 배쓰에서 씻어낸 후, 여과된 질소를 사용하여 건조시켰다. 그 다음 SEM 현미경을 사용하여 청결함을 분석하였다.
에칭 속도 측정 절차
Al 블랭킷 또는 Cu 블랭킷 웨이퍼 조각에서, Creative Design Engineering, Inc의 ResMapTM model 273 저항력 기기를 사용해 층의 저항력을 측정하여, 금속층의 두께를 측정하였다. 상기 조각들을 소정 온도에서 1시간까지 조성물에 침지시켰다. 주기적으로 쿠폰을 조성물에서 제거하고, 탈이온수로 세정하고 건조하였고, 금속층의 두께를 다시 측정하였다. 침지 시간의 함수로서 두께의 변화 그래프를 만들었 고, 곡선의 기울기로부터 옹스트롬/분으로 에칭 속도를 결정하였다.
표 1은, 시험되고 하기에 참조된 조성물의 성분을 나타낸다.
성분 실시예1 실시예2 비교 실시 예A 비교 실시예 B 비교 실시예 C 비교 실시예 D
디메틸 우레아a 36.95 36.95
환형 우레아b 73.9
우레아 35 35 36.95
61.95 25.0 25.0 60 65 25.0
프로필렌 글리콜 36.95 36.95
아세트산 0.5 0.5 0.5 0.5
불화암모늄 수용액(40%) 0.4 0.4 0.4 0.4
아세트산암모늄 0.2 0.2 0.2 0.2
갈산 5
청정성 우수 우수 불충분 용해되지 않음 불충분 용해되지 않음
a = 1,3-디메틸 우레아
b = 1-(2-히드록시에틸)-2-이미다졸리디논(75% 수용액)
표 2 및 3은 예 1 및 2의 에칭 속도를 각각 요약한다.
실시예 1의 제제
기판 온도(℃) 에칭 속도(Å/분)
Al 25 409
Cu 25 1
산호 25 < 1
산호 35 < 1
TEOS* 25 1
TEOS* 40 2
TEOS** 25 2
TEOS** 40 7
* = 도핑되지 않은 것, 치밀화되지 않은 것
** = P-도핑된 것, 치밀화되지 않은 것
실시예 2의 제제
기판 온도(℃) 에칭 속도(Å/분)
Al 25 12
Al 35 21
Cu 25 2
Cu 35 3
실시예 1 및 2의 조성물은, 디메틸 우레아가 세정제인 본 발명에 따른 세정 조성물이다. 도 2 및 3은, 예 1 및 2의 조성물이 각각, 반도체 웨이퍼의 표면으로부터 에칭 잔류물 및 회화 잔류물을 제거하는 데 효과적인 조성물임을 나타낸다. 표 2는 실시예 1 및 2의 조성물이 기판 상의 금속을 에칭하지 않고, 효과적으로 세정한다는 것을 증명한다.
비교예 A의 조성은 우레아 유도체 성분을 제외하고는 예 1의 조성과 비슷하다. 이 점에 관해서는, 비교예 A의 조성물은 예를 들면, 미국 특허 6,423,480호에서 세정제로서 개시된 종류와 같은 환형 우레아를 사용한다. 도 4는 그런 환형 우레아가, 반도체 웨이퍼의 표면으로부터 에칭 잔류물 및 회화 잔류물을 제거하는데 비효과적임을 나타낸다.
비교예 B, C, 및 D는, 미국 특허 출원 공개공보 2001/0014534호("534 공보")에 개시된 조성물들의 세정 성능을 비교하고 있다. 도 5는, 조성물의 35 중량%로 우레아를 사용한 비교예 C의 조성물로 반도체 웨이퍼를 세정한 결과를 보여준다. 도 5와 도 2 및 3과의 비교는, 본 발명의 세정 조성물이 반도체 웨이퍼의 표면으로부터 에칭 잔류물 및 회화 잔류물을 제거하는 데 더 효과적임을 증명한다. 이 결과는, N-메틸-에탄올아민을 세정세로 사용하는 534 공보의 교시 내용과 일치한다.
비교예 B 및 D의 조성물을 제조하여 우레아의 세정 성능을 분석하였다. 비교예 B의 조성물은 우레아, 갈산, 및 물을 포함하고 있으며, 제조하여 세정제, 모노에탄올아민이 없는 543 공보의 표 1의 조성물 2를 평가하여 우레아의 세정 여부를 확인하였다. 비교예 D의 조성물은, 실시예 2의 우레아 유도체 성분이 우레아로 대체된 것을 제외하고는, 실시예 2와 비슷하다. 비교예 B 또는 D는, 성분의 전부가 용액에 용해되지 않기 때문에 평가되지 않았다.
전술한 실시예 및 바람직한 구체예의 개시는, 청구 범위에 정의된 바와 같이 본 발명을 제한한 것으로 보다는 설명한 것으로 취해져야 한다. 용이하게 알 수 있는 바와 같이, 상기에 설명된 특성의 많은 변화 및 조합은, 청구 범위에 설명된 바와 같이 본 발명으로부터 벗어남 없이 활용될 수 있다. 그러한 변화들은 본 발명의 개념 및 범위로부터 벗어난 것으로 여겨지지 않으며, 그 모든 변화들은 하기의 청구 범위 내에 포함되는 것으로 의도된다.
본 발명의 조성물은 탁월한 세정 특성을 가지고 있으며, 독성이 더 적고, 반도체 산업에서 현재 사용되고 있는 조성물보다 더 환경 친화적이다.

Claims (33)

  1. a) 물; b) 1 이상의 하기 화학식 1의 화합물; 및 c) 불화물 이온원을 포함하고, 추가로, d) 수-혼화성 유기 용매; e) 완충제; 및 f) 부식 억제제에서 선택되는 하나 이상의 물질을 포함할 수 있는, 반도체 기판으로부터 잔류물을 제거하기 위한 조성물:
    화학식 1
    Figure 112008023358418-pat00003
    상기 화학식에서,
    R1 및 R3는 독립적으로 수소, C1-C4 알킬, 또는 C1-C4 알킬올이고; R2 및 R4는 독립적으로 C1-C4 알킬, 또는 C1-C4 알킬올이다.
  2. a) 물 약 10.0 중량% 내지 약 90.0 중량%; b) 하기 화학식 1의 화합물 약 2.0 중량% 내지 약 75.0 중량%; 및 c) 불화물 이온원 약 0.1 중량% 내지 약 5.0 중량%를 포함하고, 추가로, d) 1 이상의 수-혼화성 유기 용매 약 10.0 중량% 내지 약 75.0 중량%; e) 완충제 약 0.2 중량% 내지 약 30.0 중량%; 및 f) 부식 억제제 약 0.01 중량% 내지 약 5.0 중량%에서 선택되는 하나 이상의 물질을 포함할 수 있는, 반도체 기판으로부터 잔류물을 제거하기 위한 세정 조성물:
    화학식 1
    Figure 112008023358418-pat00004
    상기 화학식에서,
    R1 및 R3는 독립적으로 수소, C1-C4 알킬, 또는 C1-C4 알킬올이고; R2 및 R4는 독립적으로 C1-C4 알킬, 또는 C1-C4 알킬올이다.
  3. 제1항 또는 제2항에 있어서, 화학식 1의 화합물이 1,1-디메틸우레아, 1,3-디 메틸우레아, 1,1,3-트리메틸우레아, 1,1,3,3-테트라메틸우레아, 1,3-비스(2-히드록시에틸)우레아, 1-메틸, 3-(2-히드록시프로필)우레아 및 이들의 혼합물로 이루어진 군으로부터 선택되는 것인 조성물.
  4. 제3항에 있어서, 화학식 1의 화합물이 1,1-디메틸우레아 및 1,3-디메틸우레아로 이루어진 군으로부터 선택되는 것인 조성물.
  5. 제1항 또는 제2항에 있어서, 불화물 이온원이, 불화수소산, 테트라메틸암모늄 플루오리드, 테트라부틸암모늄 플루오리드, 플루오로붕산염, 플루오로붕산, 알루미늄 헥사플루오리드, 메틸아민 히드로플루오리드, 에틸아민 히드로플루오리드, 프로필아민 히드로플루오리드, 및 화학식 R5N(R6)R7[여기서, R5, R6 및 R7은 각각 개별적으로 H 또는 (C1-C4)알킬기임]를 갖는 지방족 일차, 이차, 또는 삼차 아민의 불화물염으로 이루어진 군으로부터 선택되는 것인 조성물.
  6. 제5항에 있어서, 불화물 이온원이 불화암모늄인 조성물.
  7. 제1항 또는 제2항에 있어서, 프로필렌 글리콜, 트리프로필렌 글리콜 메틸 에테르, 1,4-부탄디올, 프로필렌 글리콜 프로필 에테르, 디에틸렌 글리콜 n-부틸 에테르, 헥실옥시프로필아민, 폴리(옥시에틸렌)디아민, 테트라히드로퍼푸릴 알콜, 및 이들의 혼합물로 이루어진 군으로부터 선택되는 수-혼화성 유기 용매를 포함하는 것인 조성물.
  8. 제7항에 있어서, 수-혼화성 유기 용매가 프로필렌 글리콜인 조성물.
  9. 제1항 또는 제2항에 있어서, 유기 이산을 함유하는 완충제를 포함하는 것인 조성물.
  10. 제1항 또는 제2항에 있어서, 아세트산 및 아세트산암모늄을 함유하는 완충제를 포함하는 것인 조성물.
  11. 제1항 또는 제2항에 있어서, 방향족 히드록실 화합물, 아세틸렌 알콜, 카르복실기 함유 유기 화합물 및 이들의 무수물, 트리아졸 화합물, 및 이들의 혼합물로 이루어진 군으로부터 선택된 부식 억제제를 포함하는 것인 조성물.
  12. 제11항에 있어서, 부식 억제제가 카테콜, 갈산, 피로갈올, 4-메틸 카테콜 푸마르산, 디에틸히드록실아민, 및 이들의 혼합물로 이루어진 군으로부터 선택되는 것인 조성물.
  13. 제2항에 있어서,
    a) 물 약 18.0 중량% 내지 약 90.0 중량%;
    b) 화학식 1의 화합물 약 5.0 중량% 내지 약 67.0 중량%;
    c) 불화물 이온원 약 0.1 중량% 내지 약 2.5 중량%;
    d) 완충제 약 0.5 중량% 내지 약 28.0 중량%; 및
    e) 부식 억제제 약 0.01 중량% 내지 약 2.5 중량%
    에 의해 본질적으로 이루어지는 조성물.
  14. 제13항에 있어서, 화학식 1의 화합물이 1,1-디메틸우레아 및 1,3-디메틸우레아로 이루어진 군으로부터 선택되는 것인 조성물.
  15. 제13항에 있어서, 킬레이트제를 더 포함하는 것인 조성물.
  16. 제2항에 있어서,
    a) 물 약 12.0 중량% 내지 약 25.0 중량%;
    b) 화학식 1의 화합물 약 5.0 중량% 내지 약 67.0 중량%;
    c) 불화물 이온원 약 0.1 중량% 내지 약 2.5 중량%;
    d) 1 이상의 수-혼화성 유기 용매 약 5.0 중량% 내지 약 67.0 중량%;
    e) 완충제 약 0.5 중량% 내지 약 28.0 중량%; 및
    f) 부식 억제제 약 0.01 중량% 내지 약 2.5 중량%
    에 의해 본질적으로 이루어지는 조성물.
  17. 제16항에 있어서, 킬레이트제를 더 포함하는 것인 조성물.
  18. 기판으로부터 잔류물을 제거하는 방법으로서,
    a) 물 약 10.0 중량% 내지 약 90.0 중량%; b) 하기 화학식 1의 화합물 약 2.0 중량% 내지 약 75 중량%; 및 c) 불화물 이온원 약 0.1 중량% 내지 약 5.0 중량%를 포함하고, 추가로, d) 1 이상의 수-혼화성 유기 용매 약 10.0 중량% 내지 약 75.0 중량%; e) 완충제 약 0.2 중량% 내지 약 30.0 중량%; 및 f) 부식 억제제 약 0.01 중량% 내지 약 5.0 중량%에서 선택되는 하나 이상의 물질을 포함할 수 있는 세정 조성물과 기판을 접촉시키는 단계;
    기판으로부터 세정 조성물을 헹구는 단계; 및
    기판을 건조하는 단계
    를 포함하는 방법:
    화학식 1
    Figure 112008023358418-pat00005
    상기 화학식에서,
    R1 및 R3는 독립적으로 수소, C1-C4 알킬, 또는 C1-C4 알킬올이고; R2 및 R4는 독립적으로 C1-C4 알킬, 또는 C1-C4 알킬올이다.
  19. 제18항에 있어서, 기판이 반도체 기판인 방법.
  20. 제19항에 있어서, 화학식 1의 화합물이 1,1-디메틸우레아, 1,3-디메틸우레아, 1,1,3-트리메틸우레아, 1,1,3,3-테트라메틸우레아, 1,3-비스(2-히드록시에틸)우레아, 및 1-메틸, 3-(2-히드록시프로필)우레아로 이루어진 군으로부터 선택되는 것인 방법.
  21. 제19항에 있어서, 화학식 1의 화합물이 1,1-디메틸우레아 및 1,3-디메틸우레아로 이루어진 군으로부터 선택되는 것인 방법.
  22. 제19항에 있어서, 불화물 이온원이 불화수소산, 테트라메틸암모늄 플루오리드, 테트라부틸암모늄 플루오리드, 플루오로붕산염, 플루오로붕산, 알루미늄 헥사플루오리드, 메틸아민 히드로플루오리드, 에틸아민 히드로플루오리드, 프로필아민 히드로플루오리드, 및 화학식 R5N(R6)R7[여기서, R5, R6 및 R7은 각각 개별적으로 H 또는 (C1-C4)알킬기임]를 갖는 지방족 일차, 이차 또는 삼차 아민의 불화물염으로 이루어진 군으로부터 선택되는 것인 방법.
  23. 제22항에 있어서, 불화물 이온원이 불화암모늄인 방법.
  24. 제19항에 있어서, 조성물이 프로필렌 글리콜, 트리프로필렌 글리콜 메틸 에테르, 1,4-부탄디올, 프로필렌 글리콜 프로필 에테르, 디에틸렌 글리콜 n-부틸 에테르, 헥실옥시프로필아민, 폴리(옥시에틸렌)디아민, 테트라히드로퍼푸릴 알콜, 및 이들의 혼합물로 이루어진 군으로부터 선택된 수-혼화성 유기 용매를 포함하는 것인 방법.
  25. 제24항에 있어서, 수-혼화성 유기 용매가 프로필렌 글리콜인 방법.
  26. 제19항에 있어서, 조성물이 유기 이산을 함유하는 완충제를 포함하는 것인 방법.
  27. 제19항에 있어서, 조성물이 아세트산 및 아세트산암모늄을 함유하는 완충제를 포함하는 것인 방법.
  28. 제19항에 있어서, 조성물이 방향족 히드록실 화합물, 아세틸렌 알콜, 카르복실기 함유 유기 화합물 및 이들의 무수물, 트리아졸 화합물, 및 이들의 혼합물로 이루어진 군으로부터 선택된 부식 억제제를 포함하는 것인 방법.
  29. 제26항에 있어서, 부식 억제제가 카테콜, 갈산, 피로갈올, 4-메틸 카테콜 푸마르산, 디에틸히드록실아민, 및 이들의 혼합물로 이루어진 군으로부터 선택되는 것인 방법.
  30. 제19항에 있어서, 조성물이
    a) 물 약 18.0 중량% 내지 약 90.0 중량%;
    b) 화학식 1의 화합물 약 5.0 중량% 내지 약 67.0 중량%;
    c) 불화물 이온원 약 0.1 중량% 내지 약 2.5 중량%;
    d) 완충제 약 0.5 중량% 내지 약 28.0 중량%; 및
    e) 부식 억제제 약 0.01 중량% 내지 약 2.5 중량%
    에 의해 본질적으로 이루어지는 것인 방법.
  31. 제30항에 있어서, 화학식 1의 화합물이 1,1-디메틸우레아 및 1,3-디메틸우레아로 이루어진 군으로부터 선택되는 것인 방법.
  32. 제19항에 있어서, 조성물이
    a) 물 약 12.0 중량% 내지 약 25.0 중량%;
    b) 화학식 1의 화합물 약 5.0 중량% 내지 약 67.0 중량%;
    c) 불화물 이온원 약 0.1 중량% 내지 약 2.5 중량%;
    d) 1 이상의 수-혼화성 유기 용매 약 5.0 중량% 내지 약 67.0 중량%;
    e) 완충제 약 0.5 중량% 내지 약 28.0 중량%; 및
    f) 부식 억제제 약 0.01 중량% 내지 약 2.5 중량%
    에 의해 본질적으로 이루어지는 것인 방법.
  33. 제32항에 있어서, 화학식 1의 화합물이 1,1-디메틸우레아 및 1,3-디메틸우레아로 이루어진 군으로부터 선택되는 것인 방법.
KR1020070009421A 2006-01-30 2007-01-30 세정 제제 KR100857865B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/342,414 2006-01-30
US11/342,414 US20070179072A1 (en) 2006-01-30 2006-01-30 Cleaning formulations

Publications (2)

Publication Number Publication Date
KR20070078817A KR20070078817A (ko) 2007-08-02
KR100857865B1 true KR100857865B1 (ko) 2008-09-10

Family

ID=38001890

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070009421A KR100857865B1 (ko) 2006-01-30 2007-01-30 세정 제제

Country Status (10)

Country Link
US (1) US20070179072A1 (ko)
EP (1) EP1813667B1 (ko)
JP (1) JP2007243162A (ko)
KR (1) KR100857865B1 (ko)
CN (1) CN101013273A (ko)
AT (1) ATE444351T1 (ko)
DE (1) DE602007002572D1 (ko)
IL (1) IL180944A0 (ko)
SG (1) SG134279A1 (ko)
TW (1) TW200728456A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101906122B1 (ko) 2018-07-09 2018-12-07 와이엠티 주식회사 Au 범프 표면 세정 조성물 및 세정 방법

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4988165B2 (ja) * 2005-03-11 2012-08-01 関東化学株式会社 フォトレジスト剥離液組成物及びフォトレジストの剥離方法
TWI622639B (zh) * 2005-06-07 2018-05-01 恩特葛瑞斯股份有限公司 金屬及介電相容犠牲抗反射塗層清洗及移除組成物
WO2007045269A1 (en) * 2005-10-21 2007-04-26 Freescale Semiconductor, Inc. Method for cleaning a semiconductor structure and chemistry thereof
JP4828451B2 (ja) * 2006-03-27 2011-11-30 東京エレクトロン株式会社 基板処理方法、半導体装置の製造方法および基板処理装置
US7772128B2 (en) * 2006-06-09 2010-08-10 Lam Research Corporation Semiconductor system with surface modification
US9058975B2 (en) * 2006-06-09 2015-06-16 Lam Research Corporation Cleaning solution formulations for substrates
CN101755324B (zh) * 2007-07-26 2011-10-12 三菱瓦斯化学株式会社 清洗和防腐用组合物及半导体元件或显示元件的制造方法
US8389453B2 (en) * 2007-11-07 2013-03-05 Vitech International, Inc. Tetrafluoroborate compounds, compositions and related methods of use
US20090229629A1 (en) * 2008-03-14 2009-09-17 Air Products And Chemicals, Inc. Stripper For Copper/Low k BEOL Clean
KR101426090B1 (ko) * 2008-04-18 2014-08-06 에스케이하이닉스 주식회사 상변화 메모리 소자용 세정액 조성물 및 이를 이용한상변화 메모리 소자의 제조 방법
US20110189049A1 (en) * 2008-05-09 2011-08-04 Martin Beaulieu Method for treating odors
JP5206177B2 (ja) * 2008-07-09 2013-06-12 三菱瓦斯化学株式会社 レジスト剥離液組成物およびそれを用いた半導体素子の製造方法
US8361237B2 (en) * 2008-12-17 2013-01-29 Air Products And Chemicals, Inc. Wet clean compositions for CoWP and porous dielectrics
US8518865B2 (en) * 2009-08-31 2013-08-27 Air Products And Chemicals, Inc. Water-rich stripping and cleaning formulation and method for using same
KR101829399B1 (ko) * 2010-03-04 2018-03-30 삼성전자주식회사 감광성 수지 제거제 조성물 및 이를 이용하는 반도체 제조 공정
JP5513196B2 (ja) 2010-03-25 2014-06-04 富士フイルム株式会社 洗浄組成物及び半導体装置の製造方法
CN101838111B (zh) * 2010-05-20 2012-06-27 合肥茂丰电子科技有限公司 玻璃基板蚀刻液及其制备方法
US8889609B2 (en) * 2011-03-16 2014-11-18 Air Products And Chemicals, Inc. Cleaning formulations and method of using the cleaning formulations
US9536730B2 (en) * 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations
DE102015106026B3 (de) 2015-04-20 2016-08-25 Interroll Holding Ag Verfahren zum Austausch einer Steuerungseinheit in einer Fördervorrichtung
US10934484B2 (en) * 2018-03-09 2021-03-02 Versum Materials Us, Llc Etching solution for selectively removing silicon-germanium alloy from a silicon-germanium/ germanium stack during manufacture of a semiconductor device
CN109234048A (zh) * 2018-09-05 2019-01-18 合肥久新不锈钢厨具有限公司 一种不锈钢清洁剂组合物及其应用
CN109112557A (zh) * 2018-09-05 2019-01-01 合肥久新不锈钢厨具有限公司 一种新型抗菌不锈钢清洁剂的制备方法
CN109055954A (zh) * 2018-09-05 2018-12-21 合肥久新不锈钢厨具有限公司 一种复合环保不锈钢清洗剂及其使用方法
JP7323870B2 (ja) * 2019-03-14 2023-08-09 日産化学株式会社 洗浄剤組成物及び洗浄方法
WO2020195343A1 (ja) * 2019-03-26 2020-10-01 富士フイルムエレクトロニクスマテリアルズ株式会社 洗浄液
CN114127230A (zh) * 2019-07-15 2022-03-01 弗萨姆材料美国有限责任公司 用于去除蚀刻残留物的组合物、其使用方法及用途
KR20220083186A (ko) * 2020-12-11 2022-06-20 동우 화인켐 주식회사 고분자 처리용 공정액

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010063320A (ko) * 1999-12-22 2001-07-09 윤종용 반도체기판 세정방법

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3114657A (en) * 1960-08-29 1963-12-17 John W Stilwell Composition and method for cleaning and stripping metals
DE1227178B (de) * 1963-10-11 1966-10-20 Knapsack Ag Fluessige Reinigungsmittel
US5308743A (en) * 1989-06-29 1994-05-03 Fuji Photo Film Co., Ltd. Positive image-forming process utilizing glass substrate with oxide film of indium-tin alloy, coated with o-quinonediazide photoresist, with etching of the oxide film in the imagewise exposed areas
US5669980A (en) * 1995-03-24 1997-09-23 Atotech Usa, Inc. Aluminum desmut composition and process
WO1998004646A1 (en) * 1996-07-25 1998-02-05 Ekc Technology, Inc. Chemical mechanical polishing composition and process
US6896826B2 (en) * 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6247042B1 (en) * 1997-09-24 2001-06-12 Microsoft Corporation Method and system for restoring the state of physical memory as the focus changes among application programs in a computer
US6432828B2 (en) * 1998-03-18 2002-08-13 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
TWI227726B (en) * 1999-07-08 2005-02-11 Eternal Chemical Co Ltd Chemical-mechanical abrasive composition and method
JP2001183850A (ja) * 1999-12-27 2001-07-06 Sumitomo Chem Co Ltd 剥離剤組成物
JP3869608B2 (ja) * 2000-01-25 2007-01-17 Necエレクトロニクス株式会社 防食剤
JP3339575B2 (ja) * 2000-01-25 2002-10-28 日本電気株式会社 剥離剤組成物および剥離方法
WO2002003143A2 (en) * 2000-06-29 2002-01-10 Huntsman Petrochemical Corporation Alkylene carbonate-based photoresist stripping compositions
TW573217B (en) * 2000-12-27 2004-01-21 Sumitomo Chemical Co Remover composition
US6642199B2 (en) * 2001-04-19 2003-11-04 Hubbard-Hall, Inc. Composition for stripping nickel from substrates and process
JP4810764B2 (ja) * 2001-06-29 2011-11-09 三菱瓦斯化学株式会社 レジスト剥離剤組成物
MY143399A (en) * 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
US6692546B2 (en) * 2001-08-14 2004-02-17 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
KR100942124B1 (ko) * 2002-01-24 2010-02-16 제이에스알 가부시끼가이샤 절연막 형성용 감방사선성 조성물, 절연막 및 표시 소자
US6800142B1 (en) * 2002-05-30 2004-10-05 Novellus Systems, Inc. Method for removing photoresist and post-etch residue using activated peroxide followed by supercritical fluid treatment
JP2004029276A (ja) * 2002-06-25 2004-01-29 Mitsubishi Gas Chem Co Inc 銅配線基板向け含フッ素レジスト剥離液
US6677286B1 (en) * 2002-07-10 2004-01-13 Air Products And Chemicals, Inc. Compositions for removing etching residue and use thereof
DE10331033B4 (de) * 2002-07-12 2010-04-29 Ekc Technology K.K. R&D Business Park Bldg. D-3F, Kawasaki Herstellungsverfahren einer Halbleitervorrichtung und Reinigungszusammensetzung dafür
US20040077295A1 (en) * 2002-08-05 2004-04-22 Hellring Stuart D. Process for reducing dishing and erosion during chemical mechanical planarization
US20040055621A1 (en) * 2002-09-24 2004-03-25 Air Products And Chemicals, Inc. Processing of semiconductor components with dense processing fluids and ultrasonic energy
US7166419B2 (en) * 2002-09-26 2007-01-23 Air Products And Chemicals, Inc. Compositions substrate for removing etching residue and use thereof
US6951710B2 (en) * 2003-05-23 2005-10-04 Air Products And Chemicals, Inc. Compositions suitable for removing photoresist, photoresist byproducts and etching residue, and use thereof
US6946396B2 (en) * 2003-10-30 2005-09-20 Nissan Chemical Indusries, Ltd. Maleic acid and ethylene urea containing formulation for removing residue from semiconductor substrate and method for cleaning wafer

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010063320A (ko) * 1999-12-22 2001-07-09 윤종용 반도체기판 세정방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101906122B1 (ko) 2018-07-09 2018-12-07 와이엠티 주식회사 Au 범프 표면 세정 조성물 및 세정 방법

Also Published As

Publication number Publication date
CN101013273A (zh) 2007-08-08
EP1813667B1 (en) 2009-09-30
SG134279A1 (en) 2007-08-29
KR20070078817A (ko) 2007-08-02
US20070179072A1 (en) 2007-08-02
IL180944A0 (en) 2007-07-04
EP1813667A1 (en) 2007-08-01
ATE444351T1 (de) 2009-10-15
DE602007002572D1 (de) 2009-11-12
JP2007243162A (ja) 2007-09-20
TW200728456A (en) 2007-08-01

Similar Documents

Publication Publication Date Title
KR100857865B1 (ko) 세정 제제
KR101960351B1 (ko) 세정 포뮬레이션
JP6546080B2 (ja) クリーニング用組成物
JP4909908B2 (ja) 銅とlow−k誘電材料を有する基板からレジスト、エッチング残渣、及び酸化銅を除去する方法
KR101535283B1 (ko) 세정 포뮬레이션 및 세정 포뮬레이션을 사용하는 방법
US10647950B2 (en) Cleaning formulations
KR100963374B1 (ko) 반도체 기판용 세정 조성물
US7888302B2 (en) Aqueous based residue removers comprising fluoride
JP2007016232A (ja) カチオン塩含有残留物除去用の組成物及びそれを使用する方法
EP3599633A1 (en) Post etch residue cleaning compositions and methods of using the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee