TWI449784B - 用以移除蝕刻後殘餘物之液體清洗劑 - Google Patents
用以移除蝕刻後殘餘物之液體清洗劑 Download PDFInfo
- Publication number
- TWI449784B TWI449784B TW096149626A TW96149626A TWI449784B TW I449784 B TWI449784 B TW I449784B TW 096149626 A TW096149626 A TW 096149626A TW 96149626 A TW96149626 A TW 96149626A TW I449784 B TWI449784 B TW I449784B
- Authority
- TW
- Taiwan
- Prior art keywords
- weight
- acid
- residue
- microelectronic device
- ether
- Prior art date
Links
- 239000007788 liquid Substances 0.000 title 1
- 239000000203 mixture Substances 0.000 claims description 361
- DNIAPMSPPWPWGF-UHFFFAOYSA-N Propylene glycol Chemical class CC(O)CO DNIAPMSPPWPWGF-UHFFFAOYSA-N 0.000 claims description 219
- 238000004140 cleaning Methods 0.000 claims description 164
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 155
- 238000004377 microelectronic Methods 0.000 claims description 138
- 239000003960 organic solvent Substances 0.000 claims description 115
- 229910052751 metal Inorganic materials 0.000 claims description 110
- 239000002184 metal Substances 0.000 claims description 110
- 239000003112 inhibitor Substances 0.000 claims description 107
- 230000007797 corrosion Effects 0.000 claims description 106
- 238000005260 corrosion Methods 0.000 claims description 106
- 239000002738 chelating agent Substances 0.000 claims description 94
- 239000000463 material Substances 0.000 claims description 93
- CIWBSHSKHKDKBQ-JLAZNSOCSA-N Ascorbic acid Natural products OC[C@H](O)[C@H]1OC(=O)C(O)=C1O CIWBSHSKHKDKBQ-JLAZNSOCSA-N 0.000 claims description 57
- 229910000420 cerium oxide Inorganic materials 0.000 claims description 53
- 238000000034 method Methods 0.000 claims description 53
- BMMGVYCKOGBVEV-UHFFFAOYSA-N oxo(oxoceriooxy)cerium Chemical compound [Ce]=O.O=[Ce]=O BMMGVYCKOGBVEV-UHFFFAOYSA-N 0.000 claims description 53
- 239000003795 chemical substances by application Substances 0.000 claims description 52
- 239000002253 acid Substances 0.000 claims description 49
- 239000010949 copper Substances 0.000 claims description 48
- 229910052802 copper Inorganic materials 0.000 claims description 47
- 229910052721 tungsten Inorganic materials 0.000 claims description 46
- 239000004094 surface-active agent Substances 0.000 claims description 43
- AFVFQIVMOAPDHO-UHFFFAOYSA-N Methanesulfonic acid Chemical compound CS(O)(=O)=O AFVFQIVMOAPDHO-UHFFFAOYSA-N 0.000 claims description 38
- -1 tetramethylammonium hexafluorophosphate Chemical compound 0.000 claims description 36
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims description 35
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 35
- 239000010937 tungsten Substances 0.000 claims description 34
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical group [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 29
- 239000011668 ascorbic acid Substances 0.000 claims description 29
- 235000010323 ascorbic acid Nutrition 0.000 claims description 29
- 229960005070 ascorbic acid Drugs 0.000 claims description 29
- 238000001020 plasma etching Methods 0.000 claims description 29
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical group [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 claims description 28
- 239000010936 titanium Substances 0.000 claims description 28
- 239000010941 cobalt Substances 0.000 claims description 27
- 229910017052 cobalt Inorganic materials 0.000 claims description 27
- 229910052719 titanium Inorganic materials 0.000 claims description 27
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 claims description 23
- QTBSBXVTEAMEQO-UHFFFAOYSA-N Acetic acid Chemical compound CC(O)=O QTBSBXVTEAMEQO-UHFFFAOYSA-N 0.000 claims description 21
- UKODFQOELJFMII-UHFFFAOYSA-N pentamethyldiethylenetriamine Chemical compound CN(C)CCN(C)CCN(C)C UKODFQOELJFMII-UHFFFAOYSA-N 0.000 claims description 21
- 229940098779 methanesulfonic acid Drugs 0.000 claims description 19
- LYCAIKOWRPUZTN-UHFFFAOYSA-N ethylene glycol Natural products OCCO LYCAIKOWRPUZTN-UHFFFAOYSA-N 0.000 claims description 17
- SSZWWUDQMAHNAQ-UHFFFAOYSA-N 3-chloropropane-1,2-diol Chemical compound OCC(O)CCl SSZWWUDQMAHNAQ-UHFFFAOYSA-N 0.000 claims description 16
- YEJRWHAVMIAJKC-UHFFFAOYSA-N 4-Butyrolactone Chemical compound O=C1CCCO1 YEJRWHAVMIAJKC-UHFFFAOYSA-N 0.000 claims description 16
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 claims description 16
- 229910052718 tin Inorganic materials 0.000 claims description 16
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 claims description 15
- 239000012964 benzotriazole Substances 0.000 claims description 15
- RAXXELZNTBOGNW-UHFFFAOYSA-N imidazole Natural products C1=CNC=N1 RAXXELZNTBOGNW-UHFFFAOYSA-N 0.000 claims description 15
- WAEVWDZKMBQDEJ-UHFFFAOYSA-N 2-[2-(2-methoxypropoxy)propoxy]propan-1-ol Chemical compound COC(C)COC(C)COC(C)CO WAEVWDZKMBQDEJ-UHFFFAOYSA-N 0.000 claims description 14
- WDJHALXBUFZDSR-UHFFFAOYSA-N acetoacetic acid Chemical compound CC(=O)CC(O)=O WDJHALXBUFZDSR-UHFFFAOYSA-N 0.000 claims description 12
- UAOMVDZJSHZZME-UHFFFAOYSA-N diisopropylamine Chemical compound CC(C)NC(C)C UAOMVDZJSHZZME-UHFFFAOYSA-N 0.000 claims description 12
- 150000002466 imines Chemical class 0.000 claims description 12
- YGSDEFSMJLZEOE-UHFFFAOYSA-N salicylic acid Chemical compound OC(=O)C1=CC=CC=C1O YGSDEFSMJLZEOE-UHFFFAOYSA-N 0.000 claims description 12
- 150000001875 compounds Chemical class 0.000 claims description 10
- IAZDPXIOMUYVGZ-UHFFFAOYSA-N Dimethylsulphoxide Chemical compound CS(C)=O IAZDPXIOMUYVGZ-UHFFFAOYSA-N 0.000 claims description 9
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 claims description 9
- DHMQDGOQFOQNFH-UHFFFAOYSA-N Glycine Chemical compound NCC(O)=O DHMQDGOQFOQNFH-UHFFFAOYSA-N 0.000 claims description 8
- KFZMGEQAYNKOFK-UHFFFAOYSA-N Isopropanol Chemical compound CC(C)O KFZMGEQAYNKOFK-UHFFFAOYSA-N 0.000 claims description 8
- KYQCOXFCLRTKLS-UHFFFAOYSA-N Pyrazine Chemical compound C1=CN=CC=N1 KYQCOXFCLRTKLS-UHFFFAOYSA-N 0.000 claims description 8
- 230000008569 process Effects 0.000 claims description 8
- 239000000126 substance Substances 0.000 claims description 8
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 claims description 7
- ZMXDDKWLCZADIW-UHFFFAOYSA-N N,N-dimethylformamide Substances CN(C)C=O ZMXDDKWLCZADIW-UHFFFAOYSA-N 0.000 claims description 7
- 239000000758 substrate Substances 0.000 claims description 7
- KAESVJOAVNADME-UHFFFAOYSA-N 1H-pyrrole Natural products C=1C=CNC=1 KAESVJOAVNADME-UHFFFAOYSA-N 0.000 claims description 6
- CUDYYMUUJHLCGZ-UHFFFAOYSA-N 2-(2-methoxypropoxy)propan-1-ol Chemical compound COC(C)COC(C)CO CUDYYMUUJHLCGZ-UHFFFAOYSA-N 0.000 claims description 6
- CSCPPACGZOOCGX-UHFFFAOYSA-N Acetone Chemical compound CC(C)=O CSCPPACGZOOCGX-UHFFFAOYSA-N 0.000 claims description 6
- WEVYAHXRMPXWCK-UHFFFAOYSA-N Acetonitrile Chemical compound CC#N WEVYAHXRMPXWCK-UHFFFAOYSA-N 0.000 claims description 6
- YMWUJEATGCHHMB-UHFFFAOYSA-N Dichloromethane Chemical compound ClCCl YMWUJEATGCHHMB-UHFFFAOYSA-N 0.000 claims description 6
- RTZKZFJDLAIYFH-UHFFFAOYSA-N Diethyl ether Chemical compound CCOCC RTZKZFJDLAIYFH-UHFFFAOYSA-N 0.000 claims description 6
- OKKJLVBELUTLKV-UHFFFAOYSA-N Methanol Chemical compound OC OKKJLVBELUTLKV-UHFFFAOYSA-N 0.000 claims description 6
- WYURNTSHIVDZCO-UHFFFAOYSA-N Tetrahydrofuran Chemical compound C1CCOC1 WYURNTSHIVDZCO-UHFFFAOYSA-N 0.000 claims description 6
- ZMANZCXQSJIPKH-UHFFFAOYSA-N Triethylamine Chemical compound CCN(CC)CC ZMANZCXQSJIPKH-UHFFFAOYSA-N 0.000 claims description 6
- 238000001035 drying Methods 0.000 claims description 6
- OAKJQQAXSVQMHS-UHFFFAOYSA-N hydrazine Substances NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 claims description 6
- FJKROLUGYXJWQN-UHFFFAOYSA-N papa-hydroxy-benzoic acid Natural products OC(=O)C1=CC=C(O)C=C1 FJKROLUGYXJWQN-UHFFFAOYSA-N 0.000 claims description 6
- UMJSCPRVCHMLSP-UHFFFAOYSA-N pyridine Natural products COC1=CC=CN=C1 UMJSCPRVCHMLSP-UHFFFAOYSA-N 0.000 claims description 6
- 229960004889 salicylic acid Drugs 0.000 claims description 6
- YHMYGUUIMTVXNW-UHFFFAOYSA-N 1,3-dihydrobenzimidazole-2-thione Chemical compound C1=CC=C2NC(S)=NC2=C1 YHMYGUUIMTVXNW-UHFFFAOYSA-N 0.000 claims description 5
- NSPMIYGKQJPBQR-UHFFFAOYSA-N 4H-1,2,4-triazole Chemical compound C=1N=CNN=1 NSPMIYGKQJPBQR-UHFFFAOYSA-N 0.000 claims description 5
- LFTLOKWAGJYHHR-UHFFFAOYSA-N N-methylmorpholine N-oxide Chemical compound CN1(=O)CCOCC1 LFTLOKWAGJYHHR-UHFFFAOYSA-N 0.000 claims description 5
- WGCNASOHLSPBMP-UHFFFAOYSA-N hydroxyacetaldehyde Natural products OCC=O WGCNASOHLSPBMP-UHFFFAOYSA-N 0.000 claims description 5
- 229920000642 polymer Polymers 0.000 claims description 5
- AZQWKYJCGOJGHM-UHFFFAOYSA-N 1,4-benzoquinone Chemical compound O=C1C=CC(=O)C=C1 AZQWKYJCGOJGHM-UHFFFAOYSA-N 0.000 claims description 4
- ARXJGSRGQADJSQ-UHFFFAOYSA-N 1-methoxypropan-2-ol Chemical compound COCC(C)O ARXJGSRGQADJSQ-UHFFFAOYSA-N 0.000 claims description 4
- IBLKWZIFZMJLFL-UHFFFAOYSA-N 1-phenoxypropan-2-ol Chemical compound CC(O)COC1=CC=CC=C1 IBLKWZIFZMJLFL-UHFFFAOYSA-N 0.000 claims description 4
- OISVCGZHLKNMSJ-UHFFFAOYSA-N 2,6-dimethylpyridine Chemical compound CC1=CC=CC(C)=N1 OISVCGZHLKNMSJ-UHFFFAOYSA-N 0.000 claims description 4
- JZBCTZLGKSYRSF-UHFFFAOYSA-N 2-Ethyl-3,5-dimethylpyrazine Chemical compound CCC1=NC=C(C)N=C1C JZBCTZLGKSYRSF-UHFFFAOYSA-N 0.000 claims description 4
- KDSNLYIMUZNERS-UHFFFAOYSA-N 2-methylpropanamine Chemical compound CC(C)CN KDSNLYIMUZNERS-UHFFFAOYSA-N 0.000 claims description 4
- BSKHPKMHTQYZBB-UHFFFAOYSA-N 2-methylpyridine Chemical compound CC1=CC=CC=N1 BSKHPKMHTQYZBB-UHFFFAOYSA-N 0.000 claims description 4
- ULRPISSMEBPJLN-UHFFFAOYSA-N 2h-tetrazol-5-amine Chemical compound NC1=NN=NN1 ULRPISSMEBPJLN-UHFFFAOYSA-N 0.000 claims description 4
- MBDPTRRYRXXGCZ-UHFFFAOYSA-N 3-hydroxyquinoline-2-sulfonic acid Chemical compound C1=CC=C2N=C(S(O)(=O)=O)C(O)=CC2=C1 MBDPTRRYRXXGCZ-UHFFFAOYSA-N 0.000 claims description 4
- GDGIVSREGUOIJZ-UHFFFAOYSA-N 5-amino-3h-1,3,4-thiadiazole-2-thione Chemical compound NC1=NN=C(S)S1 GDGIVSREGUOIJZ-UHFFFAOYSA-N 0.000 claims description 4
- KLSJWNVTNUYHDU-UHFFFAOYSA-N Amitrole Chemical compound NC1=NC=NN1 KLSJWNVTNUYHDU-UHFFFAOYSA-N 0.000 claims description 4
- PAYRUJLWNCNPSJ-UHFFFAOYSA-N Aniline Chemical compound NC1=CC=CC=C1 PAYRUJLWNCNPSJ-UHFFFAOYSA-N 0.000 claims description 4
- HEDRZPFGACZZDS-UHFFFAOYSA-N Chloroform Chemical compound ClC(Cl)Cl HEDRZPFGACZZDS-UHFFFAOYSA-N 0.000 claims description 4
- FCKYPQBAHLOOJQ-UHFFFAOYSA-N Cyclohexane-1,2-diaminetetraacetic acid Chemical compound OC(=O)CN(CC(O)=O)C1CCCCC1N(CC(O)=O)CC(O)=O FCKYPQBAHLOOJQ-UHFFFAOYSA-N 0.000 claims description 4
- ROSDSFDQCJNGOL-UHFFFAOYSA-N Dimethylamine Chemical compound CNC ROSDSFDQCJNGOL-UHFFFAOYSA-N 0.000 claims description 4
- KCXVZYZYPLLWCC-UHFFFAOYSA-N EDTA Chemical compound OC(=O)CN(CC(O)=O)CCN(CC(O)=O)CC(O)=O KCXVZYZYPLLWCC-UHFFFAOYSA-N 0.000 claims description 4
- QUSNBJAOOMFDIB-UHFFFAOYSA-N Ethylamine Chemical compound CCN QUSNBJAOOMFDIB-UHFFFAOYSA-N 0.000 claims description 4
- 239000004471 Glycine Substances 0.000 claims description 4
- BAVYZALUXZFZLV-UHFFFAOYSA-N Methylamine Chemical compound NC BAVYZALUXZFZLV-UHFFFAOYSA-N 0.000 claims description 4
- SECXISVLQFMRJM-UHFFFAOYSA-N N-Methylpyrrolidone Chemical compound CN1CCCC1=O SECXISVLQFMRJM-UHFFFAOYSA-N 0.000 claims description 4
- PCNDJXKNXGMECE-UHFFFAOYSA-N Phenazine Natural products C1=CC=CC2=NC3=CC=CC=C3N=C21 PCNDJXKNXGMECE-UHFFFAOYSA-N 0.000 claims description 4
- NQRYJNQNLNOLGT-UHFFFAOYSA-N Piperidine Chemical compound C1CCNCC1 NQRYJNQNLNOLGT-UHFFFAOYSA-N 0.000 claims description 4
- JUJWROOIHBZHMG-UHFFFAOYSA-N Pyridine Chemical compound C1=CC=NC=C1 JUJWROOIHBZHMG-UHFFFAOYSA-N 0.000 claims description 4
- SMWDFEZZVXVKRB-UHFFFAOYSA-N Quinoline Chemical compound N1=CC=CC2=CC=CC=C21 SMWDFEZZVXVKRB-UHFFFAOYSA-N 0.000 claims description 4
- YTPLMLYBLZKORZ-UHFFFAOYSA-N Thiophene Chemical compound C=1C=CSC=1 YTPLMLYBLZKORZ-UHFFFAOYSA-N 0.000 claims description 4
- IQFYYKKMVGJFEH-XLPZGREQSA-N Thymidine Chemical compound O=C1NC(=O)C(C)=CN1[C@@H]1O[C@H](CO)[C@@H](O)C1 IQFYYKKMVGJFEH-XLPZGREQSA-N 0.000 claims description 4
- XSQUKJJJFZCRTK-UHFFFAOYSA-N Urea Natural products NC(N)=O XSQUKJJJFZCRTK-UHFFFAOYSA-N 0.000 claims description 4
- 150000001412 amines Chemical class 0.000 claims description 4
- IOJUPLGTWVMSFF-UHFFFAOYSA-N benzothiazole Chemical compound C1=CC=C2SC=NC2=C1 IOJUPLGTWVMSFF-UHFFFAOYSA-N 0.000 claims description 4
- OPTASPLRGRRNAP-UHFFFAOYSA-N cytosine Chemical compound NC=1C=CNC(=O)N=1 OPTASPLRGRRNAP-UHFFFAOYSA-N 0.000 claims description 4
- 229940043279 diisopropylamine Drugs 0.000 claims description 4
- XBDQKXXYIPTUBI-UHFFFAOYSA-N dimethylselenoniopropionate Natural products CCC(O)=O XBDQKXXYIPTUBI-UHFFFAOYSA-N 0.000 claims description 4
- LZCLXQDLBQLTDK-UHFFFAOYSA-N ethyl 2-hydroxypropanoate Chemical compound CCOC(=O)C(C)O LZCLXQDLBQLTDK-UHFFFAOYSA-N 0.000 claims description 4
- MTZQAGJQAFMTAQ-UHFFFAOYSA-N ethyl benzoate Chemical compound CCOC(=O)C1=CC=CC=C1 MTZQAGJQAFMTAQ-UHFFFAOYSA-N 0.000 claims description 4
- RWSXRVCMGQZWBV-WDSKDSINSA-N glutathione Chemical compound OC(=O)[C@@H](N)CCC(=O)N[C@@H](CS)C(=O)NCC(O)=O RWSXRVCMGQZWBV-WDSKDSINSA-N 0.000 claims description 4
- UYTPUPDQBNUYGX-UHFFFAOYSA-N guanine Chemical compound O=C1NC(N)=NC2=C1N=CN2 UYTPUPDQBNUYGX-UHFFFAOYSA-N 0.000 claims description 4
- AWJUIBRHMBBTKR-UHFFFAOYSA-N isoquinoline Chemical compound C1=NC=CC2=CC=CC=C21 AWJUIBRHMBBTKR-UHFFFAOYSA-N 0.000 claims description 4
- CRVGTESFCCXCTH-UHFFFAOYSA-N methyl diethanolamine Chemical compound OCCN(C)CCO CRVGTESFCCXCTH-UHFFFAOYSA-N 0.000 claims description 4
- TZIHFWKZFHZASV-UHFFFAOYSA-N methyl formate Chemical compound COC=O TZIHFWKZFHZASV-UHFFFAOYSA-N 0.000 claims description 4
- SUVIGLJNEAMWEG-UHFFFAOYSA-N propane-1-thiol Chemical compound CCCS SUVIGLJNEAMWEG-UHFFFAOYSA-N 0.000 claims description 4
- PBMFSQRYOILNGV-UHFFFAOYSA-N pyridazine Chemical compound C1=CC=NN=C1 PBMFSQRYOILNGV-UHFFFAOYSA-N 0.000 claims description 4
- UMGDCJDMYOKAJW-UHFFFAOYSA-N thiourea Chemical compound NC(N)=S UMGDCJDMYOKAJW-UHFFFAOYSA-N 0.000 claims description 4
- IAEGWXHKWJGQAZ-UHFFFAOYSA-N trimethylpyrazine Chemical compound CC1=CN=C(C)C(C)=N1 IAEGWXHKWJGQAZ-UHFFFAOYSA-N 0.000 claims description 4
- WGJCBBASTRWVJL-UHFFFAOYSA-N 1,3-thiazolidine-2-thione Chemical compound SC1=NCCS1 WGJCBBASTRWVJL-UHFFFAOYSA-N 0.000 claims description 3
- GGZHVNZHFYCSEV-UHFFFAOYSA-N 1-Phenyl-5-mercaptotetrazole Chemical compound SC1=NN=NN1C1=CC=CC=C1 GGZHVNZHFYCSEV-UHFFFAOYSA-N 0.000 claims description 3
- HYZJCKYKOHLVJF-UHFFFAOYSA-N 1H-benzimidazole Chemical compound C1=CC=C2NC=NC2=C1 HYZJCKYKOHLVJF-UHFFFAOYSA-N 0.000 claims description 3
- LAMUXTNQCICZQX-UHFFFAOYSA-N 3-chloropropan-1-ol Chemical compound OCCCCl LAMUXTNQCICZQX-UHFFFAOYSA-N 0.000 claims description 3
- KRHYYFGTRYWZRS-UHFFFAOYSA-M Fluoride anion Chemical compound [F-] KRHYYFGTRYWZRS-UHFFFAOYSA-M 0.000 claims description 3
- HNDVDQJCIGZPNO-YFKPBYRVSA-N L-histidine Chemical compound OC(=O)[C@@H](N)CC1=CN=CN1 HNDVDQJCIGZPNO-YFKPBYRVSA-N 0.000 claims description 3
- OKIZCWYLBDKLSU-UHFFFAOYSA-M N,N,N-Trimethylmethanaminium chloride Chemical compound [Cl-].C[N+](C)(C)C OKIZCWYLBDKLSU-UHFFFAOYSA-M 0.000 claims description 3
- FZWLAAWBMGSTSO-UHFFFAOYSA-N Thiazole Chemical compound C1=CSC=N1 FZWLAAWBMGSTSO-UHFFFAOYSA-N 0.000 claims description 3
- GSEJCLTVZPLZKY-UHFFFAOYSA-N Triethanolamine Chemical compound OCCN(CCO)CCO GSEJCLTVZPLZKY-UHFFFAOYSA-N 0.000 claims description 3
- 150000001298 alcohols Chemical class 0.000 claims description 3
- 229910052801 chlorine Inorganic materials 0.000 claims description 3
- 229910052731 fluorine Inorganic materials 0.000 claims description 3
- HNDVDQJCIGZPNO-UHFFFAOYSA-N histidine Natural products OC(=O)C(N)CC1=CN=CN1 HNDVDQJCIGZPNO-UHFFFAOYSA-N 0.000 claims description 3
- 239000004065 semiconductor Substances 0.000 claims description 3
- 150000003536 tetrazoles Chemical class 0.000 claims description 3
- DNIAPMSPPWPWGF-VKHMYHEASA-N (+)-propylene glycol Chemical compound C[C@H](O)CO DNIAPMSPPWPWGF-VKHMYHEASA-N 0.000 claims description 2
- SHXHPUAKLCCLDV-UHFFFAOYSA-N 1,1,1-trifluoropentane-2,4-dione Chemical compound CC(=O)CC(=O)C(F)(F)F SHXHPUAKLCCLDV-UHFFFAOYSA-N 0.000 claims description 2
- AVQQQNCBBIEMEU-UHFFFAOYSA-N 1,1,3,3-tetramethylurea Chemical compound CN(C)C(=O)N(C)C AVQQQNCBBIEMEU-UHFFFAOYSA-N 0.000 claims description 2
- JYEUMXHLPRZUAT-UHFFFAOYSA-N 1,2,3-triazine Chemical compound C1=CN=NN=C1 JYEUMXHLPRZUAT-UHFFFAOYSA-N 0.000 claims description 2
- NHAZGSRLKBTDBF-UHFFFAOYSA-N 1,2,4-triazol-1-amine Chemical compound NN1C=NC=N1 NHAZGSRLKBTDBF-UHFFFAOYSA-N 0.000 claims description 2
- DIIIISSCIXVANO-UHFFFAOYSA-N 1,2-Dimethylhydrazine Chemical compound CNNC DIIIISSCIXVANO-UHFFFAOYSA-N 0.000 claims description 2
- ZZXUZKXVROWEIF-UHFFFAOYSA-N 1,2-butylene carbonate Chemical compound CCC1COC(=O)O1 ZZXUZKXVROWEIF-UHFFFAOYSA-N 0.000 claims description 2
- CYSGHNMQYZDMIA-UHFFFAOYSA-N 1,3-Dimethyl-2-imidazolidinon Chemical compound CN1CCN(C)C1=O CYSGHNMQYZDMIA-UHFFFAOYSA-N 0.000 claims description 2
- YXIWHUQXZSMYRE-UHFFFAOYSA-N 1,3-benzothiazole-2-thiol Chemical compound C1=CC=C2SC(S)=NC2=C1 YXIWHUQXZSMYRE-UHFFFAOYSA-N 0.000 claims description 2
- YPFDHNVEDLHUCE-UHFFFAOYSA-N 1,3-propanediol Substances OCCCO YPFDHNVEDLHUCE-UHFFFAOYSA-N 0.000 claims description 2
- RYHBNJHYFVUHQT-UHFFFAOYSA-N 1,4-Dioxane Chemical compound C1COCCO1 RYHBNJHYFVUHQT-UHFFFAOYSA-N 0.000 claims description 2
- 229940005561 1,4-benzoquinone Drugs 0.000 claims description 2
- NXRIDTLKJCKPOG-UHFFFAOYSA-N 1,4-dihydroimidazole-5-thione Chemical compound S=C1CN=CN1 NXRIDTLKJCKPOG-UHFFFAOYSA-N 0.000 claims description 2
- ASOKPJOREAFHNY-UHFFFAOYSA-N 1-Hydroxybenzotriazole Chemical compound C1=CC=C2N(O)N=NC2=C1 ASOKPJOREAFHNY-UHFFFAOYSA-N 0.000 claims description 2
- GODZNYBQGNSJJN-UHFFFAOYSA-N 1-aminoethane-1,2-diol Chemical compound NC(O)CO GODZNYBQGNSJJN-UHFFFAOYSA-N 0.000 claims description 2
- WEGOLYBUWCMMMY-UHFFFAOYSA-N 1-bromo-2-propanol Chemical compound CC(O)CBr WEGOLYBUWCMMMY-UHFFFAOYSA-N 0.000 claims description 2
- RWNUSVWFHDHRCJ-UHFFFAOYSA-N 1-butoxypropan-2-ol Chemical compound CCCCOCC(C)O RWNUSVWFHDHRCJ-UHFFFAOYSA-N 0.000 claims description 2
- YYTSGNJTASLUOY-UHFFFAOYSA-N 1-chloropropan-2-ol Chemical compound CC(O)CCl YYTSGNJTASLUOY-UHFFFAOYSA-N 0.000 claims description 2
- NVLADMORQQMDKF-UHFFFAOYSA-N 1-ethyl-1-oxidopyrrolidin-1-ium Chemical compound CC[N+]1([O-])CCCC1 NVLADMORQQMDKF-UHFFFAOYSA-N 0.000 claims description 2
- YIZTVEDOQDZLOH-UHFFFAOYSA-N 1-methyl-1-oxidopyrrolidin-1-ium Chemical compound C[N+]1([O-])CCCC1 YIZTVEDOQDZLOH-UHFFFAOYSA-N 0.000 claims description 2
- MCTWTZJPVLRJOU-UHFFFAOYSA-N 1-methyl-1H-imidazole Chemical compound CN1C=CN=C1 MCTWTZJPVLRJOU-UHFFFAOYSA-N 0.000 claims description 2
- JMVIVASFFKKFQK-UHFFFAOYSA-N 1-phenylpyrrolidin-2-one Chemical compound O=C1CCCN1C1=CC=CC=C1 JMVIVASFFKKFQK-UHFFFAOYSA-N 0.000 claims description 2
- FENFUOGYJVOCRY-UHFFFAOYSA-N 1-propoxypropan-2-ol Chemical compound CCCOCC(C)O FENFUOGYJVOCRY-UHFFFAOYSA-N 0.000 claims description 2
- AFBBKYQYNPNMAT-UHFFFAOYSA-N 1h-1,2,4-triazol-1-ium-3-thiolate Chemical compound SC=1N=CNN=1 AFBBKYQYNPNMAT-UHFFFAOYSA-N 0.000 claims description 2
- MVVGSPCXHRFDDR-UHFFFAOYSA-N 2-(1,3-benzothiazol-2-yl)phenol Chemical compound OC1=CC=CC=C1C1=NC2=CC=CC=C2S1 MVVGSPCXHRFDDR-UHFFFAOYSA-N 0.000 claims description 2
- GHGZVWOTJDLREY-UHFFFAOYSA-N 2-(1,3-benzoxazol-2-yl)phenol Chemical compound OC1=CC=CC=C1C1=NC2=CC=CC=C2O1 GHGZVWOTJDLREY-UHFFFAOYSA-N 0.000 claims description 2
- GIAFURWZWWWBQT-UHFFFAOYSA-N 2-(2-aminoethoxy)ethanol Chemical compound NCCOCCO GIAFURWZWWWBQT-UHFFFAOYSA-N 0.000 claims description 2
- WMDZKDKPYCNCDZ-UHFFFAOYSA-N 2-(2-butoxypropoxy)propan-1-ol Chemical compound CCCCOC(C)COC(C)CO WMDZKDKPYCNCDZ-UHFFFAOYSA-N 0.000 claims description 2
- MTVLEKBQSDTQGO-UHFFFAOYSA-N 2-(2-ethoxypropoxy)propan-1-ol Chemical compound CCOC(C)COC(C)CO MTVLEKBQSDTQGO-UHFFFAOYSA-N 0.000 claims description 2
- GZMAAYIALGURDQ-UHFFFAOYSA-N 2-(2-hexoxyethoxy)ethanol Chemical compound CCCCCCOCCOCCO GZMAAYIALGURDQ-UHFFFAOYSA-N 0.000 claims description 2
- SBASXUCJHJRPEV-UHFFFAOYSA-N 2-(2-methoxyethoxy)ethanol Chemical compound COCCOCCO SBASXUCJHJRPEV-UHFFFAOYSA-N 0.000 claims description 2
- XYVAYAJYLWYJJN-UHFFFAOYSA-N 2-(2-propoxypropoxy)propan-1-ol Chemical compound CCCOC(C)COC(C)CO XYVAYAJYLWYJJN-UHFFFAOYSA-N 0.000 claims description 2
- JUNAPQMUUHSYOV-UHFFFAOYSA-N 2-(2h-tetrazol-5-yl)acetic acid Chemical compound OC(=O)CC=1N=NNN=1 JUNAPQMUUHSYOV-UHFFFAOYSA-N 0.000 claims description 2
- HZAXFHJVJLSVMW-UHFFFAOYSA-N 2-Aminoethan-1-ol Chemical compound NCCO HZAXFHJVJLSVMW-UHFFFAOYSA-N 0.000 claims description 2
- COBPKKZHLDDMTB-UHFFFAOYSA-N 2-[2-(2-butoxyethoxy)ethoxy]ethanol Chemical compound CCCCOCCOCCOCCO COBPKKZHLDDMTB-UHFFFAOYSA-N 0.000 claims description 2
- WFSMVVDJSNMRAR-UHFFFAOYSA-N 2-[2-(2-ethoxyethoxy)ethoxy]ethanol Chemical compound CCOCCOCCOCCO WFSMVVDJSNMRAR-UHFFFAOYSA-N 0.000 claims description 2
- FYYLCPPEQLPTIQ-UHFFFAOYSA-N 2-[2-(2-propoxypropoxy)propoxy]propan-1-ol Chemical compound CCCOC(C)COC(C)COC(C)CO FYYLCPPEQLPTIQ-UHFFFAOYSA-N 0.000 claims description 2
- RILZRCJGXSFXNE-UHFFFAOYSA-N 2-[4-(trifluoromethoxy)phenyl]ethanol Chemical compound OCCC1=CC=C(OC(F)(F)F)C=C1 RILZRCJGXSFXNE-UHFFFAOYSA-N 0.000 claims description 2
- IGJQUJNPMOYEJY-UHFFFAOYSA-N 2-acetylpyrrole Chemical compound CC(=O)C1=CC=CN1 IGJQUJNPMOYEJY-UHFFFAOYSA-N 0.000 claims description 2
- POAOYUHQDCAZBD-UHFFFAOYSA-N 2-butoxyethanol Chemical compound CCCCOCCO POAOYUHQDCAZBD-UHFFFAOYSA-N 0.000 claims description 2
- VZIQXGLTRZLBEX-UHFFFAOYSA-N 2-chloro-1-propanol Chemical compound CC(Cl)CO VZIQXGLTRZLBEX-UHFFFAOYSA-N 0.000 claims description 2
- SZIFAVKTNFCBPC-UHFFFAOYSA-N 2-chloroethanol Chemical compound OCCCl SZIFAVKTNFCBPC-UHFFFAOYSA-N 0.000 claims description 2
- 239000001363 2-ethyl-3,5-dimethylpyrazine Substances 0.000 claims description 2
- NRGGMCIBEHEAIL-UHFFFAOYSA-N 2-ethylpyridine Chemical compound CCC1=CC=CC=N1 NRGGMCIBEHEAIL-UHFFFAOYSA-N 0.000 claims description 2
- UPGSWASWQBLSKZ-UHFFFAOYSA-N 2-hexoxyethanol Chemical compound CCCCCCOCCO UPGSWASWQBLSKZ-UHFFFAOYSA-N 0.000 claims description 2
- IWTFOFMTUOBLHG-UHFFFAOYSA-N 2-methoxypyridine Chemical compound COC1=CC=CC=N1 IWTFOFMTUOBLHG-UHFFFAOYSA-N 0.000 claims description 2
- NJBCRXCAPCODGX-UHFFFAOYSA-N 2-methyl-n-(2-methylpropyl)propan-1-amine Chemical compound CC(C)CNCC(C)C NJBCRXCAPCODGX-UHFFFAOYSA-N 0.000 claims description 2
- QCDWFXQBSFUVSP-UHFFFAOYSA-N 2-phenoxyethanol Chemical compound OCCOC1=CC=CC=C1 QCDWFXQBSFUVSP-UHFFFAOYSA-N 0.000 claims description 2
- YTZPUTADNGREHA-UHFFFAOYSA-N 2h-benzo[e]benzotriazole Chemical compound C1=CC2=CC=CC=C2C2=NNN=C21 YTZPUTADNGREHA-UHFFFAOYSA-N 0.000 claims description 2
- RQFUZUMFPRMVDX-UHFFFAOYSA-N 3-Bromo-1-propanol Chemical compound OCCCBr RQFUZUMFPRMVDX-UHFFFAOYSA-N 0.000 claims description 2
- SIBFQOUHOCRXDL-UHFFFAOYSA-N 3-bromopropane-1,2-diol Chemical compound OCC(O)CBr SIBFQOUHOCRXDL-UHFFFAOYSA-N 0.000 claims description 2
- 229940018554 3-iodo-1-propanol Drugs 0.000 claims description 2
- CQVWOJSAGPFDQL-UHFFFAOYSA-N 3-iodopropan-1-ol Chemical compound OCCCI CQVWOJSAGPFDQL-UHFFFAOYSA-N 0.000 claims description 2
- HXHGULXINZUGJX-UHFFFAOYSA-N 4-chlorobutanol Chemical compound OCCCCCl HXHGULXINZUGJX-UHFFFAOYSA-N 0.000 claims description 2
- GVKAVGPGTZFANE-UHFFFAOYSA-N 4-ethyl-4-oxidomorpholin-4-ium Chemical compound CC[N+]1([O-])CCOCC1 GVKAVGPGTZFANE-UHFFFAOYSA-N 0.000 claims description 2
- AGWWTUWTOBEQFE-UHFFFAOYSA-N 4-methyl-1h-1,2,4-triazole-5-thione Chemical compound CN1C=NN=C1S AGWWTUWTOBEQFE-UHFFFAOYSA-N 0.000 claims description 2
- CMGDVUCDZOBDNL-UHFFFAOYSA-N 4-methyl-2h-benzotriazole Chemical compound CC1=CC=CC2=NNN=C12 CMGDVUCDZOBDNL-UHFFFAOYSA-N 0.000 claims description 2
- WZUUZPAYWFIBDF-UHFFFAOYSA-N 5-amino-1,2-dihydro-1,2,4-triazole-3-thione Chemical compound NC1=NNC(S)=N1 WZUUZPAYWFIBDF-UHFFFAOYSA-N 0.000 claims description 2
- TYOXIFXYEIILLY-UHFFFAOYSA-N 5-methyl-2-phenyl-1h-imidazole Chemical compound N1C(C)=CN=C1C1=CC=CC=C1 TYOXIFXYEIILLY-UHFFFAOYSA-N 0.000 claims description 2
- HCEKEODXLSQFDV-UHFFFAOYSA-N 5-methyltriazol-1-amine Chemical compound CC1=CN=NN1N HCEKEODXLSQFDV-UHFFFAOYSA-N 0.000 claims description 2
- AOCDQWRMYHJTMY-UHFFFAOYSA-N 5-nitro-2h-benzotriazole Chemical compound C1=C([N+](=O)[O-])C=CC2=NNN=C21 AOCDQWRMYHJTMY-UHFFFAOYSA-N 0.000 claims description 2
- WXSBVEKBZGNSDY-UHFFFAOYSA-N 5-phenyl-2h-benzotriazole Chemical compound C1=CC=CC=C1C1=CC2=NNN=C2C=C1 WXSBVEKBZGNSDY-UHFFFAOYSA-N 0.000 claims description 2
- AJNQPSCMOSUVKK-UHFFFAOYSA-N 5-propan-2-yl-1h-1,2,4-triazole Chemical compound CC(C)C=1N=CNN=1 AJNQPSCMOSUVKK-UHFFFAOYSA-N 0.000 claims description 2
- 239000005725 8-Hydroxyquinoline Substances 0.000 claims description 2
- GFFGJBXGBJISGV-UHFFFAOYSA-N Adenine Chemical compound NC1=NC=NC2=C1N=CN2 GFFGJBXGBJISGV-UHFFFAOYSA-N 0.000 claims description 2
- 229930024421 Adenine Natural products 0.000 claims description 2
- DWRXFEITVBNRMK-UHFFFAOYSA-N Beta-D-1-Arabinofuranosylthymine Natural products O=C1NC(=O)C(C)=CN1C1C(O)C(O)C(CO)O1 DWRXFEITVBNRMK-UHFFFAOYSA-N 0.000 claims description 2
- ROFVEXUMMXZLPA-UHFFFAOYSA-N Bipyridyl Chemical compound N1=CC=CC=C1C1=CC=CC=N1 ROFVEXUMMXZLPA-UHFFFAOYSA-N 0.000 claims description 2
- LSNNMFCWUKXFEE-UHFFFAOYSA-M Bisulfite Chemical compound OS([O-])=O LSNNMFCWUKXFEE-UHFFFAOYSA-M 0.000 claims description 2
- PMPVIKIVABFJJI-UHFFFAOYSA-N Cyclobutane Chemical compound C1CCC1 PMPVIKIVABFJJI-UHFFFAOYSA-N 0.000 claims description 2
- BWGNESOTFCXPMA-UHFFFAOYSA-N Dihydrogen disulfide Chemical compound SS BWGNESOTFCXPMA-UHFFFAOYSA-N 0.000 claims description 2
- KMTRUDSVKNLOMY-UHFFFAOYSA-N Ethylene carbonate Chemical compound O=C1OCCO1 KMTRUDSVKNLOMY-UHFFFAOYSA-N 0.000 claims description 2
- XUJNEKJLAYXESH-REOHCLBHSA-N L-Cysteine Chemical compound SC[C@H](N)C(O)=O XUJNEKJLAYXESH-REOHCLBHSA-N 0.000 claims description 2
- WPPOGHDFAVQKLN-UHFFFAOYSA-N N-Octyl-2-pyrrolidone Chemical compound CCCCCCCCN1CCCC1=O WPPOGHDFAVQKLN-UHFFFAOYSA-N 0.000 claims description 2
- WTKZEGDFNFYCGP-UHFFFAOYSA-N Pyrazole Chemical compound C=1C=NNC=1 WTKZEGDFNFYCGP-UHFFFAOYSA-N 0.000 claims description 2
- CZPWVGJYEJSRLH-UHFFFAOYSA-N Pyrimidine Chemical compound C1=CN=CN=C1 CZPWVGJYEJSRLH-UHFFFAOYSA-N 0.000 claims description 2
- YSMRWXYRXBRSND-UHFFFAOYSA-N TOTP Chemical compound CC1=CC=CC=C1OP(=O)(OC=1C(=CC=CC=1)C)OC1=CC=CC=C1C YSMRWXYRXBRSND-UHFFFAOYSA-N 0.000 claims description 2
- XSTXAVWGXDQKEL-UHFFFAOYSA-N Trichloroethylene Chemical compound ClC=C(Cl)Cl XSTXAVWGXDQKEL-UHFFFAOYSA-N 0.000 claims description 2
- LEHOTFFKMJEONL-UHFFFAOYSA-N Uric Acid Chemical compound N1C(=O)NC(=O)C2=C1NC(=O)N2 LEHOTFFKMJEONL-UHFFFAOYSA-N 0.000 claims description 2
- TVWHNULVHGKJHS-UHFFFAOYSA-N Uric acid Natural products N1C(=O)NC(=O)C2NC(=O)NC21 TVWHNULVHGKJHS-UHFFFAOYSA-N 0.000 claims description 2
- NJYZCEFQAIUHSD-UHFFFAOYSA-N acetoguanamine Chemical compound CC1=NC(N)=NC(N)=N1 NJYZCEFQAIUHSD-UHFFFAOYSA-N 0.000 claims description 2
- CUJRVFIICFDLGR-UHFFFAOYSA-N acetylacetonate Chemical compound CC(=O)[CH-]C(C)=O CUJRVFIICFDLGR-UHFFFAOYSA-N 0.000 claims description 2
- 229960000643 adenine Drugs 0.000 claims description 2
- 150000003973 alkyl amines Chemical class 0.000 claims description 2
- LDDQLRUQCUTJBB-UHFFFAOYSA-N ammonium fluoride Chemical class [NH4+].[F-] LDDQLRUQCUTJBB-UHFFFAOYSA-N 0.000 claims description 2
- 150000001448 anilines Chemical class 0.000 claims description 2
- 150000004982 aromatic amines Chemical class 0.000 claims description 2
- IQFYYKKMVGJFEH-UHFFFAOYSA-N beta-L-thymidine Natural products O=C1NC(=O)C(C)=CN1C1OC(CO)C(O)C1 IQFYYKKMVGJFEH-UHFFFAOYSA-N 0.000 claims description 2
- 229910052794 bromium Inorganic materials 0.000 claims description 2
- 229930188620 butyrolactone Natural products 0.000 claims description 2
- XUJNEKJLAYXESH-UHFFFAOYSA-N cysteine Natural products SCC(N)C(O)=O XUJNEKJLAYXESH-UHFFFAOYSA-N 0.000 claims description 2
- 235000018417 cysteine Nutrition 0.000 claims description 2
- 229960003067 cystine Drugs 0.000 claims description 2
- 229940104302 cytosine Drugs 0.000 claims description 2
- 239000008367 deionised water Substances 0.000 claims description 2
- 229910021641 deionized water Inorganic materials 0.000 claims description 2
- 150000001993 dienes Chemical class 0.000 claims description 2
- 229940028356 diethylene glycol monobutyl ether Drugs 0.000 claims description 2
- XXJWXESWEXIICW-UHFFFAOYSA-N diethylene glycol monoethyl ether Chemical compound CCOCCOCCO XXJWXESWEXIICW-UHFFFAOYSA-N 0.000 claims description 2
- 229940075557 diethylene glycol monoethyl ether Drugs 0.000 claims description 2
- ZXSBDSGRQIWJPM-UHFFFAOYSA-N dimethylcarbamothioic s-acid Chemical compound CN(C)C(S)=O ZXSBDSGRQIWJPM-UHFFFAOYSA-N 0.000 claims description 2
- HPYNZHMRTTWQTB-UHFFFAOYSA-N dimethylpyridine Natural products CC1=CC=CN=C1C HPYNZHMRTTWQTB-UHFFFAOYSA-N 0.000 claims description 2
- WEHWNAOGRSTTBQ-UHFFFAOYSA-N dipropylamine Chemical compound CCCNCCC WEHWNAOGRSTTBQ-UHFFFAOYSA-N 0.000 claims description 2
- SZXQTJUDPRGNJN-UHFFFAOYSA-N dipropylene glycol Chemical compound OCCCOCCCO SZXQTJUDPRGNJN-UHFFFAOYSA-N 0.000 claims description 2
- 150000002170 ethers Chemical class 0.000 claims description 2
- 229940116333 ethyl lactate Drugs 0.000 claims description 2
- PKWIYNIDEDLDCJ-UHFFFAOYSA-N guanazole Chemical compound NC1=NNC(N)=N1 PKWIYNIDEDLDCJ-UHFFFAOYSA-N 0.000 claims description 2
- QAMFBRUWYYMMGJ-UHFFFAOYSA-N hexafluoroacetylacetone Chemical compound FC(F)(F)C(=O)CC(=O)C(F)(F)F QAMFBRUWYYMMGJ-UHFFFAOYSA-N 0.000 claims description 2
- 229910052740 iodine Inorganic materials 0.000 claims description 2
- CTAPFRYPJLPFDF-UHFFFAOYSA-N isoxazole Chemical compound C=1C=NOC=1 CTAPFRYPJLPFDF-UHFFFAOYSA-N 0.000 claims description 2
- 150000004658 ketimines Chemical class 0.000 claims description 2
- MOVBJUGHBJJKOW-UHFFFAOYSA-N methyl 2-amino-5-methoxybenzoate Chemical compound COC(=O)C1=CC(OC)=CC=C1N MOVBJUGHBJJKOW-UHFFFAOYSA-N 0.000 claims description 2
- LFMTUFVYMCDPGY-UHFFFAOYSA-N n,n-diethylethanamine oxide Chemical compound CC[N+]([O-])(CC)CC LFMTUFVYMCDPGY-UHFFFAOYSA-N 0.000 claims description 2
- PZYDAVFRVJXFHS-UHFFFAOYSA-N n-cyclohexyl-2-pyrrolidone Chemical compound O=C1CCCN1C1CCCCC1 PZYDAVFRVJXFHS-UHFFFAOYSA-N 0.000 claims description 2
- JCGNDDUYTRNOFT-UHFFFAOYSA-N oxolane-2,4-dione Chemical compound O=C1COC(=O)C1 JCGNDDUYTRNOFT-UHFFFAOYSA-N 0.000 claims description 2
- 229960003540 oxyquinoline Drugs 0.000 claims description 2
- 229920000768 polyamine Polymers 0.000 claims description 2
- 229920000166 polytrimethylene carbonate Polymers 0.000 claims description 2
- 235000019260 propionic acid Nutrition 0.000 claims description 2
- ASRAWSBMDXVNLX-UHFFFAOYSA-N pyrazolynate Chemical compound C=1C=C(Cl)C=C(Cl)C=1C(=O)C=1C(C)=NN(C)C=1OS(=O)(=O)C1=CC=C(C)C=C1 ASRAWSBMDXVNLX-UHFFFAOYSA-N 0.000 claims description 2
- ILVXOBCQQYKLDS-UHFFFAOYSA-N pyridine N-oxide Chemical compound [O-][N+]1=CC=CC=C1 ILVXOBCQQYKLDS-UHFFFAOYSA-N 0.000 claims description 2
- 150000003222 pyridines Chemical class 0.000 claims description 2
- HNJBEVLQSNELDL-UHFFFAOYSA-N pyrrolidin-2-one Chemical compound O=C1CCCN1 HNJBEVLQSNELDL-UHFFFAOYSA-N 0.000 claims description 2
- IUVKMZGDUIUOCP-BTNSXGMBSA-N quinbolone Chemical compound O([C@H]1CC[C@H]2[C@H]3[C@@H]([C@]4(C=CC(=O)C=C4CC3)C)CC[C@@]21C)C1=CCCC1 IUVKMZGDUIUOCP-BTNSXGMBSA-N 0.000 claims description 2
- MCJGNVYPOGVAJF-UHFFFAOYSA-N quinolin-8-ol Chemical compound C1=CN=C2C(O)=CC=CC2=C1 MCJGNVYPOGVAJF-UHFFFAOYSA-N 0.000 claims description 2
- 238000005507 spraying Methods 0.000 claims description 2
- 239000011593 sulfur Substances 0.000 claims description 2
- 229910052717 sulfur Inorganic materials 0.000 claims description 2
- 238000012360 testing method Methods 0.000 claims description 2
- 125000005207 tetraalkylammonium group Chemical group 0.000 claims description 2
- UGNWTBMOAKPKBL-UHFFFAOYSA-N tetrachloro-1,4-benzoquinone Chemical compound ClC1=C(Cl)C(=O)C(Cl)=C(Cl)C1=O UGNWTBMOAKPKBL-UHFFFAOYSA-N 0.000 claims description 2
- RXMRGBVLCSYIBO-UHFFFAOYSA-M tetramethylazanium;iodide Chemical compound [I-].C[N+](C)(C)C RXMRGBVLCSYIBO-UHFFFAOYSA-M 0.000 claims description 2
- 229960003495 thiamine Drugs 0.000 claims description 2
- DPJRMOMPQZCRJU-UHFFFAOYSA-M thiamine hydrochloride Chemical compound Cl.[Cl-].CC1=C(CCO)SC=[N+]1CC1=CN=C(C)N=C1N DPJRMOMPQZCRJU-UHFFFAOYSA-M 0.000 claims description 2
- 150000003573 thiols Chemical class 0.000 claims description 2
- 229930192474 thiophene Natural products 0.000 claims description 2
- 229940104230 thymidine Drugs 0.000 claims description 2
- MPSUGQWRVNRJEE-UHFFFAOYSA-N triazol-1-amine Chemical compound NN1C=CN=N1 MPSUGQWRVNRJEE-UHFFFAOYSA-N 0.000 claims description 2
- 150000003852 triazoles Chemical class 0.000 claims description 2
- IMFACGCPASFAPR-UHFFFAOYSA-N tributylamine Chemical compound CCCCN(CCCC)CCCC IMFACGCPASFAPR-UHFFFAOYSA-N 0.000 claims description 2
- JLGLQAWTXXGVEM-UHFFFAOYSA-N triethylene glycol monomethyl ether Chemical compound COCCOCCOCCO JLGLQAWTXXGVEM-UHFFFAOYSA-N 0.000 claims description 2
- UYPYRKYUKCHHIB-UHFFFAOYSA-N trimethylamine N-oxide Chemical compound C[N+](C)(C)[O-] UYPYRKYUKCHHIB-UHFFFAOYSA-N 0.000 claims description 2
- 229940116269 uric acid Drugs 0.000 claims description 2
- UJOBWOGCFQCDNV-UHFFFAOYSA-N 9H-carbazole Chemical compound C1=CC=C2C3=CC=CC=C3NC2=C1 UJOBWOGCFQCDNV-UHFFFAOYSA-N 0.000 claims 2
- SIKJAQJRHWYJAI-UHFFFAOYSA-N Indole Chemical compound C1=CC=C2NC=CC2=C1 SIKJAQJRHWYJAI-UHFFFAOYSA-N 0.000 claims 2
- QEWYKACRFQMRMB-UHFFFAOYSA-N fluoroacetic acid Chemical compound OC(=O)CF QEWYKACRFQMRMB-UHFFFAOYSA-N 0.000 claims 2
- XSCHRSMBECNVNS-UHFFFAOYSA-N quinoxaline Chemical compound N1=CC=NC2=CC=CC=C21 XSCHRSMBECNVNS-UHFFFAOYSA-N 0.000 claims 2
- JFYFXYJNBVGBHJ-UHFFFAOYSA-N 1-[1-[1-(1-ethoxypropan-2-yloxy)propan-2-yloxy]propan-2-yloxy]butane Chemical compound CCCCOC(C)COC(C)COC(C)COCC JFYFXYJNBVGBHJ-UHFFFAOYSA-N 0.000 claims 1
- YEYKMVJDLWJFOA-UHFFFAOYSA-N 2-propoxyethanol Chemical compound CCCOCCO YEYKMVJDLWJFOA-UHFFFAOYSA-N 0.000 claims 1
- TZCFWOHAWRIQGF-UHFFFAOYSA-N 3-chloropropane-1-thiol Chemical compound SCCCCl TZCFWOHAWRIQGF-UHFFFAOYSA-N 0.000 claims 1
- YZTYEGCWRPJWEE-UHFFFAOYSA-N 5-(benzotriazol-2-yl)pentan-1-amine Chemical compound C1=CC=CC2=NN(CCCCCN)N=C21 YZTYEGCWRPJWEE-UHFFFAOYSA-N 0.000 claims 1
- XZGLNCKSNVGDNX-UHFFFAOYSA-N 5-methyl-2h-tetrazole Chemical compound CC=1N=NNN=1 XZGLNCKSNVGDNX-UHFFFAOYSA-N 0.000 claims 1
- LEVWYRKDKASIDU-IMJSIDKUSA-N L-cystine Chemical compound [O-]C(=O)[C@@H]([NH3+])CSSC[C@H]([NH3+])C([O-])=O LEVWYRKDKASIDU-IMJSIDKUSA-N 0.000 claims 1
- ABLZXFCXXLZCGV-UHFFFAOYSA-N Phosphorous acid Chemical compound OP(O)=O ABLZXFCXXLZCGV-UHFFFAOYSA-N 0.000 claims 1
- 150000007960 acetonitrile Chemical class 0.000 claims 1
- 150000003863 ammonium salts Chemical class 0.000 claims 1
- FZXODTPQAXDPNA-UHFFFAOYSA-N benzylazanium;fluoride Chemical compound [F-].[NH3+]CC1=CC=CC=C1 FZXODTPQAXDPNA-UHFFFAOYSA-N 0.000 claims 1
- 239000004202 carbamide Substances 0.000 claims 1
- 150000002168 ethanoic acid esters Chemical class 0.000 claims 1
- ZOOODBUHSVUZEM-UHFFFAOYSA-N ethoxymethanedithioic acid Chemical compound CCOC(S)=S ZOOODBUHSVUZEM-UHFFFAOYSA-N 0.000 claims 1
- 150000002334 glycols Chemical class 0.000 claims 1
- 125000005843 halogen group Chemical group 0.000 claims 1
- PZOUSPYUWWUPPK-UHFFFAOYSA-N indole Natural products CC1=CC=CC2=C1C=CN2 PZOUSPYUWWUPPK-UHFFFAOYSA-N 0.000 claims 1
- RKJUIXBNRJVNHR-UHFFFAOYSA-N indolenine Natural products C1=CC=C2CC=NC2=C1 RKJUIXBNRJVNHR-UHFFFAOYSA-N 0.000 claims 1
- TYQCGQRIZGCHNB-JLAZNSOCSA-N l-ascorbic acid Chemical compound OC[C@H](O)[C@H]1OC(O)=C(O)C1=O TYQCGQRIZGCHNB-JLAZNSOCSA-N 0.000 claims 1
- ISWNAMNOYHCTSB-UHFFFAOYSA-N methanamine;hydrobromide Chemical compound [Br-].[NH3+]C ISWNAMNOYHCTSB-UHFFFAOYSA-N 0.000 claims 1
- JKTHTZCPLANQBH-UHFFFAOYSA-N n,n-dimethylthiohydroxylamine Chemical compound CN(C)S JKTHTZCPLANQBH-UHFFFAOYSA-N 0.000 claims 1
- QCCDLTOVEPVEJK-UHFFFAOYSA-N phenylacetone Chemical compound CC(=O)CC1=CC=CC=C1 QCCDLTOVEPVEJK-UHFFFAOYSA-N 0.000 claims 1
- BHDZLFBQVBBVDL-UHFFFAOYSA-N piperidine;pyrazine Chemical compound C1CCNCC1.C1=CN=CC=N1 BHDZLFBQVBBVDL-UHFFFAOYSA-N 0.000 claims 1
- FGVVTMRZYROCTH-UHFFFAOYSA-N pyridine-2-thiol N-oxide Chemical compound [O-][N+]1=CC=CC=C1S FGVVTMRZYROCTH-UHFFFAOYSA-N 0.000 claims 1
- YBRBMKDOPFTVDT-UHFFFAOYSA-N tert-butylamine Chemical compound CC(C)(C)N YBRBMKDOPFTVDT-UHFFFAOYSA-N 0.000 claims 1
- LDGFRUUNCRYSQK-UHFFFAOYSA-N triazin-4-ylmethanediamine Chemical compound NC(N)C1=CC=NN=N1 LDGFRUUNCRYSQK-UHFFFAOYSA-N 0.000 claims 1
- 238000009472 formulation Methods 0.000 description 81
- 239000010410 layer Substances 0.000 description 21
- QPJSUIGXIBEQAC-UHFFFAOYSA-N n-(2,4-dichloro-5-propan-2-yloxyphenyl)acetamide Chemical compound CC(C)OC1=CC(NC(C)=O)=C(Cl)C=C1Cl QPJSUIGXIBEQAC-UHFFFAOYSA-N 0.000 description 18
- LCGLNKUTAGEVQW-UHFFFAOYSA-N Dimethyl ether Chemical compound COC LCGLNKUTAGEVQW-UHFFFAOYSA-N 0.000 description 15
- OAYXUHPQHDHDDZ-UHFFFAOYSA-N 2-(2-butoxyethoxy)ethanol Chemical compound CCCCOCCOCCO OAYXUHPQHDHDDZ-UHFFFAOYSA-N 0.000 description 14
- 239000003989 dielectric material Substances 0.000 description 14
- 239000007983 Tris buffer Substances 0.000 description 12
- QRUDEWIWKLJBPS-UHFFFAOYSA-N benzotriazole Chemical compound C1=CC=C2N[N][N]C2=C1 QRUDEWIWKLJBPS-UHFFFAOYSA-N 0.000 description 12
- 238000005530 etching Methods 0.000 description 12
- 238000004519 manufacturing process Methods 0.000 description 11
- 238000012545 processing Methods 0.000 description 11
- 239000000523 sample Substances 0.000 description 10
- 239000002904 solvent Substances 0.000 description 10
- 238000006116 polymerization reaction Methods 0.000 description 9
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 8
- 239000013078 crystal Substances 0.000 description 7
- OFOBLEOULBTSOW-UHFFFAOYSA-N Malonic acid Chemical compound OC(=O)CC(O)=O OFOBLEOULBTSOW-UHFFFAOYSA-N 0.000 description 6
- MTHSVFCYNBDYFN-UHFFFAOYSA-N diethylene glycol Chemical compound OCCOCCO MTHSVFCYNBDYFN-UHFFFAOYSA-N 0.000 description 6
- 239000012530 fluid Substances 0.000 description 6
- 239000007789 gas Substances 0.000 description 6
- 238000005259 measurement Methods 0.000 description 6
- FPGGTKZVZWFYPV-UHFFFAOYSA-M tetrabutylammonium fluoride Chemical compound [F-].CCCC[N+](CCCC)(CCCC)CCCC FPGGTKZVZWFYPV-UHFFFAOYSA-M 0.000 description 6
- 238000005033 Fourier transform infrared spectroscopy Methods 0.000 description 5
- QGZKDVFQNNGYKY-UHFFFAOYSA-N ammonia Natural products N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 5
- 239000011521 glass Substances 0.000 description 5
- 239000012535 impurity Substances 0.000 description 5
- 239000002202 Polyethylene glycol Substances 0.000 description 4
- 239000011248 coating agent Substances 0.000 description 4
- 238000000576 coating method Methods 0.000 description 4
- DIOQZVSQGTUSAI-UHFFFAOYSA-N decane Chemical compound CCCCCCCCCC DIOQZVSQGTUSAI-UHFFFAOYSA-N 0.000 description 4
- 239000011929 di(propylene glycol) methyl ether Substances 0.000 description 4
- 238000007654 immersion Methods 0.000 description 4
- 239000004615 ingredient Substances 0.000 description 4
- 238000002156 mixing Methods 0.000 description 4
- 229920002120 photoresistant polymer Polymers 0.000 description 4
- 229920001223 polyethylene glycol Polymers 0.000 description 4
- 229920001451 polypropylene glycol Polymers 0.000 description 4
- 239000011148 porous material Substances 0.000 description 4
- 229910052715 tantalum Inorganic materials 0.000 description 4
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 4
- GTDKXDWWMOMSFL-UHFFFAOYSA-M tetramethylazanium;fluoride Chemical compound [F-].C[N+](C)(C)C GTDKXDWWMOMSFL-UHFFFAOYSA-M 0.000 description 4
- HBWHSLQSROGCQF-UHFFFAOYSA-N 1,2,2,3,3-pentamethylpiperazine Chemical compound CC1(C(N(CCN1)C)(C)C)C HBWHSLQSROGCQF-UHFFFAOYSA-N 0.000 description 3
- 229910000838 Al alloy Inorganic materials 0.000 description 3
- 229910052684 Cerium Inorganic materials 0.000 description 3
- XEKOWRVHYACXOJ-UHFFFAOYSA-N Ethyl acetate Chemical compound CCOC(C)=O XEKOWRVHYACXOJ-UHFFFAOYSA-N 0.000 description 3
- MUBZPKHOEPUJKR-UHFFFAOYSA-N Oxalic acid Chemical compound OC(=O)C(O)=O MUBZPKHOEPUJKR-UHFFFAOYSA-N 0.000 description 3
- HEMHJVSKTPXQMS-UHFFFAOYSA-M Sodium hydroxide Chemical compound [OH-].[Na+] HEMHJVSKTPXQMS-UHFFFAOYSA-M 0.000 description 3
- 230000002411 adverse Effects 0.000 description 3
- 125000005210 alkyl ammonium group Chemical group 0.000 description 3
- 229910021529 ammonia Inorganic materials 0.000 description 3
- YOUGRGFIHBUKRS-UHFFFAOYSA-N benzyl(trimethyl)azanium Chemical class C[N+](C)(C)CC1=CC=CC=C1 YOUGRGFIHBUKRS-UHFFFAOYSA-N 0.000 description 3
- KGBXLFKZBHKPEV-UHFFFAOYSA-N boric acid Chemical compound OB(O)O KGBXLFKZBHKPEV-UHFFFAOYSA-N 0.000 description 3
- 239000004327 boric acid Substances 0.000 description 3
- GWXLDORMOJMVQZ-UHFFFAOYSA-N cerium Chemical compound [Ce] GWXLDORMOJMVQZ-UHFFFAOYSA-N 0.000 description 3
- 239000013522 chelant Substances 0.000 description 3
- 239000003153 chemical reaction reagent Substances 0.000 description 3
- KRKNYBCHXYNGOX-UHFFFAOYSA-N citric acid Chemical compound OC(=O)CC(O)(C(O)=O)CC(O)=O KRKNYBCHXYNGOX-UHFFFAOYSA-N 0.000 description 3
- 238000004891 communication Methods 0.000 description 3
- HQFQTTNMBUPQAY-UHFFFAOYSA-N cyclobutylhydrazine Chemical compound NNC1CCC1 HQFQTTNMBUPQAY-UHFFFAOYSA-N 0.000 description 3
- 238000010790 dilution Methods 0.000 description 3
- 239000012895 dilution Substances 0.000 description 3
- 150000002430 hydrocarbons Chemical group 0.000 description 3
- 229910010272 inorganic material Inorganic materials 0.000 description 3
- 239000011147 inorganic material Substances 0.000 description 3
- 230000004048 modification Effects 0.000 description 3
- 238000012986 modification Methods 0.000 description 3
- 238000001179 sorption measurement Methods 0.000 description 3
- OQLZINXFSUDMHM-UHFFFAOYSA-N Acetamidine Chemical compound CC(N)=N OQLZINXFSUDMHM-UHFFFAOYSA-N 0.000 description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- 239000004215 Carbon black (E152) Substances 0.000 description 2
- QPLDLSVMHZLSFG-UHFFFAOYSA-N Copper oxide Chemical compound [Cu]=O QPLDLSVMHZLSFG-UHFFFAOYSA-N 0.000 description 2
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 2
- 238000001157 Fourier transform infrared spectrum Methods 0.000 description 2
- AVXURJPOCDRRFD-UHFFFAOYSA-N Hydroxylamine Chemical compound ON AVXURJPOCDRRFD-UHFFFAOYSA-N 0.000 description 2
- LRHPLDYGYMQRHN-UHFFFAOYSA-N N-Butanol Chemical compound CCCCO LRHPLDYGYMQRHN-UHFFFAOYSA-N 0.000 description 2
- ZCQWOFVYLHDMMC-UHFFFAOYSA-N Oxazole Chemical compound C1=COC=N1 ZCQWOFVYLHDMMC-UHFFFAOYSA-N 0.000 description 2
- 229910019142 PO4 Inorganic materials 0.000 description 2
- GLUUGHFHXGJENI-UHFFFAOYSA-N Piperazine Chemical compound C1CNCCN1 GLUUGHFHXGJENI-UHFFFAOYSA-N 0.000 description 2
- DTQVDTLACAAQTR-UHFFFAOYSA-N Trifluoroacetic acid Chemical compound OC(=O)C(F)(F)F DTQVDTLACAAQTR-UHFFFAOYSA-N 0.000 description 2
- 239000000654 additive Substances 0.000 description 2
- 125000000217 alkyl group Chemical group 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- MWPLVEDNUUSJAV-UHFFFAOYSA-N anthracene Chemical compound C1=CC=CC2=CC3=CC=CC=C3C=C21 MWPLVEDNUUSJAV-UHFFFAOYSA-N 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 150000007942 carboxylates Chemical class 0.000 description 2
- CETPSERCERDGAM-UHFFFAOYSA-N ceric oxide Chemical compound O=[Ce]=O CETPSERCERDGAM-UHFFFAOYSA-N 0.000 description 2
- 229910000422 cerium(IV) oxide Inorganic materials 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 239000000460 chlorine Substances 0.000 description 2
- 239000012141 concentrate Substances 0.000 description 2
- 239000003085 diluting agent Substances 0.000 description 2
- 239000006260 foam Substances 0.000 description 2
- 229930195733 hydrocarbon Natural products 0.000 description 2
- 229960002050 hydrofluoric acid Drugs 0.000 description 2
- 230000002209 hydrophobic effect Effects 0.000 description 2
- 230000000670 limiting effect Effects 0.000 description 2
- 239000007769 metal material Substances 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 238000001000 micrograph Methods 0.000 description 2
- 239000003595 mist Substances 0.000 description 2
- 239000011368 organic material Substances 0.000 description 2
- 229920000620 organic polymer Polymers 0.000 description 2
- 239000007800 oxidant agent Substances 0.000 description 2
- NBIIXXVUZAFLBC-UHFFFAOYSA-K phosphate Chemical compound [O-]P([O-])([O-])=O NBIIXXVUZAFLBC-UHFFFAOYSA-K 0.000 description 2
- 239000010452 phosphate Substances 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 229920001343 polytetrafluoroethylene Polymers 0.000 description 2
- 239000004810 polytetrafluoroethylene Substances 0.000 description 2
- WGYKZJWCGVVSQN-UHFFFAOYSA-N propylamine Chemical compound CCCN WGYKZJWCGVVSQN-UHFFFAOYSA-N 0.000 description 2
- RUOJZAUFBMNUDX-UHFFFAOYSA-N propylene carbonate Chemical compound CC1COC(=O)O1 RUOJZAUFBMNUDX-UHFFFAOYSA-N 0.000 description 2
- 239000005368 silicate glass Substances 0.000 description 2
- 150000004760 silicates Chemical class 0.000 description 2
- APSBXTVYXVQYAB-UHFFFAOYSA-M sodium docusate Chemical compound [Na+].CCCCC(CC)COC(=O)CC(S([O-])(=O)=O)C(=O)OCC(CC)CCCC APSBXTVYXVQYAB-UHFFFAOYSA-M 0.000 description 2
- 239000000243 solution Substances 0.000 description 2
- 230000003068 static effect Effects 0.000 description 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 2
- YLQBMQCUIZJEEH-UHFFFAOYSA-N tetrahydrofuran Natural products C=1C=COC=1 YLQBMQCUIZJEEH-UHFFFAOYSA-N 0.000 description 2
- 125000004169 (C1-C6) alkyl group Chemical group 0.000 description 1
- BIGYLAKFCGVRAN-UHFFFAOYSA-N 1,3,4-thiadiazolidine-2,5-dithione Chemical compound S=C1NNC(=S)S1 BIGYLAKFCGVRAN-UHFFFAOYSA-N 0.000 description 1
- GEWWCWZGHNIUBW-UHFFFAOYSA-N 1-(4-nitrophenyl)propan-2-one Chemical compound CC(=O)CC1=CC=C([N+]([O-])=O)C=C1 GEWWCWZGHNIUBW-UHFFFAOYSA-N 0.000 description 1
- ZOZNCAMOIPYYIK-UHFFFAOYSA-N 1-aminoethylideneazanium;acetate Chemical compound CC(N)=N.CC(O)=O ZOZNCAMOIPYYIK-UHFFFAOYSA-N 0.000 description 1
- CTTJWXVQRJUJQW-UHFFFAOYSA-N 2,2-dioctyl-3-sulfobutanedioic acid Chemical class CCCCCCCCC(C(O)=O)(C(C(O)=O)S(O)(=O)=O)CCCCCCCC CTTJWXVQRJUJQW-UHFFFAOYSA-N 0.000 description 1
- JLVSRWOIZZXQAD-UHFFFAOYSA-N 2,3-disulfanylpropane-1-sulfonic acid Chemical class OS(=O)(=O)CC(S)CS JLVSRWOIZZXQAD-UHFFFAOYSA-N 0.000 description 1
- NGTQEBZGQDLEGD-UHFFFAOYSA-N 2-(4-chlorophenyl)-2-(1,2,4-triazol-1-yl)hexanenitrile Chemical compound C1=NC=NN1C(C#N)(CCCC)C1=CC=C(Cl)C=C1 NGTQEBZGQDLEGD-UHFFFAOYSA-N 0.000 description 1
- JDSQBDGCMUXRBM-UHFFFAOYSA-N 2-[2-(2-butoxypropoxy)propoxy]propan-1-ol Chemical compound CCCCOC(C)COC(C)COC(C)CO JDSQBDGCMUXRBM-UHFFFAOYSA-N 0.000 description 1
- WBIQQQGBSDOWNP-UHFFFAOYSA-N 2-dodecylbenzenesulfonic acid Chemical compound CCCCCCCCCCCCC1=CC=CC=C1S(O)(=O)=O WBIQQQGBSDOWNP-UHFFFAOYSA-N 0.000 description 1
- XHKUTQNVGAHLPK-UHFFFAOYSA-N 2-fluorocyclohexa-2,5-diene-1,4-dione Chemical compound FC1=CC(=O)C=CC1=O XHKUTQNVGAHLPK-UHFFFAOYSA-N 0.000 description 1
- CRWNQZTZTZWPOF-UHFFFAOYSA-N 2-methyl-4-phenylpyridine Chemical compound C1=NC(C)=CC(C=2C=CC=CC=2)=C1 CRWNQZTZTZWPOF-UHFFFAOYSA-N 0.000 description 1
- ALKYHXVLJMQRLQ-UHFFFAOYSA-N 3-Hydroxy-2-naphthoate Chemical compound C1=CC=C2C=C(O)C(C(=O)O)=CC2=C1 ALKYHXVLJMQRLQ-UHFFFAOYSA-N 0.000 description 1
- VATRWWPJWVCZTA-UHFFFAOYSA-N 3-oxo-n-[2-(trifluoromethyl)phenyl]butanamide Chemical compound CC(=O)CC(=O)NC1=CC=CC=C1C(F)(F)F VATRWWPJWVCZTA-UHFFFAOYSA-N 0.000 description 1
- XNSPQPOQXWCGKC-UHFFFAOYSA-N C(C)(=O)O.C(C)(=O)O.C(C)(=O)O.[N] Chemical compound C(C)(=O)O.C(C)(=O)O.C(C)(=O)O.[N] XNSPQPOQXWCGKC-UHFFFAOYSA-N 0.000 description 1
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- 235000001258 Cinchona calisaya Nutrition 0.000 description 1
- 239000005751 Copper oxide Substances 0.000 description 1
- 229910000881 Cu alloy Inorganic materials 0.000 description 1
- LEVWYRKDKASIDU-QWWZWVQMSA-N D-cystine Chemical compound OC(=O)[C@H](N)CSSC[C@@H](N)C(O)=O LEVWYRKDKASIDU-QWWZWVQMSA-N 0.000 description 1
- DBVJJBKOTRCVKF-UHFFFAOYSA-N Etidronic acid Chemical compound OP(=O)(O)C(O)(C)P(O)(O)=O DBVJJBKOTRCVKF-UHFFFAOYSA-N 0.000 description 1
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- GRYLNZFGIOXLOG-UHFFFAOYSA-N Nitric acid Chemical compound O[N+]([O-])=O GRYLNZFGIOXLOG-UHFFFAOYSA-N 0.000 description 1
- 229930040373 Paraformaldehyde Natural products 0.000 description 1
- 229920003171 Poly (ethylene oxide) Polymers 0.000 description 1
- 239000004698 Polyethylene Substances 0.000 description 1
- 229920002675 Polyoxyl Polymers 0.000 description 1
- 239000004743 Polypropylene Substances 0.000 description 1
- 239000004793 Polystyrene Substances 0.000 description 1
- LOUPRKONTZGTKE-WZBLMQSHSA-N Quinine Natural products C([C@H]([C@H](C1)C=C)C2)C[N@@]1[C@@H]2[C@H](O)C1=CC=NC2=CC=C(OC)C=C21 LOUPRKONTZGTKE-WZBLMQSHSA-N 0.000 description 1
- DBMJMQXJHONAFJ-UHFFFAOYSA-M Sodium laurylsulphate Chemical compound [Na+].CCCCCCCCCCCCOS([O-])(=O)=O DBMJMQXJHONAFJ-UHFFFAOYSA-M 0.000 description 1
- KDYFGRWQOYBRFD-UHFFFAOYSA-N Succinic acid Natural products OC(=O)CCC(O)=O KDYFGRWQOYBRFD-UHFFFAOYSA-N 0.000 description 1
- ULUAUXLGCMPNKK-UHFFFAOYSA-N Sulfobutanedioic acid Chemical class OC(=O)CC(C(O)=O)S(O)(=O)=O ULUAUXLGCMPNKK-UHFFFAOYSA-N 0.000 description 1
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 1
- DHXVGJBLRPWPCS-UHFFFAOYSA-N Tetrahydropyran Chemical compound C1CCOCC1 DHXVGJBLRPWPCS-UHFFFAOYSA-N 0.000 description 1
- RAYITNDXHNSITI-UHFFFAOYSA-N [F].CCCCCCCCCC(O)=O Chemical compound [F].CCCCCCCCCC(O)=O RAYITNDXHNSITI-UHFFFAOYSA-N 0.000 description 1
- 239000003082 abrasive agent Substances 0.000 description 1
- 239000002250 absorbent Substances 0.000 description 1
- 230000002745 absorbent Effects 0.000 description 1
- GPEHQHXBPDGGDP-UHFFFAOYSA-N acetonitrile;propan-2-one Chemical compound CC#N.CC(C)=O GPEHQHXBPDGGDP-UHFFFAOYSA-N 0.000 description 1
- 239000013543 active substance Substances 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 239000003945 anionic surfactant Substances 0.000 description 1
- 229910052787 antimony Inorganic materials 0.000 description 1
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 1
- 239000007864 aqueous solution Substances 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- LDDQLRUQCUTJBB-UHFFFAOYSA-O azanium;hydrofluoride Chemical compound [NH4+].F LDDQLRUQCUTJBB-UHFFFAOYSA-O 0.000 description 1
- 150000003851 azoles Chemical class 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- SRSXLGNVWSONIS-UHFFFAOYSA-M benzenesulfonate Chemical compound [O-]S(=O)(=O)C1=CC=CC=C1 SRSXLGNVWSONIS-UHFFFAOYSA-M 0.000 description 1
- SRSXLGNVWSONIS-UHFFFAOYSA-N benzenesulfonic acid Chemical compound OS(=O)(=O)C1=CC=CC=C1 SRSXLGNVWSONIS-UHFFFAOYSA-N 0.000 description 1
- 229940092714 benzenesulfonic acid Drugs 0.000 description 1
- VDEUYMSGMPQMIK-UHFFFAOYSA-N benzhydroxamic acid Chemical group ONC(=O)C1=CC=CC=C1 VDEUYMSGMPQMIK-UHFFFAOYSA-N 0.000 description 1
- KFSZGBHNIHLIAA-UHFFFAOYSA-M benzyl(trimethyl)azanium;fluoride Chemical compound [F-].C[N+](C)(C)CC1=CC=CC=C1 KFSZGBHNIHLIAA-UHFFFAOYSA-M 0.000 description 1
- WGQKYBSKWIADBV-UHFFFAOYSA-O benzylaminium Chemical compound [NH3+]CC1=CC=CC=C1 WGQKYBSKWIADBV-UHFFFAOYSA-O 0.000 description 1
- XKXHCNPAFAXVRZ-UHFFFAOYSA-N benzylazanium;chloride Chemical compound [Cl-].[NH3+]CC1=CC=CC=C1 XKXHCNPAFAXVRZ-UHFFFAOYSA-N 0.000 description 1
- KDYFGRWQOYBRFD-NUQCWPJISA-N butanedioic acid Chemical compound O[14C](=O)CC[14C](O)=O KDYFGRWQOYBRFD-NUQCWPJISA-N 0.000 description 1
- 125000000484 butyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 229910002090 carbon oxide Inorganic materials 0.000 description 1
- 239000003093 cationic surfactant Substances 0.000 description 1
- 238000005119 centrifugation Methods 0.000 description 1
- 229910052729 chemical element Inorganic materials 0.000 description 1
- LOUPRKONTZGTKE-UHFFFAOYSA-N cinchonine Natural products C1C(C(C2)C=C)CCN2C1C(O)C1=CC=NC2=CC=C(OC)C=C21 LOUPRKONTZGTKE-UHFFFAOYSA-N 0.000 description 1
- 239000012459 cleaning agent Substances 0.000 description 1
- 239000011247 coating layer Substances 0.000 description 1
- 239000000470 constituent Substances 0.000 description 1
- 229910000431 copper oxide Inorganic materials 0.000 description 1
- 230000018044 dehydration Effects 0.000 description 1
- 238000006297 dehydration reaction Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 150000001991 dicarboxylic acids Chemical class 0.000 description 1
- SBZXBUIDTXKZTM-UHFFFAOYSA-N diglyme Chemical compound COCCOCCOC SBZXBUIDTXKZTM-UHFFFAOYSA-N 0.000 description 1
- 150000002009 diols Chemical class 0.000 description 1
- POLCUAVZOMRGSN-UHFFFAOYSA-N dipropyl ether Chemical compound CCCOCCC POLCUAVZOMRGSN-UHFFFAOYSA-N 0.000 description 1
- 238000004090 dissolution Methods 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- YRIUSKIDOIARQF-UHFFFAOYSA-N dodecyl benzenesulfonate Chemical compound CCCCCCCCCCCCOS(=O)(=O)C1=CC=CC=C1 YRIUSKIDOIARQF-UHFFFAOYSA-N 0.000 description 1
- 229940071161 dodecylbenzenesulfonate Drugs 0.000 description 1
- 229940060296 dodecylbenzenesulfonic acid Drugs 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 125000002573 ethenylidene group Chemical group [*]=C=C([H])[H] 0.000 description 1
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 1
- 239000000835 fiber Substances 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 150000002222 fluorine compounds Chemical class 0.000 description 1
- 125000003709 fluoroalkyl group Chemical group 0.000 description 1
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical group FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 1
- 229920002313 fluoropolymer Polymers 0.000 description 1
- 239000004811 fluoropolymer Substances 0.000 description 1
- 229940085942 formulation r Drugs 0.000 description 1
- 125000000524 functional group Chemical group 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 239000010931 gold Substances 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 238000004442 gravimetric analysis Methods 0.000 description 1
- 125000001475 halogen functional group Chemical group 0.000 description 1
- 125000000623 heterocyclic group Chemical group 0.000 description 1
- 125000004051 hexyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- 229920001903 high density polyethylene Polymers 0.000 description 1
- 239000004700 high-density polyethylene Substances 0.000 description 1
- 125000004356 hydroxy functional group Chemical group O* 0.000 description 1
- 238000010348 incorporation Methods 0.000 description 1
- 230000005764 inhibitory process Effects 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 150000002596 lactones Chemical class 0.000 description 1
- 239000006193 liquid solution Substances 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 1
- 238000013508 migration Methods 0.000 description 1
- 230000005012 migration Effects 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 229910017604 nitric acid Inorganic materials 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 1
- 235000006408 oxalic acid Nutrition 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 230000036961 partial effect Effects 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 125000001147 pentyl group Chemical group C(CCCC)* 0.000 description 1
- 239000010702 perfluoropolyether Substances 0.000 description 1
- 239000013500 performance material Substances 0.000 description 1
- 150000002978 peroxides Chemical class 0.000 description 1
- 239000000049 pigment Substances 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 229920000058 polyacrylate Polymers 0.000 description 1
- 229920002239 polyacrylonitrile Polymers 0.000 description 1
- 229920001083 polybutene Polymers 0.000 description 1
- 229920000573 polyethylene Polymers 0.000 description 1
- 229920002098 polyfluorene Polymers 0.000 description 1
- 229920006324 polyoxymethylene Polymers 0.000 description 1
- 229920001155 polypropylene Polymers 0.000 description 1
- 229920002223 polystyrene Polymers 0.000 description 1
- 229920002635 polyurethane Polymers 0.000 description 1
- 239000004814 polyurethane Substances 0.000 description 1
- 229920000915 polyvinyl chloride Polymers 0.000 description 1
- JCBJVAJGLKENNC-UHFFFAOYSA-M potassium ethyl xanthate Chemical compound [K+].CCOC([S-])=S JCBJVAJGLKENNC-UHFFFAOYSA-M 0.000 description 1
- 239000000843 powder Substances 0.000 description 1
- 239000002243 precursor Substances 0.000 description 1
- 230000001737 promoting effect Effects 0.000 description 1
- KRIOVPPHQSLHCZ-UHFFFAOYSA-N propiophenone Chemical compound CCC(=O)C1=CC=CC=C1 KRIOVPPHQSLHCZ-UHFFFAOYSA-N 0.000 description 1
- 125000001436 propyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 150000003217 pyrazoles Chemical class 0.000 description 1
- 229960000948 quinine Drugs 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 230000002829 reductive effect Effects 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 150000003839 salts Chemical class 0.000 description 1
- 235000019333 sodium laurylsulphate Nutrition 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 150000004772 tellurides Chemical class 0.000 description 1
- SITVSCPRJNYAGV-UHFFFAOYSA-L tellurite Chemical compound [O-][Te]([O-])=O SITVSCPRJNYAGV-UHFFFAOYSA-L 0.000 description 1
- DDFYFBUWEBINLX-UHFFFAOYSA-M tetramethylammonium bromide Chemical compound [Br-].C[N+](C)(C)C DDFYFBUWEBINLX-UHFFFAOYSA-M 0.000 description 1
- 239000011135 tin Substances 0.000 description 1
- SQFASPLWQUAIAT-UHFFFAOYSA-N triazin-4-ylmethanamine Chemical compound NCC1=CC=NN=N1 SQFASPLWQUAIAT-UHFFFAOYSA-N 0.000 description 1
- GPRLSGONYQIRFK-MNYXATJNSA-N triton Chemical compound [3H+] GPRLSGONYQIRFK-MNYXATJNSA-N 0.000 description 1
- 238000011144 upstream manufacturing Methods 0.000 description 1
- 150000003672 ureas Chemical class 0.000 description 1
- 238000005406 washing Methods 0.000 description 1
- 239000002888 zwitterionic surfactant Substances 0.000 description 1
Classifications
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D3/00—Other compounding ingredients of detergent compositions covered in group C11D1/00
- C11D3/0005—Other compounding ingredients characterised by their effect
- C11D3/0073—Anticorrosion compositions
-
- C—CHEMISTRY; METALLURGY
- C09—DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
- C09K—MATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
- C09K13/00—Etching, surface-brightening or pickling compositions
-
- C—CHEMISTRY; METALLURGY
- C09—DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
- C09K—MATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
- C09K13/00—Etching, surface-brightening or pickling compositions
- C09K13/04—Etching, surface-brightening or pickling compositions containing an inorganic acid
- C09K13/08—Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
-
- C—CHEMISTRY; METALLURGY
- C09—DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
- C09K—MATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
- C09K13/00—Etching, surface-brightening or pickling compositions
- C09K13/04—Etching, surface-brightening or pickling compositions containing an inorganic acid
- C09K13/10—Etching, surface-brightening or pickling compositions containing an inorganic acid containing a boron compound
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D1/00—Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
- C11D1/38—Cationic compounds
- C11D1/62—Quaternary ammonium compounds
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D3/00—Other compounding ingredients of detergent compositions covered in group C11D1/00
- C11D3/02—Inorganic compounds ; Elemental compounds
- C11D3/04—Water-soluble compounds
- C11D3/042—Acids
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D3/00—Other compounding ingredients of detergent compositions covered in group C11D1/00
- C11D3/16—Organic compounds
- C11D3/24—Organic compounds containing halogen
- C11D3/245—Organic compounds containing halogen containing fluorine
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D3/00—Other compounding ingredients of detergent compositions covered in group C11D1/00
- C11D3/43—Solvents
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D7/00—Compositions of detergents based essentially on non-surface-active compounds
- C11D7/02—Inorganic compounds
- C11D7/04—Water-soluble compounds
- C11D7/08—Acids
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D7/00—Compositions of detergents based essentially on non-surface-active compounds
- C11D7/22—Organic compounds
- C11D7/28—Organic compounds containing halogen
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D7/00—Compositions of detergents based essentially on non-surface-active compounds
- C11D7/50—Solvents
- C11D7/5004—Organic solvents
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/0206—Cleaning during device manufacture during, before or after processing of insulating layers
- H01L21/02063—Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D2111/00—Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
- C11D2111/10—Objects to be cleaned
- C11D2111/14—Hard surfaces
- C11D2111/22—Electronic devices, e.g. PCBs or semiconductors
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Organic Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Oil, Petroleum & Natural Gas (AREA)
- Wood Science & Technology (AREA)
- Life Sciences & Earth Sciences (AREA)
- Inorganic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Materials Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Plasma & Fusion (AREA)
- Cleaning Or Drying Semiconductors (AREA)
- Detergent Compositions (AREA)
Description
本發明係關於用於自微電子設備移除蝕刻後殘餘物(包括含鈦、含銅及/或含鎢蝕刻後殘餘物)的組合物及製造並使用該等組合物之方法。
半導體電路中之互連電路由導電金屬電路組成,導電金屬電路由絕緣介電材料包圍。在過去,將由正矽酸四乙酯(TEOS)氣相沈積之矽酸鹽玻璃廣泛用作介電材料,而將鋁合金用於金屬互連。對更高處理速度的需求已引起電路元件之更小尺寸設定,以及由更高效能之材料來替代TEOS及鋁合金。鋁合金已歸因於銅之更高導電率而由銅或銅合金所替代。TEOS及氟化矽酸鹽玻璃(FSG)已由所謂的低k介電質所替代,低k介電質包括諸如有機聚合物、混合有機/無機材料、有機矽酸鹽玻璃(OSG)及摻碳氧化物(CDO)玻璃的低極性材料。在此等材料中併入氣孔(亦即,填有空氣之孔)進一步降低材料之介電常數。
在積體電路之雙鑲嵌處理(dual-damascene processing)期間,使用光微影術將圖案成像於設備晶圓上。光微影技術包含塗佈、曝光及顯影步驟。用正或負光阻物質塗佈晶圓且隨後用光罩覆蓋晶圓,此光罩定義將在後續過程中予以保持或移除之圖案。在恰當地定位光罩之後,光罩導引一束單色輻射(諸如紫外(UV)光或深UV(DUV)光(250 nm或193 nm))穿過其,以使被曝光之光阻材料或多或少可溶於
選定之沖洗溶液中。接著移除或"顯影"可溶光阻材料,留下與光罩相同之圖案。
其後,使用氣相電漿蝕刻將經顯影之光阻塗層之圖案轉移至下伏層,該等層可包括硬式光罩、層間介電質(ILD)及/或蝕刻終止層。電漿蝕刻後殘餘物通常被沈積於後段製程(BEOL)結構上,且若不將其移除則可能會干擾後續的矽化(silicidation)或接點形成。電漿蝕刻後殘餘物通常包括存在於基板上及電漿氣體中的化學元素。舉例而言,若利用TiN硬式光罩(例如,作為ILD上之覆蓋層),則電漿蝕刻後殘餘物包括使用習知濕式清洗化學物難以移除的含鈦物質。此外,習知清洗化學物通常會損害ILD,吸附至ILD之孔中從而增加介電常數,且/或腐蝕金屬結構。舉例而言,經緩衝之氟化物及基於溶劑之化學物不能完全移除含Ti殘餘物,而含羥胺化學物及過氧化氨化學物會腐蝕銅。
除了需要移除含鈦之電漿蝕刻後殘餘物之外,亦較佳移除在電漿蝕刻後過程期間所沈積之額外材料,諸如圖案化設備之側壁上的聚合殘餘物、該設備之開放通道(open via)結構中的含銅殘餘物及含鎢殘餘物。迄今尚無單一濕式清洗組合物能成功地移除所有殘餘物材料而同時與ILD、其他低k介電材料及金屬互連材料相容。
將諸如低k介電質之新材料整合於微電子設備中對清洗效能提出了新的需求。同時,縮小之設備尺寸減少了對臨界尺寸之改變的容許度及對設備元件之損害。可修改蝕刻
條件以便滿足新材料之需求。同樣地,必須修改電漿蝕刻後清洗組合物。重要的是,清洗劑不應損害下伏介電材料或腐蝕設備上之金屬互連材料(例如,銅、鎢、鈷、鋁、釕、鈦及其氮化物與矽化物)。
為此目的,本發明之一目標係提供用於自微電子設備有效地移除電漿蝕刻後殘餘物(包括(但不限於)含鈦殘餘物、聚合側壁殘餘物、含銅通道殘餘物、含鎢殘餘物及/或含鈷殘餘物)的經改良之組合物,該等組合物與ILD、金屬互連材料及/或覆蓋層相容。
本發明大體而言係關於清洗組合物及製造並使用該等清洗組合物之方法。本發明之一態樣係關於一種組合物及過程,其用於自一微電子設備(該微電子設備上具有該殘餘物)清洗蝕刻後殘餘物,而同時不會損害微電子設備表面上之金屬及ILD材料。
在一態樣中,本發明係關於一種水性清洗組合物,其包含至少一蝕刻劑、至少一螯合劑及水、(視情況)至少一有機溶劑、(視情況)至少一腐蝕抑制劑(corrosion inhibitor)、(視情況)至少一低k鈍化劑、(視情況)至少一界面活性劑(surfactant)及(視情況)一二氧化矽源,其中該水性清洗組合物適用於自一微電子設備清洗電漿蝕刻後殘餘物,該微電子設備上具有該殘餘物。
在另一態樣中,本發明係關於一種水性清洗組合物,其包含氟矽酸(fluorosilicic acid)、至少一螯合劑及水,其中
水之量係以該組合物之總重量計小於約75重量%,且其中該水性清洗組合物適用於自一微電子設備清洗電漿蝕刻後殘餘物,該微電子設備上具有該殘餘物。
在又一態樣中,本發明係關於一種水性清洗組合物,其包含至少一蝕刻劑、至少一金屬腐蝕抑制劑及水、(視情況)至少一有機溶劑、(視情況)至少一金屬螯合劑、(視情況)至少一低k鈍化劑、(視情況)至少一界面活性劑及(視情況)一二氧化矽源,其中該水性清洗組合物適用於自一微電子設備清洗電漿蝕刻後殘餘物,該微電子設備上具有該殘餘物。
在另一態樣中,本發明係關於一水性清洗組合物,其包含氟矽酸、至少一金屬腐蝕抑制劑及水,其中水之量係以該組合物之總重量計小於約75重量%,且其中該水性清洗組合物適用於自一微電子設備清洗電漿蝕刻後殘餘物,該微電子設備上具有該殘餘物。
在又一態樣中,本發明係關於一水性清洗組合物,其包含至少一蝕刻劑、至少一有機溶劑及水、(視情況)至少一金屬腐蝕抑制劑、(視情況)至少一金屬螯合劑、(視情況)至少一低k鈍化劑、(視情況)至少一界面活性劑及(視情況)一二氧化矽源,其中該水性清洗組合物適用於自一微電子設備清洗電漿蝕刻後殘餘物,該微電子設備上具有該殘餘物。
在又一樣中,本發明係關於一種水性清洗組合物,其包含至少一蝕刻劑源、至少一有機溶劑、至少一螯合劑、至
少一金屬腐蝕抑制劑及水,其中該水性清洗組合物適用於自一微電子設備清洗電漿蝕刻後殘餘物,該微電子設備上具有該殘餘物。
在再一態樣中,本發明係關於一種水性清洗組合物,其包含氟矽酸、至少一有機溶劑、至少一螯合劑、至少一金屬腐蝕抑制劑及水,其中水之量係以該組合物之總重量計小於約75重量%,且其中該水性清洗組合物適用於自一微電子設備清洗電漿蝕刻後殘餘物,該微電子設備上具有該殘餘物。
本發明之另一態樣係關於一種水性清洗組合物,其包含至少一有機溶劑、至少一蝕刻劑、至少一螯合劑、一二氧化矽源、至少一腐蝕抑制劑及水,其中該(等)有機溶劑相對於一或多個蝕刻劑之重量百分比比率(weight percent ratio)為約5至約8,水相對於一或多個蝕刻劑之重量百分比比率為約85至約91,二氧化矽源相對於一或多個蝕刻劑之重量百分比比率為約0.1至約0.5,該或該等螯合劑相對於一或多個蝕刻劑之重量百分比比率為約0.5至約2.5,且該或該等腐蝕抑制劑相對於一或多個蝕刻劑之重量百分比比率為約1至約4。
本發明之又一態樣係關於一種水性清洗組合物,其包含至少一有機溶劑、至少一蝕刻劑、一二氧化矽源、至少一腐蝕抑制劑及水,其中該或該等有機溶劑相對於一或多個蝕刻劑之重量百分比比率為約3至約7,水相對於一或多個蝕刻劑之重量百分比比率為約88至約93,二氧化矽源相對
於一或多個蝕刻劑之重量百分比比率為約0.1至約0.5,且該或該等腐蝕抑制劑相對於一或多個蝕刻劑之重量百分比比率為約1至約4。
本發明之另一態樣係關於一種水性清洗組合物,其包含至少一有機溶劑、至少一蝕刻劑、至少一腐蝕抑制劑及水,其中該或該等有機溶劑相對於該或該等蝕刻劑之重量百分比比率為約60至約90,水相對於一或多個蝕刻劑之重量百分比比率為約2至約30,且該或該等腐蝕抑制劑相對於一或多個蝕刻劑之重量百分比比率為約0.01至約0.5。
本發明之再一態樣係關於一種清洗組合物,其包含至少一有機溶劑、至少一金屬螯合劑、(視情況)至少一界面活性劑、(視情況)至少一腐蝕抑制劑、(視情況)至少一低k鈍化劑、(視情況)至少一蝕刻劑,及(視情況)水,其中該清洗組合物適用於自一微電子設備清洗電漿蝕刻後殘餘物,該微電子設備上具有該殘餘物。
在又一態樣中,本發明係關於一種清洗組合物,其包含至少一有機溶劑、至少一金屬螯合劑、至少一腐蝕抑制劑及水,其中該清洗組合物適用於自一微電子設備清洗電漿蝕刻後殘餘物,該微電子上具有該殘餘物。
在再一態樣中,本發明係關於一種清洗組合物,其包含至少一有機溶劑及至少一金屬螯合劑,其中該清洗組合物適用於自一微電子設備清洗電漿蝕刻後殘餘物,該微電子設備上具有該殘餘物。
在又一態樣中,本發明係關於一種由至少一有機溶劑及
至少一金屬螯合劑組成之清洗組合物,其中該清洗組合物適用於自一微電子設備清洗電漿蝕刻後殘餘物,該微電子設備上具有該殘餘物。
本發明之另一態樣係關於一套組(kit),該套組在一或多個容器中包含以下用於形成水性清洗組合物之試劑中的一或多者,該或該等試劑係選自由以下各物組成之群:至少一蝕刻劑、水、(視情況)至少一低k鈍化劑、(視情況)至少一界面活性劑及(視情況)一二氧化矽源,其中該組合物之進一步特徵在於包括組份(I)、(II)或(III): (I)至少一螯合劑、(視情況)至少一有機溶劑,及(視情況)至少一腐蝕抑制劑;(II)至少一金屬腐蝕抑制劑、(視情況)至少一有機溶劑,及(視情況)至少一金屬螯合劑;或(III)至少一有機溶劑、(視情況)至少一螯合劑,及(視情況)至少一腐蝕抑制劑,且其中該套組經調適以形成適用於自一微電子設備清洗電漿蝕刻後殘餘物的水性清洗組合物,該微電子設備上具有該殘餘物。
本發明之又一態樣係關於一套組,該套組在一或多個容器中包含以下用於形成清洗組合物之試劑中的一或多者,該或該等試劑係選自由以下各物組成之群:至少一有機溶劑、至少一金屬螯合劑、(視情況)至少一界面活性劑、(視情況)至少一腐蝕抑制劑、(視情況)至少一低k鈍化劑、(視情況)至少一蝕刻劑,及(視情況)水,且其中該套組經調適
以形成適用於自一微電子設備清洗電漿蝕刻後殘餘物的水性清洗組合物,該微電子設備上具有該殘餘物。
本發明之又一態樣係關於一種自一微電子設備移除電漿蝕刻後殘餘物的方法,該微電子設備上具有該殘餘物,該方法包含使該微電子設備與一水性清洗組合物接觸,歷時足夠的時間以自該微電子設備至少部分地移除該殘餘物,其中該水性清洗組合物包括至少一蝕刻劑、至少一螯合劑及水、(視情況)至少一有機溶劑、(視情況)至少一腐蝕抑制劑、(視情況)至少一低k鈍化劑、(視情況)至少一界面活性劑,及(視情況)一二氧化矽源。
本發明之再一態樣係關於一種自一微電子設備移除電漿蝕刻後殘餘物的方法,該微電子設備上具有該殘餘物,該方法包含使該微電子設備與一水性清洗組合物接觸,歷時足夠的時間以自該微電子設備至少部分地移除該殘餘物,其中該水性清洗組合物包括至少一蝕刻劑、至少一金屬腐蝕抑制劑及水、(視情況)至少一有機溶劑、(視情況)至少一金屬螯合劑、(視情況)至少一低k鈍化劑、(視情況)至少一界面活性劑,及(視情況)一二氧化矽源。
本發明之另一態樣係關於一種自一微電子設備移除電漿蝕刻後殘餘物的方法,該微電子設備上具有該殘餘物,該方法包含使該微電子設備與一水性清洗組合物接觸,歷時足夠的時間以自該微電子設備至少部分地移除該殘餘物,其中該水性清洗組合物包括至少一蝕刻劑、至少一有機溶劑及水、(視情況)至少一螯合劑、(視情況)至少一腐蝕抑
制劑、(視情況)至少一低k鈍化劑、(視情況)至少一界面活性劑,及(視情況)一二氧化矽源。
本發明之另一態樣係關於一種自一微電子設備移除電漿蝕刻後殘餘物的方法,該微電子設備上具有該殘餘物,該方法包含使該微電子設備與一水性清洗組合物接觸,歷時足夠的時間以自該微電子設備至少部分地移除該殘餘物,其中該水性清洗組合物包括至少一蝕刻劑源、至少一有機溶劑、至少一螯合劑、至少一金屬腐蝕抑制劑及水。
本發明之一另外的態樣係關於一種自一微電子設備移除電漿蝕刻後殘餘物的方法,該微電子設備上具有該殘餘物,該方法包含使該微電子設備與一清洗組合物接觸,歷時足夠的時間以自該微電子設備至少部分地移除該殘餘物,其中該清洗組合物包括至少一有機溶劑、至少一金屬螯合劑、(視情況)至少一界面活性劑、(視情況)至少一腐蝕抑制劑、(視情況)至少一低k鈍化劑、(視情況)至少一蝕刻劑,及(視情況)水。
在再一態樣中,本發明係關於一種自一微電子設備移除電漿蝕刻後殘餘物的方法,該微電子設備上具有該殘餘物,該方法包含使該微電子設備與一清洗組合物接觸,歷時足夠的時間以自該微電子設備至少部分地移除該殘餘物,其中該清洗組合物包括至少一有機溶劑及至少一螯合劑。
本發明之另一態樣係關於一種製造物品,其包含本發明之水性清洗組合物、微電子設備及電漿蝕刻後殘餘物。
在一另外的態樣中,本發明係關於一種製造一微電子設備之方法,該方法包含使該微電子設備與本發明之水性清洗組合物接觸,歷時足夠的時間以自該微電子設備至少部分地移除電漿蝕刻後殘餘物,該微電子設備上具有該殘餘物。
本發明之另一態樣係關於一種製造物品,其包含本發明之清洗組合物、包括超低k介電層之微電子設備及電漿蝕刻後殘餘物。
在一另外的態樣中,本發明係關於一種製造一微電子設備之方法,該方法包含使該微電子設備與本發明之清洗組合物接觸,歷時足夠的時間以自該微電子設備至少部分地移除電漿蝕刻後殘餘物,該微電子設備上具有該殘餘物。
本發明之另一態樣係關於一種自一微電子設備移除TiOF晶體的方法,該微電子設備上具有該等TiOF晶體,該方法包含使該微電子設備與一水性清洗組合物接觸,歷時足夠的時間以自該微電子設備至少部分地移除該等TiOF晶體,其中該水性清洗組合物包含至少一有機溶劑、至少一蝕刻劑、一二氧化矽源、至少一鎢腐蝕抑制劑及水。
本發明之再一態樣係關於使用本發明之方法製造而成的經改良之微電子設備及併入有該等微電子設備之產品,該等方法包含:使用本文中所描述之方法及/或組合物自該微電子設備清洗電漿蝕刻後殘餘物,該微電子設備上具有該殘餘物;及視情況將該微電子設備併入產品中。
本發明之其他態樣、特徵及優勢將自隨後之揭示內容及
附加之申請專利範圍而更充分地顯而易見。
本發明係關於用於自微電子設備移除殘餘物的組合物,殘餘物較佳為蝕刻後殘餘物,更佳為含鈦之蝕刻後殘餘物、聚合側壁殘餘物、含銅通道及線路殘餘物及/或含鎢蝕刻後殘餘物,該等微電子設備上具有該殘餘物,該等組合物較佳與微電子設備表面上之超低k(ULK)ILD材料(諸如OSG及多孔CDO)、金屬互連材料(例如,銅及鎢)、硬式光罩覆蓋層(例如,TiN)及鈷覆蓋層(例如,CoWP)相容。此外,本發明係關於使用組合物自微電子設備移除殘餘物的方法,殘餘物較佳為蝕刻後殘餘物,更佳為含鈦之蝕刻後殘餘物、聚合側壁殘餘物、含銅通道及線路殘餘物、含鎢蝕刻後殘餘物及/或含鈷蝕刻後殘餘物,該等微電子設備上具有該殘餘物,該等組合物較佳與微電子設備表面上之超低k(ULK)ILD材料、金屬互連材料及覆蓋層相容。
為易於參考,"微電子設備"對應於經製造以供微電子、積體電路或電腦晶片應用中使用的半導體基板、平板顯示器及微機電系統(MEMS)。應理解,術語"微電子設備"並不意謂以任何方式具有限制性,而是包括任何將最終變成微電子設備或微電子總成的基板。值得注意的是,微電子設備基板可經圖案化、毯覆及/或為測試基板。
如本文中所使用,"蝕刻後殘餘物"及"電漿蝕刻後殘餘物"對應於在氣相電漿蝕刻過程(例如,BEOL雙鑲嵌處理)之後剩餘的材料。蝕刻後殘餘物本質上可為有機、有機金
屬、有機矽或無機殘餘物,例如,含矽材料、含鈦材料、含氮材料、含氧材料、聚合殘餘物材料、含銅殘餘物材料(包括氧化銅殘餘物)、含鎢殘餘物材料、含鈷殘餘物材料、蝕刻氣體殘餘物(諸如氯及氟)及其組合。
如本文中所定義,"低k介電材料"及ULK對應於任何在一分層式微電子設備中用作介電材料之材料,其中該材料具有小於約3.5之介電常數。較佳地,低k介電材料包括低極性材料,諸如含矽有機聚合物、含矽混合有機/無機材料、有機矽酸鹽玻璃(OSG)、TEOS、氟化矽酸鹽玻璃(FSG)、二氧化矽及摻碳氧化物(CDO)玻璃。最佳地,使用有機矽烷及/或有機矽氧烷前驅體來沈積低k介電材料。應瞭解,低k介電材料可具有變化之密度及變化之孔隙率。
如本文中所定義,術語"聚合側壁殘餘物"對應於在電漿蝕刻後過程之後保留於圖案化設備之側壁上的殘餘物。該殘餘物本質上大體上為聚合殘餘物,然而,應瞭解,無機物質(例如,含鈦物質、含矽物質、含鎢物質、含鈷物質及/或含銅物質)亦可存在於側壁殘餘物中。
如本文中所使用,"約"意欲對應於陳述值之±5%。
如本文中所使用,自一微電子設備(該微電子設備上具有該殘餘物)清洗蝕刻後殘餘物的"適合性"對應於自該微電子設備至少部分地移除該殘餘物。較佳地,自微電子設備移除待移除的一或多個材料之至少約90%,較佳為待移除的一或多個材料之至少95%,且最佳為待移除的一或多個材料之至少99%。
如本文中所使用之"覆蓋層"對應於沈積於介電材料及/或金屬材料(例如,鈷)上之材料,其用以在電漿蝕刻步驟期間保護介電材料及/或金屬材料。硬式光罩覆蓋層傳統上為矽、氮化矽、氮氧化矽、氮化鈦、氮氧化鈦、鈦、鉭、氮化鉭、鉬、鎢,其組合及其他類似化合物。鈷覆蓋層包括CoWP及其他含鈷材料或含鎢材料。
本文中將"大體上無"定義為小於2重量%,較佳為小於1重量%,更佳為小於0.5重量%,且最佳為小於0.1重量%。
如本文中所使用,術語"半水性(semi-aqueous)"指代水與有機組份之混合物。"非水性(Non-aqueous)"指代大體上無水之組合物。
如本文中所更充分地描述,本發明之組合物可體現為廣泛多種特定調配物。
在所有此等組合物中,其中就重量百分比範圍(包括零下限)而言論述了組合物之特定組份,應理解,此等組份可存在於或不存在於組合物之各種特定實施例中,且在其中存在此等組份之情況下,其可以低至0.001重量%(以利用此等組份之組合物的總重量計)之濃度而存在。
眾所周知使用先前技術之含氨組合物難以移除含鈦之蝕刻後殘餘物材料。本發明者發現一清洗組合物,其大體上無氨及/或強鹼(例如,NaOH、KOH等等)且較佳大體上無氧化劑,其可自一微電子設備(該微電子設備上具有該含鈦殘餘物)之表面有效地且選擇性地移除含鈦殘餘物。另外,該組合物將在大體上不損害下伏之ILD、金屬互連材
料(例如,Cu、Al、Co及W)及/或覆蓋層的情況下大體上移除聚合側壁殘餘物、含銅殘餘物、含鈷殘餘物及/或含鎢殘餘物。此外,不管是首先蝕刻溝槽還是首先蝕刻通道(亦即,先溝槽還是先通道機制),均可使用該等組合物。重要的是,必要時本發明之一些組合物可有效地蝕刻TiN層。
在第一態樣中,本發明之清洗組合物係水性或半水性組合物,且包括至少一蝕刻劑源、至少一金屬螯合劑、水、(視情況)至少一有機溶劑、(視情況)至少一腐蝕抑制劑、(視情況)至少一低k鈍化劑、(視情況)至少一界面活性劑及(視情況)一二氧化矽源,此組合物用於自一微電子設備之表面移除電漿蝕刻後殘餘物,該微電子設備上具有該殘餘物,其中該電漿蝕刻後殘餘物包含選自由以下各物組成之群的物質:含鈦殘餘物、聚合殘餘物、含銅殘餘物、含鎢殘餘物、含鈷殘餘物及其組合。在另一實施例中,本發明之清洗組合物包括氟矽酸、至少一金屬螯合劑及水。在再一實施例中,本發明之清洗組合物包括至少一蝕刻劑源、至少一金屬腐蝕抑制劑、水、(視情況)至少一有機溶劑、(視情況)至少一螯合劑、(視情況)至少一低k鈍化劑、(視情況)至少一界面活性劑及(視情況)一二氧化矽源。在又一實施例中,本發明之清洗組合物包括氟矽酸、至少一金屬腐蝕抑制劑及水。在又一實施例中,本發明之清洗組合物包括至少一蝕刻劑源、至少一有機溶劑、至少一金屬螯合劑及水。在另一實施例中,本發明之清洗組合物包括氟矽
酸、至少一有機溶劑、至少一金屬螯合劑及水。在又一實施例中,本發明之清洗組合物包括至少一蝕刻劑源、至少一有機溶劑、至少一金屬腐蝕抑制劑及水。在再一實施例中,本發明之清洗組合物包括氟矽酸、至少一有機溶劑、至少一金屬腐蝕抑制劑及水。在另一實施例中,本發明之清洗組合物包括至少一蝕刻劑源、至少一有機溶劑、至少一金屬螯合劑、至少一金屬腐蝕抑制劑及水。在另一實施例中,本發明之清洗組合物包括氟矽酸、至少一有機溶劑、至少一金屬螯合劑、至少一金屬腐蝕抑制劑及水。在每一實施例中,可添加至少一界面活性劑。在又一實施例中,本發明之清洗組合物包括至少一蝕刻劑源、至少一有機溶劑、至少一金屬螯合劑、至少一金屬腐蝕抑制劑、經溶解之二氧化矽及水。在又一實施例中,本發明之清洗組合物包括氟矽酸、至少一有機溶劑、至少一金屬螯合劑、至少一金屬腐蝕抑制劑、經溶解之二氧化矽及水。在又一實施例中,本發明之清洗組合物包括至少一蝕刻劑源、至少一有機溶劑、至少一金屬腐蝕抑制劑、經溶解之二氧化矽及水。在又一實施例中,本發明之清洗組合物包括氟矽酸、至少一有機溶劑、至少一金屬腐蝕抑制劑、經溶解之二氧化矽及水。在另一實施例中,本發明之清洗組合物包括至少一蝕刻劑、至少一有機溶劑、至少一低k鈍化劑、至少一腐蝕抑制劑及水。在再一實施例中,本發明之清洗組合物包括至少一蝕刻劑、至少一有機溶劑、水、(視情況)至少一螯合劑、(視情況)至少一腐蝕抑制劑、(視情況)
至少一低k鈍化劑、(視情況)至少一界面活性劑及(視情況)至少一二氧化矽源。
在第一態樣之一實施例中,本發明係關於一種用於清洗電漿蝕刻後殘餘物之水性組合物,該等電漿蝕刻後殘餘物係選自由以下各物組成之群:含鈦殘餘物、聚合殘餘物、含銅殘餘物、含鎢殘餘物、含鈷殘餘物及其組合,該組合物包括以以下範圍(以該組合物之總重量計)而存在之至少一蝕刻劑源、至少一螯合劑、水、(視情況)至少一有機溶劑、(視情況)至少一金屬腐蝕抑制劑、(視情況)至少一低k鈍化劑、(視情況)一二氧化矽源及(視情況)至少一界面活性劑。
在第一態樣之另一實施例中,本發明係關於一種用於清洗電漿蝕刻後殘餘物之水性組合物,該等電漿蝕刻後殘餘物係選自由以下各物組成之群:含鈦殘餘物、聚合殘餘物、含銅殘餘物、含鎢殘餘物、含鈷殘餘物及其組合,該組合物包括以以下範圍(以該組合物之總重量計)而存在之至少一蝕刻劑源、至少一腐蝕抑制劑、水、(視情況)至少一有機溶劑、(視情況)至少一螯合劑、(視情況)至少一低k
鈍化劑、(視情況)一二氧化矽源及(視情況)至少一界面活性劑。
在第一態樣之再一實施例中,本發明係關於一種用於清洗電漿蝕刻後殘餘物之水性組合物,該等電漿蝕刻後殘餘物係選自由以下各物組成之群:含鈦殘餘物、聚合殘餘物、含銅殘餘物、含鎢殘餘物、含鈷殘餘物及其組合,該組合物包括以以下範圍(以該組合物之總重量計)而存在之至少一蝕刻劑源、至少一有機溶劑、水、(視情況)至少一腐蝕抑制劑、(視情況)至少一螯合劑、(視情況)至少一低k鈍化劑、(視情況)一二氧化矽源及(視情況)至少一界面活性劑。
值得注意的是,至少一蝕刻劑之重量%包括"純"蝕刻劑或者丙二醇/蝕刻劑混合物之量,而不管丙二醇與蝕刻劑之重量比如何。熟習此項技術者應瞭解,清洗組合物中之蝕刻劑的重量%小於添加至清洗組合物之PG/蝕刻劑組份的重量%。舉例而言,包括0.5重量%之PG/HF(96:4)混合物的清洗組合物中之HF的重量%實際上為0.02重量%。
在本發明之廣泛實踐中,第一態樣之清洗組合物可包含以下各物、由以下各物組成或基本上由以下各物組成:(i)至少一蝕刻劑源、至少一金屬螯合劑及水;(ii)氟矽酸、至少一金屬螯合劑及水;(iii)至少一蝕刻劑源、至少一金屬腐蝕抑制劑及水;(iv)氟矽酸、至少一金屬腐蝕抑制劑及水;(v)至少一蝕刻劑源、至少一有機溶劑、至少一金屬螯合劑及水;(vi)氟矽酸、至少一有機溶劑、至少一金屬螯合劑及水;(vii)至少一蝕刻劑源、至少一有機溶劑、至少一金屬腐蝕抑制劑及水;(viii)氟矽酸、至少一有機溶劑、至少一金屬腐蝕抑制劑及水;(ix)至少一蝕刻劑源、至少一有機溶劑、至少一金屬螯合劑、至少一金屬腐蝕抑制劑及水;(x)氟矽酸、至少一有機溶劑、至少一金屬螯合劑、至少一金屬腐蝕抑制劑及水;(xi)至少一蝕刻劑源、至少一有機溶劑、至少一金屬螯合劑、至少一金屬腐蝕抑制劑、經溶解之二氧化矽及水;(xii)氟矽酸、至少一有機溶劑、至少一金屬螯合劑、至少一金屬腐蝕抑制劑、經溶解之二氧化矽及水;(xiii)至少一蝕刻劑源、至少一有機溶劑、至少一金屬腐蝕抑制劑、經溶解之二氧化矽及水;
(xiv)氟矽酸、至少一有機溶劑、至少一金屬腐蝕抑制劑、經溶解之二氧化矽及水;(xv)至少一蝕刻劑、至少一有機溶劑、至少一低k鈍化劑、至少一腐蝕抑制劑及水;或(xvi)至少一蝕刻劑、至少一有機溶劑及水。
包括水,其用以充當溶劑且幫助溶解殘餘物,例如,水溶性氧化銅殘餘物。水較佳經去離子。
在本發明之一較佳實施例中,第一態樣之水性清洗組合物大體上無氧化劑,諸如含過氧化物之化合物及硝酸。在另一較佳實施例中,第一態樣之水性清洗組合物在與待清洗之基板接觸之前大體上無研磨材料。
第一態樣之水性清洗組合物之pH值範圍為約0至約5,較佳為約0至約4.5,且最佳為約0至約2.5。
蝕刻劑源幫助分解並溶解蝕刻後殘餘物物質,幫助聚合物側壁殘餘物移除及輕微地蝕刻TiN硬式光罩。本文中所涵蓋之蝕刻劑源包括(但不限於):氫氟酸(HF);氟矽酸(H2
SiF6
);氟硼酸;氟矽酸銨鹽((NH4
)2
SiF6
);六氟磷酸四甲銨(tetramethylammonium hexafluorophosphate);氟化銨鹽;氟化氫銨鹽;四氟硼酸四丁基銨(TBA-BF4
);重量比為約90:10至約99:1,較佳為約93:7至約98:2的丙二醇/HF;重量比為約75:25至約95:5,較佳為約80:20至約90:10的丙二醇/氟化四烷銨(tetraalkylammonium fluoride),其中烷基可彼此相同或不同且係選自由直鏈或分枝之C1
-C6
烷基(例如,甲基、乙基、丙基、丁基、戊基、己基)組成之群;重量比為約75:25至約95:5,較佳
為約80:20至約90:10的丙二醇/氟化四丁基銨(tetrabutylammonium fluoride);重量比為約75:25至約95:5,較佳為約80:20至約90:10的丙二醇/氟化苄基三甲銨(benzyltrimethylammonium fluoride);及其組合。較佳地,蝕刻劑源包含氟矽酸、丙二醇/HF混合物、TBA-BF4
及其組合。當與含銅層之相容性為重要的時,可使用氟矽酸銨,使得水性清洗組合物之pH值更高(例如,在約2至約4之範圍中,更佳為約3)且因此與含銅層更為相容。
有機溶劑幫助滲透/潤脹及/或溶解有機殘餘物,弄濕微電子設備結構之表面以促進殘餘物移除,防止殘餘物再沈積,且/或鈍化下伏材料(例如,ULK)。本文中所涵蓋之有機溶劑包括(但不限於)醇、醚、吡咯啶酮(pyrrolidinone)、乙二醇、胺及乙二醇醚,其包括(但不限於)甲醇、乙醇、異丙醇、丁醇及更高碳數醇(higher alcohol)(諸如C2
-C4
二醇及C2
-C4
三醇)、鹵代醇(諸如3-氯基-1,2-丙二醇、3-氯基-1-丙硫醇、1-氯基-2-丙醇、2-氯基-1-丙醇、3-氯基-1-丙醇、3-溴基-1,2-丙二醇、1-溴基-2-丙醇、3-溴基-1-丙醇、3-碘基-1-丙醇、4-氯基-1-丁醇、2-氯乙醇)、二氯甲烷、氯仿、乙酸、丙酸、三氟乙酸、四氫呋喃(THF)、N-甲基吡咯啶酮(NMP)、環己基吡咯啶酮、N-辛基吡咯啶酮、N-苯基吡咯啶酮、甲基二乙醇胺、甲酸甲酯、二甲基甲醯胺(DMF)、二甲亞碸(DMSO)、四亞甲基碸(環丁碸)、乙醚、苯氧基-2-丙醇(PPh)、苯丙酮、乳酸乙酯、乙酸乙酯、苯甲酸乙酯、乙腈、丙酮、乙二醇、丙二醇(PG)、1,3-丙二
醇、1,4-丙二醇、二噁烷、丁醯基內酯(butyryl lactone)、碳酸丁二酯、碳酸乙二酯、碳酸丙二酯、二丙二醇、二甘醇單甲醚、三甘醇單甲醚、二甘醇單乙醚、三甘醇單乙醚、乙二醇單丙醚、乙二醇單丁醚、二甘醇單丁醚(亦即,丁基卡必醇)、三甘醇單丁醚、乙二醇單己醚、二甘醇單己醚、乙二醇苯醚、丙二醇甲醚、二丙二醇甲醚(DPGME)、三丙二醇甲醚(TPGME)、二丙二醇二甲醚、二丙二醇乙醚、丙二醇正丙醚、二丙二醇正丙醚(DPGPE)、三丙二醇正丙醚、丙二醇正丁醚、二丙二醇正丁醚、三丙二醇正丁醚、丙二醇苯醚及其組合。另外,有機溶劑可包含其他兩親媒性物質,亦即,類似於界面活性劑之含有親水性部分與疏水性部分兩者的物質。疏水特性可通常由包括由烴基團或碳氟基團組成之分子基團賦予,且親水特性可通常由包括離子或不帶電之極性官能基賦予。較佳地,有機溶劑包括三丙二醇甲醚(TPGME)、二丙二醇甲醚(DPGME)、丙二醇、γ-丁內酯及其組合。當存在時,其包括以該組合物之總重量計至少0.01重量%之有機溶劑。
金屬腐蝕抑制劑用於消除金屬(例如,銅、鎢及/或鈷互連金屬)之過度蝕刻。適宜之腐蝕抑制劑包括(但不限於):唑(諸如苯并三唑(BTA)、1,2,4-三唑(TAZ)、5-胺基四唑(ATA)、1-羥基苯并三唑、5-胺基-1,3,4-噻二唑-2-硫醇、3-胺基-1H-1,2,4-三唑、3,5-二胺基-1,2,4-三唑、甲苯基三唑、5-苯基-苯并三唑、5-硝基-苯并三唑、3-胺基-5-巰基-1,2,4-三唑、1-胺基-1,2,4-三唑、2-(5-胺基-戊基)-苯并三
唑、1-胺基-1,2,3-三唑、1-胺基-5-甲基-1,2,3-三唑、3-巰基-1,2,4-三唑、3-異丙基-1,2,4-三唑、5-苯基硫醇-苯并三唑、鹵基-苯并三唑(鹵基=F、Cl、Br或I)、萘并三唑、1H-四唑-5-乙酸、2-巰基苯并噻唑(2-MBT)、1-苯基-2-四唑啉-5-硫酮、2-巰基苯并咪唑(2-MBI)、4-甲基-2-苯基咪唑、2-巰基噻唑啉、2,4-二胺基-6-甲基-1,3,5-三嗪、噻唑、咪唑、苯并咪唑、三嗪、甲基四唑、試鉍硫醇I(Bismuthiol I)、1,3-二甲基-2-咪唑啶酮、1,5-伸戊基四唑、1-苯基-5-巰基四唑、二胺基甲基三嗪、咪唑啉硫酮、4-甲基-4H-1,2,4-三唑-3-硫醇、5-胺基-1,3,4-噻二唑-2-硫醇、苯并噻唑、磷酸三甲苯酯、吲唑)、DNA鹼(例如,腺嘌呤、胞嘧啶、鳥嘌呤、胸嘧啶)、磷酸鹽抑制劑、胺、吡唑、亞胺二乙酸(IDA)、丙硫醇、矽烷、二級胺苯甲羥肟酸(benzohydroxamic acid)、雜環氮抑制劑、檸檬酸、抗壞血酸、硫脲、1,1,3,3-四甲脲、尿素、尿素衍生物、尿酸、乙基黃原酸鉀、甘胺酸及其混合物。二羧酸(諸如草酸、丙二酸、丁二酸、氮基三乙酸及其組合)亦為有用之銅鈍化劑物質。通常可接受唑化學吸附至銅表面上並形成不溶性亞銅表面複合物。較佳地,腐蝕抑制劑包括抗壞血酸、亞胺二乙酸(IDA)及苯并三唑(BTA)。當存在時,組合物包括以該組合物之總重量計至少0.01重量%之腐蝕抑制劑。
包括螯合劑用以螯合蝕刻後殘餘物物質中被氧化之銅及/或鎢金屬且/或與TiN及/或含鈦殘餘物反應。適宜之螯合劑包括(但不限於):氟化β-二酮螯合劑(諸如1,1,1,5,5,5-六氟-
2,4-戊二酮(hfacH)、1,1,1-三氟-2,4-戊二酮(tfac)及乙醯基丙酮鹽(acac));亞胺二乙酸;吡唑鹽(pyrazolate);脒鹽(amidinate);胍鹽(guanidinate);酮亞胺;二烯;多元胺(polyamine);乙二胺四乙酸(EDTA);1,2-環己二胺-N,N,N',N'-四乙酸(CDTA);依替膦酸;甲磺酸;鹽酸;乙酸;乙醯丙酮;烷基胺;芳基胺;二醇胺;烷醇胺;三唑;噻唑;四唑;咪唑;1,4-苯醌;8-羥基喹啉;亞柳基苯胺;四氯-1,4-苯醌;2-(2-羥苯基)-苯并噁唑;2-(2-羥苯基)-苯并噻唑;羥基喹啉磺酸(HQSA);磺酸基水楊酸(SSA);水楊酸(SA);鹵化四甲銨(例如,氟化四甲銨、氯化四甲銨、溴化四甲銨、碘化四甲銨);及胺與胺-N-氧化物(包括(但不限於)吡啶、2-乙基吡啶、2-甲氧基吡啶及其衍生物(諸如,3-甲氧基吡啶、2-甲基吡啶、吡啶衍生物、二甲基吡啶)、哌啶、哌嗪)、三乙胺、三乙醇胺、乙胺、甲胺、異丁胺、第三丁胺、三丁胺、二丙胺、二甲胺、二甘醇胺(diglycol amine)、單乙醇胺、甲基二乙醇胺、吡咯、異噁唑、1,2,4-三唑、聯吡啶、嘧啶、吡嗪、嗒嗪、喹啉、異喹啉、吲哚、咪唑、N-甲基嗎啉-N-氧化物(NMMO)、三甲胺-N-氧化物、三乙胺-N-氧化物、吡啶-N-氧化物、N-乙基嗎啉-N-氧化物、N-甲基吡咯啶-N-氧化物、N-乙基吡咯啶-N-氧化物、1-甲基咪唑、二異丙胺、二異丁胺、苯胺、苯胺衍生物、五甲基二伸乙三胺(PMDETA)及以上任一者的組合)。較佳地,螯合劑為甲磺酸、鹽酸、PMDETA及其組合。當存在時,組合物包括以該組合物之總重量計
至少0.01重量%之螯合劑。
本發明之第一態樣之組合物可視情況進一步包括界面活性劑,其用以幫助殘餘物移除,弄濕表面,且/或防止殘餘物再沈積。說明性界面活性劑包括(但不限於)兩性鹽、陽離子界面活性劑、陰離子界面活性劑、氟烷基界面活性劑、SURFONYL® 104、TRITON® CF-21、ZONYL® UR、ZONYL® FSO-100、ZONYL® FSN-100、3M Fluorad氟界面活性劑(亦即,FC-4430及FC-4432)、磺基琥珀酸二辛鹽(dioctylsulfosuccinate salt)、2,3-二巰基-1-丙烷磺酸鹽、十二烷基苯磺酸、聚乙二醇、聚丙二醇、聚乙二醇醚或聚丙二醇醚、羧酸鹽、R1
苯磺酸或R1
苯磺酸鹽(其中R1
為直鏈或分枝之C8
-C18
烷基)、兩親媒性氟聚合物、聚乙二醇、聚丙二醇、聚乙二醇醚或聚丙二醇醚、羧酸鹽、十二烷基苯磺酸、聚丙烯酸酯聚合物、二壬苯基聚氧乙烯(dinonylphenyl polyoxyethylene)、聚矽氧或經改質之聚矽氧聚合物、炔二醇(acetylenic diol)或經改質之炔二醇、烷基銨或經改質之烷基銨鹽,以及包含上述界面活性劑、十二烷基硫酸鈉、兩性離子界面活性劑、氣溶膠-OT(AOT)及其氟化類似物、烷基銨、全氟聚醚界面活性劑、2-磺基琥珀酸鹽、以磷酸鹽為主之界面活性劑(phosphate-based surfactant)、以硫為主之界面活性劑及以乙醯乙酸鹽為主之聚合物中之至少一者的組合。當存在時,組合物包括以該組合物之總重量計至少0.01重量%之界面活性劑。
本發明之第一態樣之組合物可進一步包括二氧化矽源。
吾人驚訝地發現,可使用一包括蝕刻劑加經溶解之二氧化矽的水性組合物來獲得高的TiN:ULK選擇性。可較佳以約4:1至約5:1的蝕刻劑與二氧化矽源比率將二氧化矽添加至組合物,作為細二氧化矽粉末或作為四烷氧矽烷(諸如TEOS)。在一特定較佳之實施例中,蝕刻劑源為氟矽酸,且二氧化矽源為TEOS。該較佳實施例進一步包括以乙二醇為主之溶劑,其用以促進二氧化矽源溶解於組合物中。當存在時,組合物包括以該組合物之總重量計至少0.01重量%之二氧化矽。
可包括低k鈍化劑以減少低k層之化學腐蝕並防止晶圓的另外氧化。硼酸為當前較佳之低k鈍化劑,但為此目的亦可有利地利用其他羥基添加劑,例如,3-羥基-2-萘甲酸、丙二酸、亞胺二乙酸及其混合物。較佳地,低k鈍化劑包含亞胺二乙酸。當存在時,組合物包括以該組合物之總重量計至少0.01重量%之低k鈍化劑。較佳地,使用本發明之移除組合物所蝕刻/移除之下伏的低k材料為以下伏之低k材料的總重量計小於2重量%,更佳小於1重量%,最佳小於0.5重量%。
在各種較佳實施例中,本發明之第一態樣之水性清洗組合物係按以下調配物A-S予以調配,其中所有百分比均為以調配物之總重量計的重量%:調配物A:
氟矽酸:1.2重量%;丁基卡必醇:15.0重量%;水:72.8重量%;抗壞血酸:1.0重量%;甲磺酸:10.0重量%
調配物B:
氟矽酸:1.2重量%;丁基卡必醇:15.0重量%;水:72.8重量%;抗壞血酸:1.0重量%;乙酸:10.0重量%
調配物C:
氟矽酸:1.2重量%;水:87.8重量%;ZONYL FSO-100:0.5重量%;抗壞血酸:0.5重量%;乙酸:10.0重量%
調配物D:
氟矽酸:0.7重量%;丁基卡必醇:8.0重量%;水:86.1重量%;抗壞血酸:0.2重量%;甲磺酸:5.0重量%
調配物E:
氟矽酸:0.9重量%;丁基卡必醇:32.4重量%;水:59.9重量%;抗壞血酸:0.3重量%;甲磺酸:6.5重量%
調配物F:
氟矽酸:0.6重量%;丁基卡必醇:19.7重量%;水:67.7重量%;抗壞血酸:0.2重量%;甲磺酸:11.8重量%
調配物G:
氟矽酸:0.7重量%;丁基卡必醇:8.0重量%;水:85.9重量%;抗壞血酸:0.2重量%;甲磺酸:5.0重量%;鹽酸:0.2重量%
調配物H:
氟矽酸:0.7重量%;丁基卡必醇:8.0重量%;水:88.3重量%;抗壞血酸:0.5重量%;NMMO:2.5重量%
調配物I:
水:88.63重量%;二(丙二醇)甲醚:6.75重量%;H2
SiF6
:1.01重量%;TEOS:0.29重量%;五甲基二伸乙三胺:1.20重量%;抗壞血酸:2.41重量%;pH=3;密
度=1.01 g/mL
調配物J:
水:91.64重量%;二(丙二醇)甲醚:5.00重量%;H2
SiF6
:1.01重量%;TEOS:0.35重量%;環丁碸:2.00重量%;pH=1.60;密度=1.01 g/mL
調配物K:
3-氯基-1,2-丙二醇:40.00重量%;水:43.40重量%;硼酸:1.00重量%;三丙二醇甲醚:25.00重量%;抗壞血酸:0.50重量%;TBA-BF4
:0.10重量%
調配物L:
3-氯基-1,2-丙二醇:40.00重量%;水:35.50重量%;硼酸:1.00重量%;三丙二醇甲醚:20.00重量%;抗壞血酸:2.00重量%;TBA-BF4
:0.50重量%;丙二酸:1.00重量%
調配物M:
水:88.97重量%;二(丙二醇)甲醚:6.71重量%;H2
SiF6
:1.01重量%;TEOS:0.30重量%;抗壞血酸:2.39重量%;亞胺二乙酸:0.62重量%
調配物N:
水:89.45重量%;二(丙二醇)甲醚:6.83重量%;(NH4
)2
SiF6
:0.99重量%;TEOS:0.29重量%;抗壞血酸:2.44重量%;pH=2.9;密度=1.01 g/mL
調配物O:
水:79.0重量%;3-氯基-1,2-丙二醇:20.0重量%;Bz TMAF:0.15重量%;丙二醇:0.85重量%;pH=2.7
調配物P:
水:78.7重量%;3-氯基-1,2-丙二醇:20.0重量%;Bz TMAF:0.15重量%;丙二醇:0.85重量%;BTA:0.3重量%;pH=3.5
調配物Q:
水:90.6重量%;3-氯基-1,2-丙二醇:8.0重
量%;Bz TMAF:0.2重量%;丙二醇:1.1重量%;BTA:0.1重量%;pH=3.6
調配物R:
水:90.45重量%;3-氯基-1,2-丙二醇:8.0重量%;Bz TMAF:0.19重量%;丙二醇:1.06重量%;BTA:0.3重量%;pH=3.5
調配物S:
水:79.50-79.99重量%;DMSO:20.0重量%;六氟磷酸四甲銨0.01-0.5重量%
在第一態樣之另一實施例中,本發明之水性組合物包括氟矽酸、至少一螯合劑及水,其中該或該等螯合劑相對於氟矽酸之重量百分比比率為約5至約20,且其中水之量以該組合物之總重量計小於75重量%。在一特定較佳之實施例中,螯合劑包含甲磺酸。
在此態樣之另一實施例中,本發明之第一態樣之水性組合物包括氟矽酸、至少一金屬腐蝕抑制劑及水,其中該或該等金屬腐蝕抑制劑相對於氟矽酸之重量百分比比率為約0.30至約0.35或為約0.80至約0.85,且其中水之量以該組合物之總重量計小於75重量%。在一特定較佳之實施例中,螯合劑包含抗壞血酸。
在第一態樣之另一實施例中,本發明之水性組合物包括氟矽酸、至少一有機溶劑、至少一螯合劑、至少一金屬腐蝕抑制劑及水,其中該或該等有機溶劑相對於氟矽酸的重量百分比比率為約10至約15,該或該等螯合劑相對於氟矽酸之重量百分比比率為約5至約12,該或該等腐蝕抑制劑相對於氟矽酸之重量百分比比率為約0.80至約0.85,且其
中水之量以該組合物之總重量計小於75重量%。在一特定較佳之實施例中,水性組合物包含氟矽酸、二甘醇丁醚(diethylene glycol butyl ether)及抗壞血酸。
在第一態樣之另一實施例中,本發明之水性組合物包括氟矽酸、至少一有機溶劑、至少一螯合劑、至少一金屬腐蝕抑制劑及水,其中該或該等有機溶劑相對於氟矽酸之重量百分比比率為約30至約38,該或該等螯合劑相對於氟矽酸之重量百分比比率為約5至約20,該或該等金屬腐蝕抑制劑相對於氟矽酸之重量百分比比率為約0.30至約0.35,且其中水之量以該組合物之總重量計小於75重量%。在一特定較佳之實施例中,水性組合物包含氟矽酸、二甘醇丁醚、抗壞血酸及甲磺酸。
在第一態樣之另一實施例中,水性組合物包括至少一有機溶劑、至少一蝕刻劑、至少一螯合劑、一二氧化矽源、至少一鎢腐蝕抑制劑及水。適宜之鎢腐蝕抑制劑包括(但不限於)環丁碸、2-巰基噻唑啉、2,3,5-三甲基吡嗪、2-乙基-3,5-二甲基吡嗪、喹喏啉、乙醯基吡咯、嗒嗪、組胺酸、吡嗪、甘胺酸、苯并咪唑、苯并三唑(BTA)、亞胺二乙酸(IDA)、麩胱甘肽(已還原)、半胱胺酸、2-巰基苯并咪唑、胱胺酸、噻吩、巰基吡啶N-氧化物、噻胺HCl、二硫化四乙基雙甲硫羰醯胺、1,2,4-三唑、2,5-二巰基-1,3-噻二唑抗壞血酸、抗壞血酸及其組合,較佳為環丁碸、吡嗪、甘胺酸、組胺酸、抗壞血酸及其組合。在一特定較佳之實施例中,水性組合物包括至少一有機溶劑、蝕刻劑、至少
一螯合劑、一二氧化矽源、至少一W腐蝕抑制劑及水,其中該或該等有機溶劑相對於蝕刻劑之重量百分比比率為約5至約8,較佳為約6.5至約7,水相對於蝕刻劑之重量百分比比率為約85至約91,較佳為約86至約89,二氧化矽源相對於蝕刻劑之重量百分比比率為約0.1至約0.5,較佳為約0.25至約0.35,該或該等螯合劑相對於蝕刻劑之重量百分比比率為約0.5至約2.5,較佳為約1至約1.5,且該或該等W腐蝕抑制劑相對於蝕刻劑之重量百分比比率為約1至約4,較佳為約2至約2.5。可使用此實施例之組合物在大體上不移除ULK、Cu或W的情況下或針對Cu或W CMP而蝕刻TiN。較佳地,蝕刻劑包含氟矽酸。舉例而言,在一實施例中,水性組合物包含以下各物、由以下各物組成或基本上由以下各物組成:水、二(丙二醇)甲醚、五甲基二伸乙三胺、氟矽酸、TEOS及抗壞血酸。
在第一態樣之另一實施例中,水性組合物包括至少一有機溶劑、至少一蝕刻劑、一二氧化矽源、至少一鎢腐蝕抑制劑及水。在一特定較佳之實施例中,水性組合物包括至少一有機溶劑、蝕刻劑、一二氧化矽源、至少一W腐蝕抑制劑及水,其中該或該等有機溶劑相對於蝕刻劑之重量百分比比率為約3至約7,較佳為約4.5至約7,水相對於蝕刻劑之重量百分比比率為約88至約93,較佳為約90至約91,二氧化矽源相對於蝕刻劑之重量百分比比率為約0.1至約0.5,較佳為約0.25至約0.35,且該或該等W腐蝕抑制劑相對於蝕刻劑之重量百分比比率為約1至約4,較佳為約2至
約2.5。可使用此實施例之組合物在大體上不移除ULK、Cu或W的情況下或可針對Cu或W CMP而蝕刻TiN。較佳地,蝕刻劑包含氟矽酸。舉例而言,在一實施例中,水性組合物包含以下各物、由以下各物組成或基本上由以下各物組成:水、二(丙二醇)甲醚、氟矽酸、TEOS及環丁碸。在另一實施例中,水性組合物包含以下各物、由以下各物組成或基本上由以下各物組成:水、二(丙二醇)甲醚、氟矽酸銨、TEOS及抗壞血酸。
在第一態樣之另一實施例中,水性組合物包括至少一蝕刻劑、至少一有機溶劑、至少一低k鈍化劑、至少一腐蝕抑制劑及水。在一特定較佳之實施例中,水性組合物包括TBA-BF4
、至少一有機溶劑、至少一低k鈍化劑、至少一腐蝕抑制劑及水,其中該或該等有機溶劑相對於該或該等低k鈍化劑之重量百分比比率為約30至約70,較佳為約50至約65;水相對於該或該等低k鈍化劑之重量百分比比率為約25至約60,較佳為約35至約50;該或該等腐蝕抑制劑相對於該或該等低k鈍化劑之重量百分比比率為約0.1至約5,較佳為約0.5至約3;且該或該等蝕刻劑相對於一或多個低k鈍化劑之重量百分比比率為約0.01至約2,較佳為約0.05至約1。
在本發明之第一態樣之又一實施例中,水性組合物包括水、至少一有機溶劑、至少一腐蝕抑制劑及至少一蝕刻劑。在一特定較佳之實施例中,水性組合物包括水、至少一有機溶劑及丙二醇/氟化苄基三甲銨蝕刻劑,其中該或
該等有機溶劑相對於PG/氟化苄基三甲銨蝕刻劑的重量百分比比率為約60至約90,較佳為約70至約80;水相對於PG/氟化苄基三甲銨蝕刻劑的重量百分比比率為約2至約30,較佳為約15至約25;且該或該等腐蝕抑制劑相對於PG/氟化苄基三甲銨蝕刻劑的重量百分比比率為0.01至約0.5,較佳為約0.1至約0.3。舉例而言,在一實施例中,水性組合物包含以下各物、由以下各物組成或基本上由以下各物組成:水、3-氯基-1,2-丙二醇、氟化苄基三甲銨:丙二醇及苯并三唑。
在第一態樣之另一實施例中,本文中所描述之水性組合物進一步包括電漿蝕刻後殘餘物,其中該電漿蝕刻後殘餘物包含選自由以下各物組成之群的殘餘物材料:含鈦殘餘物、聚合殘餘物、含銅殘餘物、含鎢殘餘物、含鈷殘餘物及其組合。殘餘物材料可溶解及/或懸浮於本發明之水性組合物中。
在第一態樣之又一實施例中,本文中所描述之水性組合物進一步包括氮化鈦殘餘物材料。殘餘物材料可溶解及/或懸浮於本發明之水性組合物中。
本發明之第一態樣之組合物可用於在大體上不蝕刻圖案化或毯覆式鎢層、銅層及/或ULK層的情況下選擇性地移除TiN、側壁殘餘物及/或蝕刻後殘餘物。除水溶液之外,本文中亦涵蓋,可將水性清洗組合物調配為泡沫、霧、亞臨界或超臨界流體(亦即,其中溶劑為CO2
等而非水)。
在第二態樣中,本發明之清洗組合物為半水性或非水性
組合物,且包括至少一有機溶劑及至少一金屬螯合劑、(視情況)至少一界面活性劑、(視情況)至少一腐蝕抑制劑、(視情況)至少一低k鈍化劑、(視情況)至少一蝕刻劑,及(視情況)水,該等清洗組合物用於自一微電子設備之表面移除電漿蝕刻後殘餘物,該微電子設備上具有該電漿蝕刻後殘餘物,其中該電漿蝕刻後殘餘物包含選自由以下各物組成之群的物質:含鈦殘餘物、聚合殘餘物、含銅殘餘物、含鎢殘餘物、含鈷殘餘物及其組合。在另一實施例中,該等清洗組合物包括至少一有機溶劑、至少一金屬螯合劑及至少一腐蝕抑制劑。在又一實施例中,該等清洗組合物包括至少一有機溶劑、至少一金屬螯合劑、至少一腐蝕抑制劑及水。在另一實施例中,本發明之清洗組合物包括至少一有機溶劑、至少一金屬螯合劑、至少一腐蝕抑制劑、至少一界面活性劑及水。在再一實施例中,本發明之清洗組合物包括至少一有機溶劑、至少一金屬螯合劑、至少一腐蝕抑制劑、至少一低k鈍化劑及水。在又一實施例中,本發明之清洗組合物包括至少一有機溶劑、至少一金屬螯合劑、至少一腐蝕抑制劑、至少一界面活性劑、至少一低k鈍化劑及水。在另一實施例中,本發明之清洗組合物包括至少一有機溶劑、至少一金屬螯合劑及至少一蝕刻劑。在另一實施例中,本發明之清洗組合物包括至少一有機溶劑、至少一金屬螯合劑、至少一腐蝕抑制劑及至少一蝕刻劑。本發明之此態樣之清洗組合物移除電漿蝕刻後殘餘物而同時不會損害金屬層,金屬層包括鈷及含鈷合金
(例如微電子設備表面上之CoWP、TiN及ILD材料)。
在第二態樣之一實施例中,本發明係關於一種用於清洗電漿蝕刻後殘餘物的水性組合物,該等電漿蝕刻後殘餘物係選自由以下各物組成之群:含鈦殘餘物、聚合殘餘物、含銅殘餘物、含鎢殘餘物、含鈷殘餘物及其組合,該組合物包括以以下範圍(以該組合物之總重量計)而存在之至少一有機溶劑、至少一金屬螯合劑、至少一腐蝕抑制劑、水、(視情況)至少一界面活性劑及(視情況)至少一低k鈍化劑。
在第二態樣之另一實施例中,本發明係關於一種用於清洗電漿蝕刻後殘餘物之非水性組合物,該等電漿蝕刻後殘餘物係選自由以下各物組成之群:含鈦殘餘物、聚合殘餘物、含銅殘餘物、含鎢殘餘物、含鈷殘餘物及其組合,該組合物包括以以下範圍(以該組合物之總重量計)而存在之至少一有機溶劑及至少一金屬螯合劑。
在第二態樣之再一實施例中,本發明係關於一種用於清
洗電漿蝕刻後殘餘物的組合物,該等電漿蝕刻後殘餘物係選自由以下各物組成之群:含鈦殘餘物、聚合殘餘物、含銅殘餘物、含鎢殘餘物、含鈷殘餘物及其組合,該組合物包括以以下範圍(以該組合物之總重量計)而存在之至少一有機溶劑、至少一金屬螯合劑及至少一蝕刻劑。
值得注意的是,至少一蝕刻劑之重量%包括"純"蝕刻劑或者丙二醇/蝕刻劑混合物之量,而不管丙二醇與蝕刻劑之重量比如何。熟習此項技術者應瞭解,清洗組合物中之蝕刻劑的重量%小於添加至清洗組合物之PG/蝕刻劑組份的重量%。舉例而言,包括0.5重量%之PG/HF(96:4)混合物的清洗組合物中之HF的重量%實際上為0.02重量%。
在第二態樣之再一實施例中,本發明係關於一用於清洗電漿蝕刻後殘餘物之組合物,該等電漿蝕刻後殘餘物係選自由以下各物組成之群:含鈦殘餘物、聚合殘餘物、含銅殘餘物、含鎢殘餘物、含鈷殘餘物及其組合,該組合物包括以以下範圍(以該組合物之總重量計)而存在之至少一有機溶劑、至少一金屬螯合劑、至少一腐蝕抑制劑及至少一蝕刻劑。
值得注意的是,該至少一蝕刻劑之重量%包括"純"蝕刻劑或者丙二醇/蝕刻劑混合物之量,而不管丙二醇與蝕刻劑之重量比如何。熟習此項技術者應瞭解,清洗組合物中之蝕刻劑的重量%小於添加至清洗組合物之PG/蝕刻劑組份的重量%。舉例而言,包括0.5重量%之PG/HF(96:4)混合物的清洗組合物中之HF的重量%實際上為0.02重量%。
在本發明之廣泛實踐中,清洗組合物可包含以下各物、由以下各物組成或基本上由以下各物組成:(i)至少一有機溶劑及至少一螯合劑;(ii)至少一有機溶劑、至少一金屬螯合劑及至少一腐蝕抑制劑;(iii)至少一有機溶劑、至少一金屬螯合劑、至少一腐蝕抑制劑及水;(iv)至少一有機溶劑、至少一金屬螯合劑、至少一腐蝕抑制劑及水;(v)至少一有機溶劑、至少一金屬螯合劑、至少一腐蝕抑制劑、至少一界面活性劑及水;(vi)至少一有機溶劑、至少一金屬螯合劑、至少一腐蝕抑制劑、至少一低k鈍化劑及水;(vii)至少一有機溶劑、至少一金屬螯合劑、至少一腐蝕抑制劑、至少一界面活性劑、至少一低k鈍化劑及水;(viii)至少一有機溶劑、至少一金屬螯合劑及至少一蝕刻劑;及(ix)至少一有機溶劑、至少一金屬螯合劑、至少一腐蝕抑制劑及至少一蝕刻劑。
移除組合物之組份之重量百分比比率的範圍為:一或多個有機溶劑相對於一或多個螯合劑之重量百分比比率為約
0.1至約20,較佳為約3.5至約15,甚至更佳為約3.5至約5;水(當存在時)相對於一或多個螯合劑之重量百分比比率為約0.1至約50,較佳為約1至約25且最佳為約2至約12;金屬腐蝕抑制劑(當存在時)相對於一或多個螯合劑之重量百分比比率為約0.001至約0.2,較佳為約0.01至約0.1;一或多個低k鈍化劑(當存在時)相對於一或多個螯合劑之重量百分比比率為約0.001至約0.2,較佳為約0.01至約0.1;且蝕刻劑或PG/蝕刻劑混合物(當存在時)相對於一或多個螯合劑之重量百分比比率為約0.01至約1,較佳為約0.025至約0.35,甚至更佳為約0.025至約0.15。
先前已在上文中描述了用於本發明之此態樣的該或該等有機溶劑、該或該等螯合劑、該或該等腐蝕抑制劑、該或該等蝕刻劑及該或該等界面活性劑。較佳地,溶劑包括三丙二醇甲醚、丙二醇、γ-丁基內酯及/或3-氯基-1,2-丙二醇。較佳地,螯合劑包括甲磺酸、二異丙胺、五甲基二伸乙三胺及其組合。較佳之蝕刻劑包括PG/HF(96:4)、PG/氟化四丁基銨(85/15)、TBA-BF4
或其組合。
水較佳經去離子。
在各種較佳之實施例中,將本發明之此態樣之水性清洗組合物調配成以下調配物AA-AY,其中所有百分比均為以調配物之總重量計的重量%。
調配物AA:
30.0重量%之二甘醇丁醚;62.87重量%之水;5.63重量%之HCl;1.00重量%之三乙醇胺;0.50重量%之抗壞血酸
調配物AB:
30.0重量%之二甘醇丁醚;54.00重量%之水;10.00重量%之甲磺酸;5.00重量%之乙醯丙酮;0.50重量%之亞胺二乙酸;0.50重量%之抗壞血酸
調配物AC:
30.0重量%之二甘醇丁醚;15.0重量%之二甘醇甲醚;44.00重量%之水;10.00重量%之甲磺酸;0.50重量%之亞胺二乙酸;0.50重量%之抗壞血酸
調配物AD:
30.0重量%之二甘醇丁醚;15.0重量%之三丙二醇甲醚;44.00重量%之水;10.00重量%之甲磺酸;0.50重量%之亞胺二乙酸;0.50重量%之抗壞血酸
調配物AE:
90.0重量%之3-氯基-1,2-丙二醇;10.0重量%之甲磺酸
調配物AF:
90.0重量%之3-氯基-1,2-丙二醇;9.0重量%之甲磺酸;1.0重量%之氯化四甲銨
調配物AG:
80.0重量%之3-氯基-1,2-丙二醇;20.0重量%之二異丙胺
調配物AH:
80.0重量%之三丙二醇甲醚;20.0重量%之二異丙胺
調配物AI:
80.0重量%之三丙二醇甲醚;20.0重量%之五甲基二伸乙三胺
調配物AJ:
40.0重量%之3-氯基-1,2-丙二醇;40.0重量%之三丙二醇甲醚;20.0重量%之五甲基二伸乙三胺
調配物AK:
30.0重量%之3-氯基-1,2-丙二醇;30.0重量%之三丙二醇甲醚;30.0重量%之碳酸丙二酯;10.0重量%之甲磺酸
調配物AL:
甲磺酸:10.00重量%;三(丙二醇)甲醚:50.00重量%;3-氯基-1,2-丙二醇:40.00重量%;pH=1.70(用水以50:1來稀釋);密度=1.14 g mL-1
;在25℃下黏度=31.35 cSt
調配物AM:
五甲基二伸乙三胺:10.00重量%;三(丙二醇)甲醚:50.00重量%;丙二醇:40.00重量%;pH=10.56(用水以50:1來稀釋);密度=0.98 g mL-1
;在25℃下黏度=14.55 cSt
調配物AN:
五甲基二伸乙三胺:10.00重量%;三(丙二醇)甲醚:50.00重量%;丙二醇:39.25重量%;PG/HF(96:4):0.75重量%;pH=10.40(用水以50:1來稀釋);密度=0.98 g/mL
調配物AO:
五甲基二伸乙三胺:10.00重量%;三(丙二醇)甲醚:50.00重量%;丙二醇:39.50重量%;PG/HF(96:4):0.50重量%;pH=10.40(用水以50:1來稀釋);密度=0.98 g/mL
調配物AP:
五甲基二伸乙三胺:20.00重量%;三(丙二醇)甲醚:44.444重量%;丙二醇:35.556重量%;pH=10.56(用水以50:1來稀釋);密度=0.98 g/mL
調配物AQ:
五甲基二伸乙三胺:9.756重量%;三(丙二醇)甲醚:48.780重量%;丙二醇:39.024重量%;PG/氟化四丁基銨(85:15):2.440重量%
調配物AR:
五甲基二伸乙三胺:9.756重量%;三(丙二醇)甲醚:48.780重量%;丙二醇:39.024重量%;PG/氟化
苄基三甲銨(85:15):2.440重量%
調配物AS:
五甲基二伸乙三胺:20.00重量%;三(丙二醇)甲醚:44.20重量%;丙二醇:35.30重量%;四氟硼酸四丁基銨(TBA-BF4
):0.50重量%
調配物AT:
五甲基二伸乙三胺:20.00重量%;三(丙二醇)甲醚:39.75重量%;丙二醇:39.75重量%;四氟硼酸四丁基銨(TBA-BF4
):0.50重量%
調配物AU:
五甲基二伸乙三胺:20.00重量%;三(丙二醇)甲醚:22.30重量%;丙二醇:57.20重量%;四氟硼酸四丁基銨(TBA-BF4
):0.50重量%
調配物AV:
五甲基二伸乙三胺:20.00重量%;三(丙二醇)甲醚:20.00重量%;丙二醇:42.00重量%;γ-丁內酯(GBL):15.00重量%;PG/HF(96:4):3.00重量%
調配物AW:
五甲基二伸乙三胺:20.00重量%;丙二醇:52.00重量%;γ-丁內酯:25.00重量%;PG/HF(96:4):3.00重量%;pH=9.90(用水以50:1來稀釋);密度=1.03 g/mL
調配物AX:
五甲基二伸乙三胺:20.00重量%;丙二醇:52.00重量%;三(丙二醇)甲醚:25.00重量%;PG/HF(96:4):3.00重量%
調配物AY:
五甲基二伸乙三胺:19.98重量%;丙二醇:51.31重量%;γ-丁內酯:24.97重量%;PG/HF (96:4):2.99重量%;苯并三唑:0.75重量%;pH=10.03(用水以50:1來稀釋);密度=1.03 g/mL
在第二態樣之另一實施例中,本文中所描述之清洗組合物進一步包括電漿蝕刻後殘餘物,其中該電漿蝕刻後殘餘物包含選自由以下各物組成之群的殘餘物材料:含鈦殘餘物、聚合殘餘物、含銅殘餘物、含鎢殘餘物、含鈷殘餘物及其組合。重要的是,殘餘物材料可溶解及/或懸浮於本發明之水性組合物中。
在第二態樣之一特定較佳之實施例中,清洗組合物包括至少一乙二醇醚、水、甲磺酸、亞胺二乙酸及抗壞血酸,其中該至少一乙二醇醚包括二甘醇丁醚及/或三丙二醇甲醚。在第二態樣之另一較佳之實施例中,清洗組合物包括五甲基二伸乙三胺、丙二醇、γ-丁內酯及PG/HF。在再一較佳之實施例中,清洗組合物包括五甲基二伸乙三胺、丙二醇、γ-丁內酯、PG/HF及苯并三唑。
本發明之第一態樣之組合物可用於在大體上不蝕刻圖案化或毯覆式鎢層、TiN、銅層及/或ULK層之情況下選擇性地移除側壁殘餘物及/或蝕刻後殘餘物。除液體溶液之外,本文中亦涵蓋,可將本發明之兩個態樣之組合物調配為泡沫、霧、亞臨界或超臨界流體(亦即,其中溶劑為CO2
等而非水)。
有利地,本發明之兩個態樣之清洗組合物在不損害存在於設備上之ILD、覆蓋層及/或金屬互連層的情況下自微電子設備之頂表面、側壁及通道與線路有效地移除電漿蝕刻後殘餘物。另外,不管是首先蝕刻溝槽還是首先蝕刻通道,均可使用該等組合物。
應瞭解,在一般清洗應用中,普遍做法是以極端稀釋來使用高度濃縮之形式。舉例而言,可以更為濃縮之形式(為了可溶性目包括至少約20重量%)來製造清洗組合物,且其後在製造者處、在使用之前及/或在加工時之使用期間用額外溶劑(例如,水及/或有機溶劑)來稀釋該清洗組合物。稀釋率可在約0.1份稀釋劑:1份移除組合物濃縮物至約3份稀釋劑:1份移除組合物濃縮物的範圍中(較佳為約1:1)。應理解,在稀釋後,移除組合物之許多組份的重量百分比比率將保持不變。
可藉由簡單添加各別成份且混合至均勻狀態來容易地調配本發明之兩個態樣之組合物。此外,可不難將該等組合物調配為單包裝式調配物或在使用時予以混合之多份式調配物(較佳為多份式調配物)。可在工具處或在該工具上游之貯槽中混合多份式調配物之個別份。在本發明之廣泛實踐中,各別成份之濃度可在特定多種組合物中廣泛變化(亦即,更稀或更濃),且應瞭解,本發明之組合物可不同地且替代地包含與本文中之揭示內容一致之成份的任何組合,由或基本上由與本文中之揭示內容一致之成份的任何組合組成。
因此,本發明之另一態樣係關於一套組,該套組在一或多個容器中包括一或多個經調適以形成本發明之組合物的組份。較佳地,該套組在一或多個容器中包括至少一蝕刻劑源、至少一金屬螯合劑、(視情況)水、(視情況)至少一有機溶劑、(視情況)至少一腐蝕抑制劑、(視情況)至少一
低k鈍化劑、(視情況)至少一界面活性劑及(視情況)一二氧化矽源之較佳組合,其用於在加工時或在使用時與或不與額外水及/或有機溶劑組合。或者,該套組在一或多個容器中包括至少一蝕刻劑源、至少一金屬腐蝕抑制劑、(視情況)水、(視情況)至少一有機溶劑、(視情況)至少一螯合劑、(視情況)至少一低k鈍化劑、(視情況)至少一界面活性劑及(視情況)一二氧化矽源之較佳組合,其用於在加工時或在使用時與或不與額外水及/或有機溶劑組合。或者,該套組在一或多個容器中包括至少一蝕刻劑源、至少一有機溶劑、(視情況)水、(視情況)至少一金屬腐蝕抑制劑、(視情況)至少一螯合劑、(視情況)至少一低k鈍化劑、(視情況)至少一界面活性劑及視情況二氧化矽源之較佳組合,其用於在加工時或在使用時與或不與額外水及/或有機溶劑組合。或者,該套組在一或多個容器中包括至少一有機溶劑及至少一金屬螯合劑、(視情況)至少一界面活性劑、(視情況)至少一腐蝕抑制劑、(視情況)至少一低k鈍化劑、(視情況)至少一蝕刻劑及(視情況)水之較佳組合,其用於在加工時或在使用時與或不與額外水及/或有機溶劑組合。該套組之容器必須適用於儲存並運輸該等清洗組合物組份,例如,NOWPak®容器(Advanced Technology Materials有限公司(Danbury, Conn., USA)。含有移除組合物之組份的該或該等容器較佳包括用於使該或該等容器中之組份流體連通便進行摻合及分配(dispense)的構件。舉例而言,參考NOWPak®容器,可將氣體壓力施加至該或
該等容器中之襯墊的外部以導致該襯墊之內含物之至少一部分被排出,且因此致能流體連通以便進行摻合及分配。或者,可將氣體壓力施加至習知之可加壓容器之頭部空間,或可使用泵來致能流體連通。另外,該系統較佳包括用於將經摻合之移除組合物分配至處理工具的分配埠。
較佳使用大體上化學性質為惰性、不含雜質、具可撓性及彈性之聚合膜材料(諸如高密度聚乙烯)來製造用於該或該等容器之襯墊。處理所要之襯墊材料而不要求共擠出或障壁層,且無需任何顏料、UV抑制劑或可能會不利地影響將安置於襯墊中之組份之純度要求的處理劑。所要之襯墊材料的清單包括膜,此等膜包含天然(不含添加劑)聚乙烯、天然聚四氟乙烯(PTEE)、聚丙烯、聚胺甲酸酯、聚(二)氯亞乙烯、聚氯乙稀、聚甲醛、聚苯乙烯、聚丙烯腈、聚丁烯等等。此等襯墊材料之較佳厚度在約5密耳(0.005吋)至約30密耳(0.030吋)的範圍中,例如,厚度為20密耳(0.020吋)。
關於用於本發明之套組之容器,以下專利及專利申請案之揭示內容的各別全文以引用方式併入本文中:標題為"APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS"之美國專利第7,188,644號;標題為"RETURNABLE AND REUSABLE, BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM"之美國專利第6,698,619號;及以John E.Q. Hughes之名義在2007年5月9日提出申請的標題為
"SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION"的美國專利申請案第60/916,966號。
當應用至微電子製造操作時,本發明之兩個態樣之清洗組合物可有效用於自微電子設備之表面清洗電漿蝕刻後殘餘物,且可在施用經調配以自該設備之表面移除替代材料之其他組合物之前或之後將該清洗組合物施用至該表面。重要的是,本發明之組合物不會損害設備表面上之ILD材料,且較佳在移除處理之前移除存在於設備上之至少90%的殘餘物,更佳移除至少95%且最佳移除至少99%之待移除的殘餘物。
在電漿蝕刻後殘餘物移除應用中,可以任何適宜之方式將組合物施用至待清洗之設備,例如,藉由將該組合物噴灑於待清洗之設備表面上,藉由將待清洗之設備浸漬於該組合物之靜態或動態體積中,藉由使待清洗之設備與有該組合物吸收於其上的另一材料(例如,墊子或纖維吸收性施料器元件)接觸,或藉由任何其他適宜之使組合物與待清洗之設備形成移除接觸的構件、方式或技術。此外,本文中涵蓋分批或單一晶圓處理。
在使用本發明之兩個態樣之組合物於自其上具有電漿蝕刻後殘餘物之微電子設備移除該電漿蝕刻後殘餘物中,通常在約20℃至約90℃,較佳為約40℃至約70℃,且最佳為約50℃至約60℃範圍內之溫度下使該組合物與該設備靜態或動態接觸,歷時約1分鐘至約30分鐘,較佳為約1分鐘至10分鐘的時間。較佳地,該接觸為靜態的。此等接觸時間
及溫度為說明性的,且可在本發明之廣泛實踐內利用任何其他適宜之可有效自該設備至少部分地移除蝕刻後殘餘物材料的時間及溫度條件。殘餘物材料自微電子設備之"至少部分移除"對應於該材料之至少90%的移除,較佳為至少95%之移除。最佳地,使用本發明之組合物來移除殘餘物材料之至少99%。
在達成所要之移除作用之後,可不難自本發明之兩個態樣之組合物先前所施用至之設備將其移除,例如,藉由沖洗、洗滌或一或多個其他移除步驟,如在本發明之組合物之特定最終用途應用中可能需要且有效。舉例而言,可用包括去離子水之沖洗溶液來沖洗該設備及/或使其乾燥(例如,離心法脫水、N2
、蒸氣乾燥等等)。
必要時,可能需要清洗後烘焙步驟及/或異丙醇蒸氣乾燥步驟來移除可能吸附至ILD材料之孔中的非揮發性材料以便不改變低k介電材料之電容。
本發明之另一態樣係關於根據本發明之方法製成的經改良之微電子設備及含有此等微電子設備之產品。
本發明之又一另外的態樣係關於製造一包含一微電子設備之物品的方法,該方法包含:使該微電子設備與一組合物接觸,歷時足夠的時間以自該微電子設備(該微電子設備上具有該殘餘物)清洗電漿蝕刻後殘餘物;及將該微電子設備併入該物品中,其中該組合物包括至少一蝕刻劑源、至少一金屬螯合劑、水、(視情況)至少一有機溶劑、(視情況)至少一腐蝕抑制劑、(視情況)至少一低k鈍化劑、
(視情況)至少一界面活性劑及(視情況)一二氧化矽源。
本發明之又一另外的態樣係關於製造一包含一微電子設備之物品的方法,該方法包含:使該微電子設備與一組合物接觸,歷時足夠的時間以自該微電子設備(該微電子設備上具有該殘餘物)清洗電漿蝕刻後殘餘物;及將該微電子設備併入該物品中,其中該組合物包括至少一蝕刻劑源、至少一金屬腐蝕抑制劑、水、(視情況)至少一有機溶劑、(視情況)至少一螯合劑、(視情況)至少一低k鈍化劑、(視情況)至少一界面活性劑及(視情況)一二氧化矽源。
本發明之又一另外的態樣係關於製造一包含一微電子設備之物品的方法,該方法包含:使該微電子設備與一組合物接觸,歷時足夠的時間以自該微電子設備(該微電子設備上具有該殘餘物)清洗電漿蝕刻後殘餘物;及將該微電子設備併入該物品中,其中該組合物包括至少一蝕刻劑源、至少一有機溶劑、水、(視情況)至少一金屬腐蝕抑制劑、(視情況)至少一螯合劑、(視情況)至少一低k鈍化劑、(視情況)至少一界面活性劑及(視情況)一二氧化矽源。
本發明之又一另外的態樣係關於製造一包含一微電子設備之物品的方法,該方法包含:使該微電子設備與一組合物接觸,歷時足夠的時間以自該微電子設備(該微電子設備上具有該殘餘物)清洗電漿蝕刻後殘餘物;及將該微電子設備併入該物品中,其中該組合物包括至少一有機溶劑及至少一金屬螯合劑、(視情況)至少一界面活性劑、(視情況)至少一腐蝕抑制劑、(視情況)至少一低k鈍化劑、(視情
況)至少一蝕刻劑及(視情況)水。
在再一態樣中,可在微電子設備製造過程之其他態樣中(亦即,在電漿蝕刻後殘餘物清洗步驟之後)利用本發明之兩個態樣之組合物。舉例而言,可稀釋該等組合物並將其用作化學機械研磨(CMP)後清洗物。或者,可使用本發明之組合物自光罩材料移除污染材料以便再使用。光罩材料在再一替代例中,如熟習此項技術者不難判定,可使用本發明之第一態樣之組合物來蝕刻TiN硬式光罩。
與包括TiN硬式光罩之BEOL架構相關聯之一缺陷為TiOF晶體之形成。因此,在再一態樣中,本發明係關於一種包含自一微電子設備(該微電子設備上具有該等TiOF晶體)移除TiOF晶體之方法,該方法包含使該微電子設備與一水性清洗組合物接觸,歷時足夠的時間以自該微電子設備至少部分地移除該等TiOF晶體,其中該水性清洗組合物包含至少一有機溶劑、至少一蝕刻劑、一二氧化矽源、至少一鎢腐蝕抑制劑及水。較佳地,接觸條件包括在約35℃至約75℃,較佳約50℃至約60℃之範圍中的溫度,且時間在約10分鐘至約50分鐘,較佳約20分鐘至約35分鐘的範圍中。重要的是,水性清洗組合物必須大體上不損害可能存在之ULK、Cu及/或W材料。在一特定較佳之實施例中,水性組合物包括至少一有機溶劑、蝕刻劑、一二氧化矽源、至少一W腐蝕抑制劑及水,其中該或該等有機溶劑相對於蝕刻劑之重量百分比比率為約3至約7,水相對於該蝕刻劑之重量百分比比率為約88至約93,二氧化矽源相對於蝕刻劑
之重量百分比比率為約0.1至約0.5,且該或該等W腐蝕抑制劑相對於蝕刻劑之重量百分比比率為約1至約4。
在再一態樣中,本發明係關於一種製造物品,其包含一微電子設備基板、殘餘物材料及一清洗組合物,其中該清洗組合物可為本文中所描述之任何組合物,且其中該殘餘物材料係選自由以下各物組成之群:含鈦殘餘物、聚合殘餘物、含銅殘餘物、含鎢殘餘物、含鈷殘餘物及其組合。
本發明之特徵及優勢將藉由以下非限制性實例予以更充分地說明,其中除非另外明確陳述,否則所有份及百分比均以重量計。
判定毯覆式ULK、氮化鈦、Cu及W在調配物A-H中之蝕刻速率。量測毯覆式材料在50℃下浸入調配物A-H中之前及之後的厚度。使用4點探針量測來判定厚度,藉以使組合物之電阻率與剩餘之膜的厚度及由此計算出之蝕刻速率相關。表1中報告實驗性蝕刻速率。
亦使用傅立葉變換紅外線光譜學(FTIR)及電容資料來執行ULK相容性研究。在圖1及圖2中可看出,在分別與調配物A及B接觸之ULK中觀測不到相對於ULK對照物(control)之可觀測改變,尤其是在2800至3000 cm-1
之烴基吸附區域中,此暗示有機雜質並未吸附至ULK。如使用Hg探針所判定,電容資料亦暗示ULK並未受到本發明之調配物的有害影響(見表2)。
判定毯覆式ULK、氮化鈦、Cu及W在調配物AA及AB中之蝕刻速率。量測毯覆式材料在50℃下浸入調配物AA及AB中之前及之後的厚度。使用4點探針量測來判定厚度,藉以使組合物之電阻率與剩餘之膜的厚度及由此計算出之蝕刻速率相關。表3中報告實驗性蝕刻速率。
亦使用FTIR及電容資料來執行ULK相容性研究。在與調配物AB接觸之ULK中並未觀測到相對於ULK對照物之可觀測改變,尤其是在2800至3000 cm-1
之烴基吸附區域中,此暗示有機雜質並未吸附至ULK。如使用Hg探針所判定,電容資料亦暗示ULK並未受到本發明之調配物的有害影響(見表4)。
亦判定鈷相容性。在50℃下將厚度為1300Å之毯覆式CoWP晶圓浸入調配物AB中,歷時2個小時。基於重量分析,試樣(coupon)在浸入之前及之後的重量未改變,此暗示調配物AB並未蝕刻CoWP。此在圖3A及圖3B中進一步得以證明,圖3A及圖3B為毯覆式CoWP晶圓在調配物AB中進行處理之前(圖3A)及之後(圖3B)的顯微圖。
判定毯覆式ULK、氮化鈦、Cu及W在調配物AC-AK中之蝕刻速率。量測毯覆式材料在50℃下浸入調配物AC-AK中歷時65分鐘之前及之後的厚度。使用4點探針量測來判定厚度,藉以使組合物之電阻率與剩餘之膜的厚度及由此計算出之蝕刻速率相關。表5中報告實驗性蝕刻速率。
表5:
ULK、TiN、Cu及W在浸入於調配物AC-AK中之後的蝕刻速率(以Å min-1
為單位)。
亦使用FTIR及電容資料在50℃下執行ULK相容性研究,歷時65分鐘。表6中報告如使用Hg探針所判定之電容資料。
在200-210℃下執行後烘焙步驟(適用時),歷時10分鐘。
可看出,當利用後烘焙或IPA乾燥時,調配物並未導致蝕刻後ULK之顯著電容增加。此外,在與調配物AE或AF(兩者無後烘焙及IPA乾燥)接觸之蝕刻後ULK中並未觀測到相對於蝕刻後ULK對照物之可觀測改變,尤其是在
2800至3000 cm-1
之烴基吸附區域中,此暗示有機雜質並未吸附至ULK。
判定毯覆式ULK、氮化鈦、Cu及W在調配物AL-AY中之蝕刻速率。除非另外註釋,否則量測毯覆式材料在50℃下浸入於調配物AL-AY中歷時65分鐘之前及之後的厚度。使用4點探針量測來判定厚度,藉以使組合物之電阻率與剩餘之膜的厚度及由此計算出之蝕刻速率相關。表7中報告實驗性蝕刻速率。
亦針對調配物AM、AN、AO及/或AU使用FTIR及電容資料在50℃下執行ULK相容性研究,歷時65分鐘。表8中報告如使用Hg探針所判定之電容資料。
可看出,當利用後烘焙或IPA乾燥時,調配物並未導致蝕刻後ULK之顯著電容增加。此外,在與調配物AM、AN、AO或AU接觸之蝕刻後ULK中並未觀測到相對於蝕刻後ULK對照物的可觀測改變,此暗示有機雜質並未吸附至ULK。
判定毯覆式ULK、氮化鈦、Cu及W在調配物I-L中之蝕刻速率。除非另外註釋,否則量測毯覆式材料在50℃下浸入調配物I-L中歷時65分鐘之前及之後的厚度。使用4點探針量測來判定厚度,藉以使組合物之電阻率與剩餘之膜的厚度及由此計算出之蝕刻速率相關。表9中報告實驗性蝕刻速率。
重要的是,可看出調配物I、J及M可用於移除TiN硬式光罩(需要時)。
判定毯覆式ULK、氮化鈦、Cu及W在調配物N-R中之蝕刻速率。量測毯覆式材料在50℃下浸入調配物N-R中歷時30分鐘之前及之後的厚度。使用4點探針量測來判定厚度,藉以使組合物之電阻率與剩餘之膜的厚度及由此計算出之蝕刻速率相關。表10中報告實驗性蝕刻速率。
儘管本文中已參看說明性實施例及特徵以各種方式揭示了本發明,但應瞭解,上文所描述之實施例及特徵並不意欲限制本發明,且一般熟習此項技術者基於本文中之揭示內容可想到其他變化、修改及其他實施例。本發明因此應廣泛解釋為涵蓋在下文所陳述之申請專利範圍之精神及範疇內的所有此等變化、修改及替代實施例。
圖1為毯覆式ULK晶圓在使用本發明之調配物A來清洗晶圓之前及之後的FTIR光譜;
圖2為毯覆式ULK晶圓在使用本發明之調配物B來清洗晶圓之前及之後的FTIR光譜;圖3A及圖3B為毯覆式CoWP晶圓在50℃下浸入於調配物AB中歷時2個小時之前(3A)及之後(3B)的顯微圖。
(無元件符號說明)
Claims (24)
- 一種水性清洗組合物,其包含至少一蝕刻劑、水、至少一金屬腐蝕抑制劑、視情況至少一低k鈍化劑、視情況至少一有機溶劑、視情況至少一金屬螯合劑、視情況至少一界面活性劑及視情況一二氧化矽源,其中該清洗組合物之pH值係在0至4.5的範圍內,其中該水性清洗組合物適用於自一其上具有電漿蝕刻後殘餘物之微電子設備清洗該殘餘物。
- 如請求項1之清洗組合物,其中該至少一蝕刻劑包含氟矽酸。
- 如請求項1之清洗組合物,其中該至少一蝕刻劑包含一選自由以下各物組成之群的氟化物物質:氫氟酸、氟矽酸、氟硼酸、六氟磷酸四甲銨、氟化銨鹽、氟化氫銨鹽、氟矽酸銨、四氟硼酸四丁基銨、丙二醇/HF、丙二醇/氟化四烷銨、丙二醇/氟化苄基三甲銨及其組合。
- 如請求項1之清洗組合物,其進一步包含至少一選自由以下各物組成之群的有機溶劑:醇、醚、吡咯啶酮、胺、二醇、二醇醚及其組合。
- 如請求項1之清洗組合物,其進一步包含至少一選自由以下各物組成之群的有機溶劑:甲醇、乙醇、異丙醇、二醇、3-氯-1,2-丙二醇、三醇、3-氯-1-丙硫醇、1-氯-2-丙醇、2-氯-1-丙醇、3-氯-1-丙醇、3-溴-1,2-丙二醇、1-溴-2-丙醇、3-溴-1-丙醇、3-碘-1-丙醇、4-氯-1-丁醇、2-氯乙醇、二氯甲烷、氯仿、乙酸、丙酸、三氟乙酸、四 氫呋喃(THF)、N-甲基吡咯啶酮(NMP)、環己基吡咯啶酮、N-辛基吡咯啶酮、N-苯基吡咯啶酮、甲基二乙醇胺、甲酸甲酯、二甲基甲醯胺(DMF)、二甲亞碸(DMSO)、四亞甲基碸(環丁碸)、乙醚、苯氧基-2-丙醇(PPh)、苯丙酮、乳酸乙酯、乙酸乙酯、苯甲酸乙酯、乙腈、丙酮、乙二醇、丙二醇、1,3-丙二醇、1,4-丙二醇、二噁烷、丁醯基內酯、碳酸丁二酯、碳酸乙二酯、碳酸丙二酯、二丙二醇、二甘醇單甲醚、三甘醇單甲醚、二甘醇單乙醚、三甘醇單乙醚、乙二醇單丙醚、乙二醇單丁醚、二甘醇單丁醚、三甘醇單丁醚、乙二醇單己醚、二甘醇單己醚、乙二醇苯基醚、丙二醇甲基醚、二丙二醇甲基醚、三丙二醇甲基醚、二丙二醇二甲基醚、二丙二醇乙基醚、丙二醇正丙基醚、二丙二醇正丙基醚(DPGPE)、三丙二醇正丙基醚、丙二醇正丁基醚、二丙二醇正丁基醚、三丙二醇正丁基醚、丙二醇苯基醚、γ-丁內酯及其組合。
- 如請求項1之清洗組合物,其進一步包含至少一選自由以下各物組成之群的螯合劑:1,1,1,5,5,5-六氟-2,4-戊二酮(hfacH)、1,1,1-三氟-2,4-戊二酮(tfac)及乙醯基丙酮鹽(acac)、亞胺二乙酸、吡唑鹽(pyrazolate)、脒鹽(amidinate)、胍鹽(guanidinate)、酮亞胺、二烯、多元胺、乙二胺四乙酸(EDTA)、1,2-環己二胺-N,N,N',N'-四乙酸(CDTA)、依替膦酸、甲磺酸、鹽酸、乙酸、烷基胺、芳基胺、二醇胺、烷醇胺、三唑、噻唑、四唑、咪 唑、1,4-苯醌;8-羥基喹啉;亞柳基苯胺;四氯-1,4-苯醌;2-(2-羥苯基)-苯并噁唑;2-(2-羥苯基)-苯并噻唑;羥基喹啉磺酸(HQSA);磺酸基水楊酸(SSA);水楊酸(SA)、氟化四甲銨、氯化四甲銨、溴化四甲銨、碘化四甲銨、吡啶、2-乙基吡啶、2-甲氧基吡啶、3-甲氧基吡啶、2-甲基吡啶、吡啶衍生物、二甲基吡啶、哌啶、哌嗪、三乙胺、三乙醇胺、乙胺、甲胺、異丁胺、第三丁胺、三丁胺、二丙胺、二甲胺、二甘醇胺、單乙醇胺、甲基二乙醇胺、吡咯、異噁唑、1,2,4-三唑、聯吡啶、嘧啶、吡嗪、嗒嗪、喹啉、異喹啉、吲哚、咪唑、N-甲基嗎啉-N-氧化物(NMMO)、三甲胺-N-氧化物、三乙胺-N-氧化物、吡啶-N-氧化物、N-乙基嗎啉-N-氧化物、N-甲基吡咯啶-N-氧化物、N-乙基吡咯啶-N-氧化物、1-甲基咪唑、二異丙胺、二異丁胺、苯胺、苯胺衍生物、五甲基二伸乙三胺及其組合。
- 如請求項1之清洗組合物,其中該至少一金屬腐蝕抑制劑包含一選自由以下各物組成之群的物質:1,2,4-三唑(TAZ)、5-胺基四唑(ATA)、1-羥基苯并三唑、5-胺基-1,3,4-噻二唑-2-硫醇、3-胺基-1H-1,2,4-三唑、3,5-二胺基-1,2,4-三唑、甲苯基三唑、5-苯基-苯并三唑、5-硝基-苯并三唑、3-胺基-5-巰基-1,2,4-三唑、1-胺基-1,2,4-三唑、2-(5-胺基-戊基)-苯并三唑、1-胺基-1,2,3-三唑、1-胺基-5-甲基-1,2,3-三唑、3-巰基-1,2,4-三唑、3-異丙基-1,2,4-三唑、5-苯基硫醇-苯并三唑、鹵基-苯并三唑(鹵基 =F、Cl、Br、I)、萘并三唑、1H-四唑-5-乙酸、2-巰基苯并噻唑(2-MBT)、1-苯基-2-四唑啉-5-硫酮、2-巰基苯并咪唑(2-MBI)、4-甲基-2-苯基咪唑、2-巰基噻唑啉、2,4-二胺基-6-甲基-1,3,5-三嗪、噻唑、咪唑、苯并咪唑、三嗪、甲基四唑、試鉍硫醇I、1,3-二甲基-2-咪唑啶酮、1,5-伸戊基四唑、1-苯基-5-巰基四唑、二胺基甲基三嗪、咪唑啉硫酮、4-甲基-4H-1,2,4-三唑-3-硫醇、5-胺基-1,3,4-噻二唑-2-硫醇、苯并噻唑、磷酸三甲苯酯、吲唑、腺嘌呤、胞嘧啶、鳥嘌呤、胸嘧啶、吡唑、丙硫醇、苯甲羥肟酸、硫脲、1,1,3,3-四甲脲、尿素、尿酸、乙基黃原酸鉀、甘胺酸、氮基三乙酸、2,3,5-三甲基吡嗪、2-乙基-3,5-二甲基吡嗪、喹喏啉、乙醯基吡咯、嗒嗪、組胺酸、吡嗪、麩胱甘肽(經還原)、半胱胺酸、胱胺酸、噻吩、巰基吡啶N-氧化物、噻胺HCl、二硫化四乙基雙甲硫羰醯胺、2,5-二巰基-1,3-噻二唑抗壞血酸及其組合。
- 如請求項1之清洗組合物,其進一步包含一二氧化矽源,其中該二氧化矽源包含TEOS。
- 如請求項1之清洗組合物,其中該組合物進一步包含選自由以下各物組成之群的電漿蝕刻後殘餘物:含鈦殘餘物、聚合殘餘物、含銅殘餘物、含鎢殘餘物、含鈷殘餘物及其組合。
- 如請求項1之清洗組合物,其進一步包含至少一鈍化劑。
- 如請求項1之清洗組合物,其進一步包含至少一界面活性劑。
- 如請求項1之清洗組合物,其中該二氧化矽源包含四烷氧矽烷。
- 如請求項1之清洗組合物,其中該pH值係在0至2.5的範圍內。
- 一種自一其上具有材料之微電子設備移除該材料的方法,該方法包含使該微電子設備與一水性清洗組合物接觸,歷時足夠的時間以自該微電子設備至少部分地移除該材料,其中該水性清洗組合物包括至少一蝕刻劑、水、至少一金屬腐蝕抑制劑、(視情況)至少一低k鈍化劑、(視情況)至少一有機溶劑、(視情況)至少一金屬螯合劑、(視情況)至少一界面活性劑及(視情況)一二氧化矽源,其中該清洗組合物之pH值係在0至4.5的範圍內。
- 如請求項14之方法,其中該材料包含電漿蝕刻後殘餘物、TiN或其組合。
- 如請求項14之方法,其中該電漿蝕刻後殘餘物包含選自由以下各物組成之群的殘餘物:含鈦化合物、聚合化合物、含銅化合物、含鎢化合物、含鈷化合物及其組合。
- 如請求項14之方法,其中該接觸包含選自由以下條件組成之群的條件:1分鐘至30分鐘的時間;在40℃至70℃之範圍中的溫度;及其組合。
- 如請求項14之方法,其中該微電子設備為一選自由以下各物組成之群的物品:半導體基板、平板顯示器及微機 電系統(MEMS)。
- 如請求項14之方法,其中該微電子設備包含一超低k介電層。
- 如請求項14之方法,其中該接觸包含一選自由以下過程組成之群的過程:將該組合物噴灑於該微電子設備之一表面上;將該微電子設備浸漬於一足夠體積之組合物中;使該微電子設備之一表面與另一浸透了該組合物之材料接觸;及使該微電子設備與一循環的組合物接觸。
- 如請求項14之方法,其進一步包含在與該組合物接觸之後使用去離子水來沖洗該微電子設備。
- 如請求項14之方法,其中該pH值係在0至2.5的範圍內。
- 如請求項14之方法,其進一步包含一用以自該微電子設備移除非揮發性材料的後烘焙步驟。
- 如請求項14之方法,其進一步包含一用以自該微電子設備移除非揮發性材料的異丙醇蒸氣乾燥步驟。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US87136206P | 2006-12-21 | 2006-12-21 | |
US88683007P | 2007-01-26 | 2007-01-26 | |
US89530207P | 2007-03-16 | 2007-03-16 | |
US94717807P | 2007-06-29 | 2007-06-29 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW200846462A TW200846462A (en) | 2008-12-01 |
TWI449784B true TWI449784B (zh) | 2014-08-21 |
Family
ID=39296041
Family Applications (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW103119145A TWI572746B (zh) | 2006-12-21 | 2007-12-21 | 用以移除蝕刻後殘餘物之液體清洗劑 |
TW096149626A TWI449784B (zh) | 2006-12-21 | 2007-12-21 | 用以移除蝕刻後殘餘物之液體清洗劑 |
TW105139970A TWI611047B (zh) | 2006-12-21 | 2007-12-21 | 用以移除蝕刻後殘餘物之液體清洗劑 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW103119145A TWI572746B (zh) | 2006-12-21 | 2007-12-21 | 用以移除蝕刻後殘餘物之液體清洗劑 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW105139970A TWI611047B (zh) | 2006-12-21 | 2007-12-21 | 用以移除蝕刻後殘餘物之液體清洗劑 |
Country Status (7)
Country | Link |
---|---|
US (1) | US20100163788A1 (zh) |
EP (1) | EP2108039A2 (zh) |
JP (1) | JP5237300B2 (zh) |
KR (3) | KR101449774B1 (zh) |
SG (2) | SG177915A1 (zh) |
TW (3) | TWI572746B (zh) |
WO (1) | WO2008080097A2 (zh) |
Families Citing this family (104)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20090301996A1 (en) * | 2005-11-08 | 2009-12-10 | Advanced Technology Materials, Inc. | Formulations for removing cooper-containing post-etch residue from microelectronic devices |
US9058975B2 (en) * | 2006-06-09 | 2015-06-16 | Lam Research Corporation | Cleaning solution formulations for substrates |
WO2008080096A2 (en) | 2006-12-21 | 2008-07-03 | Advanced Technology Materials, Inc. | Compositions and methods for the selective removal of silicon nitride |
CN101755324B (zh) * | 2007-07-26 | 2011-10-12 | 三菱瓦斯化学株式会社 | 清洗和防腐用组合物及半导体元件或显示元件的制造方法 |
CA2705052C (en) * | 2007-11-07 | 2016-03-22 | Vitech International, Inc. | Tetrafluoroborate compounds, compositions and related methods of use |
WO2009064336A1 (en) * | 2007-11-16 | 2009-05-22 | Ekc Technology, Inc. | Compositions for removal of metal hard mask etching residues from a semiconductor substrate |
WO2009108474A1 (en) * | 2008-02-29 | 2009-09-03 | Mallinckrodt Baker, Inc. | Microelectronic substrate cleaning compositions |
US8657966B2 (en) * | 2008-08-13 | 2014-02-25 | Intermolecular, Inc. | Combinatorial approach to the development of cleaning formulations for glue removal in semiconductor applications |
JP2010087258A (ja) * | 2008-09-30 | 2010-04-15 | Fujifilm Corp | 半導体基板表面用洗浄剤及びそれを用いた半導体デバイスの洗浄方法 |
US9074170B2 (en) * | 2008-10-21 | 2015-07-07 | Advanced Technology Materials, Inc. | Copper cleaning and protection formulations |
US8361237B2 (en) * | 2008-12-17 | 2013-01-29 | Air Products And Chemicals, Inc. | Wet clean compositions for CoWP and porous dielectrics |
EP2226374B1 (en) | 2009-03-06 | 2012-05-16 | S.O.I. TEC Silicon | Etching composition, in particular for silicon materials, method for characterizing defects of such materials and process of treating such surfaces with etching composition |
CN102124414B (zh) * | 2009-04-17 | 2014-04-02 | 长瀬化成株式会社 | 光致抗蚀剂剥离剂组合物以及光致抗蚀剂剥离方法 |
JP5646882B2 (ja) | 2009-09-30 | 2014-12-24 | 富士フイルム株式会社 | 洗浄組成物、洗浄方法、及び半導体装置の製造方法 |
US20110146724A1 (en) * | 2009-12-19 | 2011-06-23 | Mr. WAI MUN LEE | Photoresist stripping solutions |
KR101114502B1 (ko) * | 2010-06-28 | 2012-02-24 | 램테크놀러지 주식회사 | 세정용 조성물 및 이를 이용한 반도체 패턴의 형성방법 |
SG187551A1 (en) * | 2010-07-16 | 2013-03-28 | Advanced Tech Materials | Aqueous cleaner for the removal of post-etch residues |
KR20130099948A (ko) | 2010-08-20 | 2013-09-06 | 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 | E-폐기물로부터 귀금속 및 베이스 금속을 회수하는 지속가능한 방법 |
SG10201508015RA (en) | 2010-10-06 | 2015-10-29 | Entegris Inc | Composition and process for selectively etching metal nitrides |
TWI502065B (zh) | 2010-10-13 | 2015-10-01 | Entegris Inc | 抑制氮化鈦腐蝕之組成物及方法 |
EP2460860A1 (de) * | 2010-12-02 | 2012-06-06 | Basf Se | Verwendung von Mischungen zur Entfernung von Polyurethanen von Metalloberflächen |
US20120152286A1 (en) * | 2010-12-16 | 2012-06-21 | Kyzen Corporation | Cleaning agent for removal of soldering flux |
WO2012127336A1 (en) * | 2011-03-21 | 2012-09-27 | Basf Se | Aqueous, nitrogen-free cleaning composition, preparation and use thereof |
KR20120138290A (ko) * | 2011-06-14 | 2012-12-26 | 삼성디스플레이 주식회사 | 식각액 조성물, 및 이를 이용한 금속 배선과 박막 트랜지스터 기판 형성 방법 |
JP5933950B2 (ja) | 2011-09-30 | 2016-06-15 | アドバンスド テクノロジー マテリアルズ,インコーポレイテッド | 銅または銅合金用エッチング液 |
MY172099A (en) | 2011-10-05 | 2019-11-13 | Avantor Performance Mat Llc | Microelectronic substrate cleaning compositions having copper/azole polymer inhibition |
KR101428143B1 (ko) * | 2011-10-13 | 2014-08-08 | 주식회사 포스코 | 내식성이 우수한 코팅용 아연분말, 아연분말의 제조방법 및 아연분말 코팅강판 |
US9546321B2 (en) | 2011-12-28 | 2017-01-17 | Advanced Technology Materials, Inc. | Compositions and methods for selectively etching titanium nitride |
EP2814895A4 (en) | 2012-02-15 | 2015-10-07 | Entegris Inc | POST-CMP DISPOSAL USING COMPOSITIONS AND USE PROCESSES |
WO2013173738A1 (en) | 2012-05-18 | 2013-11-21 | Advanced Technology Materials, Inc. | Composition and process for stripping photoresist from a surface including titanium nitride |
JP5626498B2 (ja) | 2012-06-13 | 2014-11-19 | 三菱瓦斯化学株式会社 | 洗浄用液体組成物、半導体素子の洗浄方法、および半導体素子の製造方法 |
US9688912B2 (en) * | 2012-07-27 | 2017-06-27 | Fujifilm Corporation | Etching method, and etching liquid to be used therein and method of producing a semiconductor substrate product using the same |
KR102002131B1 (ko) * | 2012-08-03 | 2019-07-22 | 삼성디스플레이 주식회사 | 식각액 조성물 및 이를 이용한 박막 트랜지스터 제조 방법 |
US9536730B2 (en) | 2012-10-23 | 2017-01-03 | Air Products And Chemicals, Inc. | Cleaning formulations |
JP2014103179A (ja) * | 2012-11-16 | 2014-06-05 | Fujifilm Corp | 半導体基板のエッチング液、これを用いたエッチング方法及び半導体素子の製造方法 |
US9765288B2 (en) | 2012-12-05 | 2017-09-19 | Entegris, Inc. | Compositions for cleaning III-V semiconductor materials and methods of using same |
US20150144160A1 (en) * | 2012-12-13 | 2015-05-28 | Beijing Sevenstar Electronics Co., Ltd. | Etchant, preparation thereof and method of using the same in the cleaning process |
US9102901B2 (en) | 2012-12-20 | 2015-08-11 | Rohm And Haas Electronic Materials Llc | Methods and compositions for removal of metal hardmasks |
WO2014138064A1 (en) * | 2013-03-04 | 2014-09-12 | Advanced Technology Materials, Inc. | Compositions and methods for selectively etching titanium nitride |
US20140308618A1 (en) * | 2013-04-10 | 2014-10-16 | Cheil Industries Inc. | Organic Solution for Surface Treatment of Induim Zinc Oxide Substrate and Method of Preparing Display Substrate Using the Same |
KR101755420B1 (ko) * | 2013-05-02 | 2017-07-10 | 후지필름 가부시키가이샤 | 에칭 방법, 이에 이용하는 에칭액 및 에칭액의 키트, 및 반도체 기판 제품의 제조 방법 |
SG11201509933QA (en) | 2013-06-06 | 2016-01-28 | Advanced Tech Materials | Compositions and methods for selectively etching titanium nitride |
CN105431506A (zh) | 2013-07-31 | 2016-03-23 | 高级技术材料公司 | 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂 |
KR102091543B1 (ko) * | 2013-08-01 | 2020-03-23 | 동우 화인켐 주식회사 | 망상형 고분자 용해용 조성물 |
SG11201601158VA (en) | 2013-08-30 | 2016-03-30 | Advanced Tech Materials | Compositions and methods for selectively etching titanium nitride |
US20150104952A1 (en) * | 2013-10-11 | 2015-04-16 | Ekc Technology, Inc. | Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper |
KR102153113B1 (ko) | 2013-10-21 | 2020-09-08 | 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. | 표면 잔류물 제거용 세정 제형 |
CN108485840B (zh) | 2013-12-06 | 2020-12-29 | 富士胶片电子材料美国有限公司 | 用于去除表面上的残余物的清洗调配物 |
JP6707451B2 (ja) * | 2013-12-11 | 2020-06-10 | フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド | 表面の残留物を除去するための洗浄配合物 |
TWI654340B (zh) * | 2013-12-16 | 2019-03-21 | 美商恩特葛瑞斯股份有限公司 | Ni:NiGe:Ge選擇性蝕刻配方及其使用方法 |
US9472420B2 (en) * | 2013-12-20 | 2016-10-18 | Air Products And Chemicals, Inc. | Composition for titanium nitride hard mask and etch residue removal |
WO2015095726A1 (en) | 2013-12-20 | 2015-06-25 | Entegris, Inc. | Use of non-oxidizing strong acids for the removal of ion-implanted resist |
US10475658B2 (en) | 2013-12-31 | 2019-11-12 | Entegris, Inc. | Formulations to selectively etch silicon and germanium |
KR102152909B1 (ko) * | 2013-12-31 | 2020-09-07 | 세메스 주식회사 | 기판처리방법 |
TWI642763B (zh) * | 2014-01-27 | 2018-12-01 | 三菱瓦斯化學股份有限公司 | 氮化鈦除去用液體組成物、利用該液體組成物之半導體元件之洗滌方法、及半導體元件之製造方法 |
US20160340620A1 (en) | 2014-01-29 | 2016-11-24 | Advanced Technology Materials, Inc. | Post chemical mechanical polishing formulations and method of use |
WO2015119925A1 (en) | 2014-02-05 | 2015-08-13 | Advanced Technology Materials, Inc. | Non-amine post-cmp compositions and method of use |
KR102375342B1 (ko) * | 2014-05-13 | 2022-03-16 | 바스프 에스이 | Tin 풀-백 및 클리닝 조성물 |
WO2016003729A1 (en) * | 2014-06-30 | 2016-01-07 | Entegris, Inc. | Aqueous and semi-aqueous cleaners for the removal of post-etch residues with tungsten and cobalt compatibility |
US9868902B2 (en) | 2014-07-17 | 2018-01-16 | Soulbrain Co., Ltd. | Composition for etching |
SG11201700692RA (en) | 2014-08-08 | 2017-03-30 | Toray Industries | Adhesive for temporary bonding, adhesive layer, method for manufacturing wafer work piece and semiconductor device using same, rework solvent, polyimide copolymer, polyimide mixed resin, and resin composition |
KR102265414B1 (ko) * | 2014-09-22 | 2021-06-15 | 동우 화인켐 주식회사 | 금속막용 세정제 조성물 |
KR102296739B1 (ko) * | 2014-10-27 | 2021-09-01 | 삼성전자 주식회사 | 포토마스크용 세정 조성물을 이용한 집적회로 소자 제조 방법 |
DE102014222834A1 (de) * | 2014-11-10 | 2016-05-12 | Henkel Ag & Co. Kgaa | Wasch- und Reinigungsmittel mit verbesserter Leistung |
KR102347596B1 (ko) * | 2015-06-26 | 2022-01-06 | 동우 화인켐 주식회사 | 잔류물 제거를 위한 수성 세정제 조성물 |
TWI818893B (zh) * | 2015-07-14 | 2023-10-21 | 美商富士軟片電子材料美國股份有限公司 | 清潔組成物及其使用方法 |
JP6761166B2 (ja) * | 2015-07-23 | 2020-09-23 | セントラル硝子株式会社 | ウェットエッチング方法及びエッチング液 |
US9740094B2 (en) | 2015-08-21 | 2017-08-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Damage prevention on EUV mask |
TWI705132B (zh) * | 2015-10-08 | 2020-09-21 | 日商三菱瓦斯化學股份有限公司 | 半導體元件之洗淨用液體組成物、半導體元件之洗淨方法及半導體元件之製造方法 |
JP6593441B2 (ja) * | 2015-12-25 | 2019-10-30 | 荒川化学工業株式会社 | 電子材料用の洗浄剤組成物、洗浄剤原液、及び電子材料の洗浄方法 |
JP6681750B2 (ja) * | 2016-03-04 | 2020-04-15 | 東京応化工業株式会社 | 洗浄液及び洗浄方法 |
KR101856149B1 (ko) * | 2016-04-06 | 2018-06-20 | 케이피엑스케미칼 주식회사 | 세정액 조성물, 및 그 세정액 조성물을 사용하는 반도체 소자의 제조방법 및 반도체 소자 |
KR101833219B1 (ko) * | 2016-08-05 | 2018-04-13 | 주식회사 케이씨텍 | 텅스텐 베리어층 연마용 슬러리 조성물 |
KR102160019B1 (ko) * | 2016-09-29 | 2020-09-28 | 후지필름 가부시키가이샤 | 처리액 및 적층체의 처리 방법 |
CN110178212B (zh) * | 2016-12-28 | 2024-01-09 | 艾德亚半导体接合科技有限公司 | 堆栈基板的处理 |
CN110234719A (zh) * | 2017-01-18 | 2019-09-13 | 恩特格里斯公司 | 用于从表面去除氧化铈粒子的组合物和方法 |
JP7173959B2 (ja) * | 2017-03-31 | 2022-11-16 | 関東化学株式会社 | 洗浄液組成物 |
CN107012471B (zh) * | 2017-05-04 | 2019-11-12 | 太仓沪试试剂有限公司 | 一种管道清洁剂及其应用 |
SG11202001057VA (en) | 2017-08-22 | 2020-03-30 | Fujifilm Electronic Materials Usa Inc | Cleaning compositions |
KR102295991B1 (ko) * | 2017-08-31 | 2021-09-01 | 후지필름 가부시키가이샤 | 처리액, 키트, 기판의 세정 방법 |
KR20200058428A (ko) | 2017-10-10 | 2020-05-27 | 미쯔비시 케미컬 주식회사 | 세정액, 세정 방법 및 반도체 웨이퍼의 제조 방법 |
US10961487B2 (en) * | 2017-11-30 | 2021-03-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device cleaning solution, method of use, and method of manufacture |
JP7383614B2 (ja) * | 2017-12-08 | 2023-11-20 | ビーエーエスエフ ソシエタス・ヨーロピア | 低k値の材料、銅、および/またはコバルトの層の存在下で、アルミニウム化合物を含む層を選択的にエッチングするための組成物および方法 |
WO2019110681A1 (en) | 2017-12-08 | 2019-06-13 | Basf Se | Cleaning composition for post-etch or post ash residue removal from a semiconductor substrate and corresponding manufacturing process |
CN109976110A (zh) * | 2017-12-27 | 2019-07-05 | 安集微电子(上海)有限公司 | 一种清洗液 |
US10752867B2 (en) | 2018-03-28 | 2020-08-25 | Fujifilm Electronic Materials U.S.A., Inc. | Cleaning compositions |
CN111936936A (zh) * | 2018-04-04 | 2020-11-13 | 巴斯夫欧洲公司 | 用于去除灰化后残留物和/或用于氧化蚀刻含TiN层料或掩模的含咪唑烷硫酮组合物 |
KR102067164B1 (ko) * | 2018-05-11 | 2020-01-16 | 삼성엔지니어링 주식회사 | 불산폐수 재이용 방법 및 불산폐수 재이용장치 |
CN110713868A (zh) * | 2018-07-13 | 2020-01-21 | 巴斯夫欧洲公司 | 可移除氮化钛的蚀刻后残渣清理溶液 |
US10952430B2 (en) | 2019-02-06 | 2021-03-23 | Virox Technologies Inc. | Shelf-stable antimicrobial compositions |
US12089590B2 (en) | 2019-02-06 | 2024-09-17 | Virox Technologies, Inc. | Shelf-stable antimicrobial compositions |
JP7274919B2 (ja) * | 2019-04-11 | 2023-05-17 | 東京応化工業株式会社 | 洗浄液、及び金属レジストを備えた支持体の洗浄方法 |
WO2020234395A1 (en) | 2019-05-23 | 2020-11-26 | Basf Se | Composition and process for selectively etching a hard mask and/or an etch-stop layer in the presence of layers of low-k materials, copper, cobalt and/or tungsten |
CN110273159A (zh) * | 2019-07-02 | 2019-09-24 | 宜兴市中大凯水处理有限公司 | 一种新型高温缓蚀剂及其制备方法 |
EP3997520B1 (en) | 2019-07-08 | 2023-12-20 | Merck Patent GmbH | Rinse and method of use thereof for removing edge protection layers and residual metal hardmask components |
KR102308898B1 (ko) * | 2020-02-27 | 2021-10-05 | 권영선 | 송배전 설비용 애자의 세정을 위한 친환경성 애자 세정제 및 상기 친환경성 애자 세정제의 희석 장치 |
KR102192954B1 (ko) * | 2020-03-26 | 2020-12-18 | 동우 화인켐 주식회사 | 고분자 세정용 조성물 |
KR20220083186A (ko) * | 2020-12-11 | 2022-06-20 | 동우 화인켐 주식회사 | 고분자 처리용 공정액 |
KR20230127692A (ko) | 2022-02-25 | 2023-09-01 | 동우 화인켐 주식회사 | 금속 산화막 박리액 조성물 |
CN115418642B (zh) * | 2022-08-23 | 2023-06-02 | 湖北兴福电子材料股份有限公司 | 一种铜钼蚀刻液及其制备方法 |
CN115207167B (zh) * | 2022-09-16 | 2022-11-22 | 英利能源发展有限公司 | 一种硅抛光面的清洗方法 |
KR102558255B1 (ko) * | 2022-10-06 | 2023-07-20 | 윤새길 | 요소수 백화현상 세정 첨가제 및 그 제조방법 |
CN116180096A (zh) * | 2023-02-08 | 2023-05-30 | 惠州丰楷电子科技有限公司 | 一种有色金属表面处理工艺 |
KR20240124746A (ko) | 2023-02-09 | 2024-08-19 | 동우 화인켐 주식회사 | 금속 산화물 제거액 조성물 |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2004094581A1 (en) * | 2003-04-18 | 2004-11-04 | Ekc Technology, Inc. | Aqueous fluoride compositions for cleaning semiconductor devices |
WO2005057281A2 (en) * | 2003-12-02 | 2005-06-23 | Advanced Technology Materials, Inc. | Resist, barc and gap fill material stripping chemical and method |
Family Cites Families (57)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3123438A (en) * | 1964-03-03 | Process for the production of ammonium | ||
US3296124A (en) * | 1964-10-23 | 1967-01-03 | Sidney M Heins | Process for preparing a useful water from a natural salt water and recovering values contained therein |
US4178211A (en) * | 1977-03-03 | 1979-12-11 | Ethyl Corporation | Process for producing citric acid |
US5279771A (en) * | 1990-11-05 | 1994-01-18 | Ekc Technology, Inc. | Stripping compositions comprising hydroxylamine and alkanolamine |
JP3160344B2 (ja) * | 1991-01-25 | 2001-04-25 | アシュランド インコーポレーテッド | 有機ストリッピング組成物 |
US5320709A (en) * | 1993-02-24 | 1994-06-14 | Advanced Chemical Systems International Incorporated | Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution |
US5421906A (en) * | 1993-04-05 | 1995-06-06 | Enclean Environmental Services Group, Inc. | Methods for removal of contaminants from surfaces |
US6129773A (en) * | 1993-07-16 | 2000-10-10 | Killick; Robert William | Fuel blends |
US6326130B1 (en) * | 1993-10-07 | 2001-12-04 | Mallinckrodt Baker, Inc. | Photoresist strippers containing reducing agents to reduce metal corrosion |
US5453659A (en) * | 1994-06-10 | 1995-09-26 | Texas Instruments Incorporated | Anode plate for flat panel display having integrated getter |
US5571447A (en) * | 1995-03-20 | 1996-11-05 | Ashland Inc. | Stripping and cleaning composition |
US7534752B2 (en) * | 1996-07-03 | 2009-05-19 | Advanced Technology Materials, Inc. | Post plasma ashing wafer cleaning formulation |
US5698503A (en) * | 1996-11-08 | 1997-12-16 | Ashland Inc. | Stripping and cleaning composition |
US6224785B1 (en) * | 1997-08-29 | 2001-05-01 | Advanced Technology Materials, Inc. | Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates |
US6755989B2 (en) * | 1997-01-09 | 2004-06-29 | Advanced Technology Materials, Inc. | Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate |
US6896826B2 (en) * | 1997-01-09 | 2005-05-24 | Advanced Technology Materials, Inc. | Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate |
US6207684B1 (en) * | 1997-06-09 | 2001-03-27 | Bridge Pharma, Inc. | Compounds with combined antihistaminic and mast cell stabilizing activities, intended for ophthalmic use |
US5856491A (en) * | 1997-08-09 | 1999-01-05 | Aristech Chemical Corp. | Method of making teritiary hindered amines |
US6280651B1 (en) * | 1998-12-16 | 2001-08-28 | Advanced Technology Materials, Inc. | Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent |
US6211126B1 (en) * | 1997-12-23 | 2001-04-03 | Advanced Technology Materials, Inc. | Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates |
WO1999060447A1 (en) * | 1998-05-18 | 1999-11-25 | Advanced Technology Materials, Inc. | Stripping compositions for semiconductor substrates |
US6875733B1 (en) * | 1998-10-14 | 2005-04-05 | Advanced Technology Materials, Inc. | Ammonium borate containing compositions for stripping residues from semiconductor substrates |
US6207596B1 (en) * | 1998-11-09 | 2001-03-27 | The Procter & Gamble Company | Disposable premoistened wipe containing an antimicrobial protease inhibitor |
US6623535B1 (en) * | 1999-07-02 | 2003-09-23 | Horst Kief | Fuel additive for reduction of pollutant emissions |
US6344432B1 (en) * | 1999-08-20 | 2002-02-05 | Advanced Technology Materials, Inc. | Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures |
KR100400030B1 (ko) * | 2000-06-05 | 2003-09-29 | 삼성전자주식회사 | 금속막의 화학 및 기계적 연마용 슬러리 및 그 제조방법과상기 슬러리를 이용한 반도체 소자의 금속 배선 형성 방법 |
WO2002027771A1 (fr) * | 2000-09-28 | 2002-04-04 | Shin-Etsu Quartz Products Co., Ltd. | Support de verre de silice destine a la production de semi-conducteurs et procede de production de ce support |
US6599370B2 (en) * | 2000-10-16 | 2003-07-29 | Mallinckrodt Inc. | Stabilized alkaline compositions for cleaning microelectronic substrates |
US6566315B2 (en) * | 2000-12-08 | 2003-05-20 | Advanced Technology Materials, Inc. | Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures |
MY143399A (en) * | 2001-07-09 | 2011-05-13 | Avantor Performance Mat Inc | Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning |
US6773873B2 (en) * | 2002-03-25 | 2004-08-10 | Advanced Technology Materials, Inc. | pH buffered compositions useful for cleaning residue from semiconductor substrates |
CN102135735A (zh) * | 2002-06-07 | 2011-07-27 | 安万托特性材料股份有限公司 | 用于微电子基底的清洁组合物 |
AU2003238773A1 (en) * | 2002-06-07 | 2003-12-22 | Mallinckrodt Baker Inc. | Microelectronic cleaning compositions containing oxidizers and organic solvents |
US6849200B2 (en) * | 2002-07-23 | 2005-02-01 | Advanced Technology Materials, Inc. | Composition and process for wet stripping removal of sacrificial anti-reflective material |
US8236485B2 (en) * | 2002-12-20 | 2012-08-07 | Advanced Technology Materials, Inc. | Photoresist removal |
US7160807B2 (en) * | 2003-06-30 | 2007-01-09 | Cabot Microelectronics Corporation | CMP of noble metals |
US7193027B2 (en) * | 2003-08-08 | 2007-03-20 | General Electric Company | Functionalized silicone resins, methods for their preparation, and use as catalysts |
EP1702886A4 (en) * | 2003-09-11 | 2011-02-16 | Taiyo Kagaku Kk | POROUS SILICA WHICH CARRYS A SUBSTANCE |
US20060021974A1 (en) * | 2004-01-29 | 2006-02-02 | Applied Materials, Inc. | Method and composition for polishing a substrate |
JP2005232559A (ja) * | 2004-02-23 | 2005-09-02 | Meltex Inc | チタン剥離液 |
US8338087B2 (en) * | 2004-03-03 | 2012-12-25 | Advanced Technology Materials, Inc | Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate |
US20060063687A1 (en) * | 2004-09-17 | 2006-03-23 | Minsek David W | Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate |
US20060148666A1 (en) * | 2004-12-30 | 2006-07-06 | Advanced Technology Materials Inc. | Aqueous cleaner with low metal etch rate |
US20060154186A1 (en) * | 2005-01-07 | 2006-07-13 | Advanced Technology Materials, Inc. | Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings |
CN101228481B (zh) * | 2005-02-25 | 2012-12-05 | Ekc技术公司 | 从包括铜和低k电介体的基片上除去抗蚀剂、蚀刻残余物和氧化铜的方法 |
US7381634B2 (en) * | 2005-04-13 | 2008-06-03 | Stats Chippac Ltd. | Integrated circuit system for bonding |
US20070251551A1 (en) * | 2005-04-15 | 2007-11-01 | Korzenski Michael B | Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems |
JP2008546036A (ja) * | 2005-06-07 | 2008-12-18 | アドバンスド テクノロジー マテリアルズ,インコーポレイテッド | 金属および誘電体相溶性の犠牲反射防止コーティング浄化および除去組成物 |
CN101233601A (zh) * | 2005-06-13 | 2008-07-30 | 高级技术材料公司 | 在金属硅化物形成后用于选择性除去金属或金属合金的组合物及方法 |
EP1949424A2 (en) * | 2005-10-05 | 2008-07-30 | Advanced Technology Materials, Inc. | Composition and method for selectively etching gate spacer oxide material |
WO2007044446A1 (en) * | 2005-10-05 | 2007-04-19 | Advanced Technology Materials, Inc. | Oxidizing aqueous cleaner for the removal of post-etch residues |
JP2009516360A (ja) * | 2005-10-13 | 2009-04-16 | アドバンスド テクノロジー マテリアルズ,インコーポレイテッド | 金属適合フォトレジスト及び/又は犠牲反射防止コーティング除去組成物 |
WO2007111694A2 (en) * | 2005-11-09 | 2007-10-04 | Advanced Technology Materials, Inc. | Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon |
TW200734448A (en) * | 2006-02-03 | 2007-09-16 | Advanced Tech Materials | Low pH post-CMP residue removal composition and method of use |
US8025811B2 (en) * | 2006-03-29 | 2011-09-27 | Intel Corporation | Composition for etching a metal hard mask material in semiconductor processing |
US20080125342A1 (en) * | 2006-11-07 | 2008-05-29 | Advanced Technology Materials, Inc. | Formulations for cleaning memory device structures |
WO2008080096A2 (en) * | 2006-12-21 | 2008-07-03 | Advanced Technology Materials, Inc. | Compositions and methods for the selective removal of silicon nitride |
-
2007
- 2007-12-21 JP JP2009543273A patent/JP5237300B2/ja not_active Expired - Fee Related
- 2007-12-21 SG SG2011095296A patent/SG177915A1/en unknown
- 2007-12-21 KR KR1020097015278A patent/KR101449774B1/ko active IP Right Grant
- 2007-12-21 TW TW103119145A patent/TWI572746B/zh not_active IP Right Cessation
- 2007-12-21 EP EP07855331A patent/EP2108039A2/en not_active Withdrawn
- 2007-12-21 SG SG10201610631UA patent/SG10201610631UA/en unknown
- 2007-12-21 TW TW096149626A patent/TWI449784B/zh active
- 2007-12-21 KR KR1020147011326A patent/KR101636996B1/ko active IP Right Grant
- 2007-12-21 WO PCT/US2007/088644 patent/WO2008080097A2/en active Application Filing
- 2007-12-21 TW TW105139970A patent/TWI611047B/zh active
- 2007-12-21 KR KR1020167017567A patent/KR20160085902A/ko not_active Application Discontinuation
- 2007-12-21 US US12/520,121 patent/US20100163788A1/en not_active Abandoned
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2004094581A1 (en) * | 2003-04-18 | 2004-11-04 | Ekc Technology, Inc. | Aqueous fluoride compositions for cleaning semiconductor devices |
WO2005057281A2 (en) * | 2003-12-02 | 2005-06-23 | Advanced Technology Materials, Inc. | Resist, barc and gap fill material stripping chemical and method |
Also Published As
Publication number | Publication date |
---|---|
US20100163788A1 (en) | 2010-07-01 |
WO2008080097A2 (en) | 2008-07-03 |
KR20140074966A (ko) | 2014-06-18 |
KR101636996B1 (ko) | 2016-07-07 |
WO2008080097A3 (en) | 2008-10-09 |
SG10201610631UA (en) | 2017-02-27 |
TWI572746B (zh) | 2017-03-01 |
TWI611047B (zh) | 2018-01-11 |
EP2108039A2 (en) | 2009-10-14 |
JP5237300B2 (ja) | 2013-07-17 |
KR20160085902A (ko) | 2016-07-18 |
KR101449774B1 (ko) | 2014-10-14 |
TW201435143A (zh) | 2014-09-16 |
SG177915A1 (en) | 2012-02-28 |
TW200846462A (en) | 2008-12-01 |
KR20090096728A (ko) | 2009-09-14 |
TW201710556A (zh) | 2017-03-16 |
JP2010515246A (ja) | 2010-05-06 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI449784B (zh) | 用以移除蝕刻後殘餘物之液體清洗劑 | |
US9063431B2 (en) | Aqueous cleaner for the removal of post-etch residues | |
KR102405063B1 (ko) | 텅스텐 및 코발트 상용성을 갖는 에치후 잔류물을 제거하기 위한 수성 및 반-수성 세정제 | |
TWI622639B (zh) | 金屬及介電相容犠牲抗反射塗層清洗及移除組成物 | |
US20090301996A1 (en) | Formulations for removing cooper-containing post-etch residue from microelectronic devices | |
JP2009515055A (ja) | 低k誘電体材料をその上に有する半導体ウェハをリサイクルするための組成物および方法 | |
EP1488286A1 (en) | Ph buffered compositions for cleaning semiconductor substrates | |
TW201610102A (zh) | 具有金屬、電介質及氮化物相容性之抗反射塗層清洗及蝕刻後殘留物移除組成物 | |
TWI718742B (zh) | 化學機械研磨後(post cmp)清潔組合物 | |
KR20160097201A (ko) | 표면 잔류물 제거용 세정 제형 |