CN111936936A - 用于去除灰化后残留物和/或用于氧化蚀刻含TiN层料或掩模的含咪唑烷硫酮组合物 - Google Patents

用于去除灰化后残留物和/或用于氧化蚀刻含TiN层料或掩模的含咪唑烷硫酮组合物 Download PDF

Info

Publication number
CN111936936A
CN111936936A CN201980023056.XA CN201980023056A CN111936936A CN 111936936 A CN111936936 A CN 111936936A CN 201980023056 A CN201980023056 A CN 201980023056A CN 111936936 A CN111936936 A CN 111936936A
Authority
CN
China
Prior art keywords
acid
semiconductor substrate
cleaning composition
post
etch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980023056.XA
Other languages
English (en)
Inventor
J·T·V·霍格博姆
A·克里普
柯志正
郑怡萍
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
BASF SE
Original Assignee
BASF SE
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by BASF SE filed Critical BASF SE
Publication of CN111936936A publication Critical patent/CN111936936A/zh
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/261Alcohols; Phenols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/263Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5009Organic solvents containing phosphorus, sulfur or silicon, e.g. dimethylsulfoxide
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Emergency Medicine (AREA)
  • Health & Medical Sciences (AREA)
  • Materials Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Detergent Compositions (AREA)
  • Weting (AREA)

Abstract

本发明描述了一种用于从半导体衬底的表面去除蚀刻后或灰化后残留物的清洁组合物和所述清洁组合物的相应用途。进一步描述了所述清洁组合物与一种或多种氧化剂结合例如用于优选在钨材料存在下氧化蚀刻或部分氧化蚀刻半导体衬底表面上的包含TiN或由TiN组成的层料或掩模和/或用于从半导体衬底的表面去除蚀刻后或灰化后残留物的用途。此外,描述了包含本发明的清洁组合物和一种或多种氧化剂的湿蚀刻组合物、所述湿蚀刻组合物用于优选在钨材料存在下氧化蚀刻或部分氧化蚀刻半导体衬底表面上的包含TiN或由TiN组成的层料或掩模和/或用于从半导体衬底的表面去除蚀刻后或灰化后残留物的用途、使用所述湿蚀刻组合物由半导体衬底制造半导体器件的方法和包含本发明的清洁组合物和一种或多种氧化剂的套装。还描述了咪唑烷硫酮在用于蚀刻或部分蚀刻半导体衬底表面上的层料或掩模和/或用于清洁半导体衬底的组合物中的用途。

Description

用于去除灰化后残留物和/或用于氧化蚀刻含TiN层料或掩模 的含咪唑烷硫酮组合物
本发明涉及一种用于从半导体衬底的表面去除蚀刻后或灰化后残留物的清洁组合物和所述清洁组合物的相应用途。本发明进一步涉及所述清洁组合物与一种或多种氧化剂结合例如用于优选在钨材料存在下氧化蚀刻或部分氧化蚀刻半导体衬底表面上的包含TiN或由TiN组成的层料或掩模和/或用于从半导体衬底的表面去除蚀刻后或灰化后残留物的用途。此外,本发明涉及包含本发明的清洁组合物和一种或多种氧化剂的湿蚀刻组合物、涉及所述湿蚀刻组合物用于优选在钨材料存在下氧化蚀刻或部分氧化蚀刻半导体衬底表面上的包含TiN或由TiN组成的层料或掩模和/或用于从半导体衬底的表面去除蚀刻后或灰化后残留物的用途、涉及使用所述湿蚀刻组合物由半导体衬底制造半导体器件的方法并涉及包含本发明的清洁组合物和一种或多种氧化剂的套装。本发明还涉及咪唑烷硫酮在用于蚀刻或部分蚀刻半导体衬底表面上的层料或掩模和/或用于清洁半导体衬底的组合物中的用途。
制造半导体器件的方法是光刻和化学加工步骤的多步骤序列,在此过程中在由纯半导体材料制成的晶片(“半导体晶片”)上逐渐建立电子电路。优选使用硅作为半导体材料。典型的半导体晶片由极纯的硅制成,其使用所谓的“柴氏法”生长成直径最多300mm的单晶圆柱锭。然后将这些锭切片成大约0.75mm厚的晶片并抛光以获得非常规则和平整的表面。制造半导体晶片的特定方法组织在几个阶段中,包含例如所谓的“前道工序”(“FEOL”)和“后道工序”(“BEOL”)加工阶段。
FEOL加工阶段是指直接在半导体晶片的材料(通常硅)中形成晶体管。通过超纯的几乎无缺陷的硅层的外延生长建造原始半导体晶片。在前道表面工程后接着栅极介电层(通常二氧化硅)的生长、栅极的图案化、源极和漏极区的图案化和掺杂剂随后注入或扩散到半导体材料中以获得所需互补电性质。
一旦在FEOL加工中已创建各种器件(例如动态随机存取存储器,DRAMs;静态随机存取存储器,SRAMs;电可编程只读存储器,EPROMs;或complementary metal on silicon,CMOS),它们必须互连以形成所需电路。这在统称为BEOL的一系列晶片加工步骤中发生。BEOL加工阶段涉及在半导体晶片的表面上创建金属互连线,它们通过由具有低介电常数的材料制成的层隔离。
随着引入铜代替铝作为导电材料,已经开发出用于在半导体衬底上形成集成电路互连的复杂多步骤制造法。制造半导体集成电路中的典型方法需要几百个步骤。这些步骤包括几个类型的阶段,如扩散、光刻、蚀刻、离子注入、沉积和溅射。
用于在半导体衬底上形成集成电路互连的一种特定的多步骤制造法被称为镶嵌法及其变体,如双镶嵌法,包括TFVL(“先沟槽再通孔”)双镶嵌法、VFTL(“先通孔再沟槽”)双镶嵌法、自配向双镶嵌法或使用蚀刻掩模,例如金属硬掩模的双镶嵌图案化法(关于后者参见例如文献US 6,696,222)。在双镶嵌加工技术中,通过将结构的形状蚀刻到下方层间介电(“ILD”)材料中,将所需集成电路互连结构图案化。在图案化后,通常在蚀刻结构上沉积薄阻挡层(例如由Ta/TaN、TiN、CoWP、NiMoP、NiMoB制成),例如作为铜扩散阻挡层。在阻挡层上通常沉积种子层,其支持铜在下方材料上的更好附着力并且也在镀层工艺的过程中充当催化材料。用于这些种子层的典型材料是包括Pd的化合物或其它化合物,例如聚合物和有机材料。原始沉积法(镶嵌法)被设计为独自加工每个层。
因此,所谓的“垂直互连访问”(“通孔”)、通常包含或由铜组成的导电互连和上覆金属化层级具有不同工艺步骤并且每个层需要一个由清洁、材料沉积、化学-机械抛光(“CMP”)和另一清洁步骤组成的序列。对其金属化层级及其ILDs和通孔间电介质(“IVD”s)使用这一序列的铜技术通常被称为“单镶嵌法”。通常,在单镶嵌法中,各层级需要其自己的保护层或蚀刻停止层、单独的ILD层和在顶部,需要可与互连金属铜一起抛光的材料-例如SiO2。作为单镶嵌法的替代,“双镶嵌”加工技术将某些类似工艺步骤组合为单个工艺步骤,因此减少建造不同层的BEOL堆叠体所需的工艺步骤数和时间和成本。因此,双镶嵌法一次制造IVD和金属化层。
用于在半导体衬底上形成集成电路互连的多步骤制造法,如镶嵌制造法或其变体因此通常包含一个或多个需要“打开”通孔的工艺步骤,这通常通过施加干法蚀刻技术,如反应离子蚀刻(“RIE”)或等离子体蚀刻(也被称为化学干法蚀刻),其涉及用等离子体能量促进的反应性蚀刻气体。
这样的打开通孔的工艺步骤通常包含位于通孔上方的一个或多个不同(连续)层的蚀刻,这些层可包含导电、半导电和/或绝缘材料。这些不同(连续)层的实例是光刻胶层、底部减反射涂层(BARC)、蚀刻掩模层(例如优选包含TiN的金属硬掩模层,或聚合物掩模层)、ILD层(例如包含SiO2或氮氧化硅)或低k材料层。所述不同层的蚀刻通常在它们的水平延伸范围的一部分上选择性进行(通常通过施加一个或多个蚀刻掩模层以保护在特定蚀刻步骤中不应被蚀刻的层区域)以创建通往一个或多个下层的某些区域的指定开口,直至在层堆叠体的底部到达通孔的指定区段。
在用于在半导体衬底上形成集成电路互连的某些多步骤制造法中,需要去除或部分去除蚀刻掩模,如金属硬掩模,例如TiN硬掩模。蚀刻掩模的这种去除或部分去除应该以对要去除的材料的高选择性进行,以保护存在于半导体衬底表面上的任何其它结构。TiN例如常用作RIE硬掩模以在铜双镶嵌器件制造中实现改进的对低k材料的选择性,特别是对于32nm节点和更大。但是,这种方法的一个缺点在于例如在RIE后有时产生悬突掩模,这在阻挡金属、铜种子层和铜填料的后续沉积步骤中可能造成空洞。因此,有时有益的是以形成缩进/圆角形态的方式蚀刻TiN掩模以消除掩模悬突和确保可靠的金属沉积,或选择性去除或部分去除包含TiN或由TiN组成的层料或掩模。这样的方法通常被称为“TiN缩进法(TiNpullback process)”,其中选择性部分去除和/或选择性部分氧化蚀刻和/或选择性凹刻和/或选择性缩进包含TiN或由TiN组成的层料或掩模。这样的TiN缩进法可例如去除包含TiN或由TiN组成的层料或掩模的一部分,因此部分暴露出在包含TiN或由TiN组成的层料或掩模下方的下一层的材料。
根据在给定半导体衬底上需要创建的集成电路互连的特定结构,可能需要在其它材料存在下,特别是在钨材料和/或低k材料存在下以高精度选择性进行TiN硬掩模的上述去除或部分去除。在这些情况下,当去除或部分去除包含TiN或由TiN组成的层料或掩模时,绝不能影响或损伤或仅在尽可能最小程度上影响或损伤存在的其它材料,特别是钨材料和/或低k材料。
上述蚀刻工艺由蚀刻工艺中所用的蚀刻介质和与它们相互作用的材料的相互作用产生残留物。所述残留物的组成受施加的蚀刻类型、蚀刻的层的材料(例如抗蚀剂)、任何下方衬底和施加的工艺条件影响。
应该去除的残留物的类型通常包含有机化合物,如有机聚合物,可能包含或不含氟,和/或-特别在蚀刻层料或掩模,如蚀刻停止层和/或硬掩模,更特别是包含TiN或由TiN组成的蚀刻停止层和/或硬掩模的情况下-还有金属有机配合物,优选选自钛的配合物(Ti,包含Ti的氟配合物,也称为“TiFx”,和Ti的氧配合物,也称为“TiOx”)和Cu的配合物和/或含金属材料的残留物,优选选自Al、AlCu(即Al和Cu的合金)、HfOx(即铪氧化物)、Ta、TaN、Ti、钛的氧化物和钛的氮化物,更优选选自Ti、钛的氧化物和钛的氮化物。有机聚合物类型的蚀刻后或灰化后残留物可能例如源自半导体衬底上的一个或多个不同层的蚀刻或加工,例如源自光刻胶层、底部减反射涂层、ILD层和/或低k材料层。
如果没有从衬底上去除蚀刻残留物,它们可干扰涉及衬底的后续工艺。蚀刻残留物的这种去除或清洁通常被称为“蚀刻后残留物”去除或“灰化后残留物”去除。去除或清洁不良的后果可导致受影响的半导体器件的低收率、低可靠性和/或低性能。不适当清洁还可导致不令人满意的短时间——在此期间半导体晶片的表面在加工过程中可暴露于空气而不发生沉积膜品质的退化,以造成排队时间限制和因此工艺灵活性的限制。
用于在半导体衬底上形成集成电路互连的制造方法的不断发展(例如缩小器件尺寸、改变蚀刻条件)和新型材料不断整合在所述方法中需要专门化的清洁组合物以满足这些变化的要求。现有技术也体现了要求的这种多样性:
例如,文献WO 2005/098920 A2涉及用于去除蚀刻后残留物的水溶液。
文献WO 2015/173730 A1涉及锡缩进和清洁组合物。
相关技术还有:
文献WO 2016/042408 A2涉及与锗化硅和钨具有相容性的用于蚀刻氮化钛的组合物。
文献WO 2008/080097 A2涉及用于去除蚀刻后残留物的液体清洁剂。
文献WO 2007/044446 A1描述了用于去除蚀刻后残留物的氧化性水性清洁剂。
文献US 2013/217234 A1涉及清洁溶液和使用其的镶嵌法。
鉴于现有技术,仍然需要一种用于从半导体工业中使用的衬底上去除蚀刻后或灰化后残留物的清洁组合物,其可令人满意地从一个或多个不同层(例如从先前已蚀刻的层),例如光刻胶层、底部减反射涂层、ILD层和/或低k材料层上去除蚀刻后或灰化后残留物,同时不会或在尽可能最小程度上危害或损伤也存在的低k材料和/或金属互连材料,特别是钨和/或铜。
也仍然需要用于优选在钨材料和/或低k材料存在下氧化蚀刻或部分氧化蚀刻半导体衬底表面上的包含TiN或由TiN组成的层料或掩模和/或用于从半导体衬底的表面去除蚀刻后或灰化后残留物的湿蚀刻组合物。类似地,仍然需要一种由半导体衬底制造半导体器件的方法,其能够优选在钨材料和/或低k材料存在下氧化蚀刻或部分氧化蚀刻半导体衬底表面上的包含TiN或由TiN组成的层料或掩模,和/或能够从半导体衬底的表面去除蚀刻后或灰化后残留物。
特别地,兼具上述选择性部分去除和/或选择性部分氧化蚀刻和/或选择性凹刻和/或选择性缩进包含TiN或由TiN组成的层料或掩模的功能和从半导体衬底的表面去除蚀刻后或灰化后残留物的功能,同时不会或在尽可能最小程度上危害或损伤也存在于衬底上的金属互连材料,特别是钨,和/或低k材料的组合物是理想的。
因此本发明的一个目的是提供一种改进的清洁组合物,其用于从半导体衬底上去除蚀刻后或灰化后残留物以从例如选自光刻胶层、底部减反射涂层、蚀刻掩模层、ILD层和低k材料层的一个或多个不同层(它们可同时存在于衬底上;即从先前已蚀刻的层)上去除残留物,同时不会或在尽可能最小程度上危害或损伤也存在于衬底上的低k材料和/或金属互连材料,特别是钨。
本发明的主要目的是提供一种改进的湿蚀刻组合物,其用于优选在钨材料和/或低k材料存在下氧化蚀刻或部分氧化蚀刻半导体衬底表面上的包含TiN或由TiN组成的层料或掩模和/或用于从半导体衬底的表面去除蚀刻后或灰化后残留物。
本发明的另一目的是提供一种由半导体衬底制造半导体器件的改进的方法,其中该方法能够优选在钨材料和/或低k材料存在下氧化蚀刻或部分氧化蚀刻半导体衬底表面上的包含TiN或由TiN组成的层料或掩模,和/或能够从半导体衬底的表面去除蚀刻后或灰化后残留物。
本发明的一个更具体的目的是提供一种套装,其特别用于由半导体衬底制造半导体器件的改进的方法。
本发明的再一目的是提供一种用于在钨材料和/或低k材料存在下蚀刻或部分蚀刻半导体衬底表面上的层料或掩模和/或用于从半导体衬底的表面去除蚀刻后或灰化后残留物的组合物,其对钨材料具有改进的保护性质。
现在已经令人惊讶地发现,通过一种用于从半导体衬底的表面去除蚀刻后或灰化后残留物的清洁组合物实现本发明的主要目的和其它目的,所述清洁组合物包含下列组分(即本发明的清洁组合物可含有除如下定义的组分(A)至(E)外的其它组分)或由下列组分组成(即本发明的清洁组合物可能不含除如下定义的组分(A)至(E)外的其它组分):
(A)一种或多种磺酸,其选自甲磺酸、乙磺酸、丙磺酸、丁磺酸、己磺酸、3-(N-吗啉基)丙磺酸、2-(N-吗啉基)乙磺酸、N-环己基-2-氨基乙磺酸、3-[4-(2-羟乙基)-1-哌嗪基]丙磺酸、N-环己基-3-氨基丙磺酸及其混合物;所述或至少一种磺酸优选是甲磺酸;
(B)一种或多种极性、非给质子有机溶剂,其选自二甲基甲酰胺、二甲亚砜、二甲基乙酰胺、N-甲基吡咯烷酮、碳酸亚丙酯、环丁砜、四氢呋喃及其混合物;所述或至少一种极性、非给质子有机溶剂优选是环丁砜;
(C)一种或多种二醇醚,其选自1,1-二甲氧基乙烷、1-甲氧基-2-丁醇、2-(2-丁氧基乙氧基)乙醇、2-(萘-6-氧基)聚乙氧基乙醇、2-(己氧基)乙醇、2-甲氧基-1-丁醇、2-甲氧基-2-甲基丁醇、丁基二甘醇、二乙二醇二乙基醚、二乙二醇二甲基醚、二乙二醇甲基乙基醚、二乙二醇单苄基醚、二乙二醇单丁基醚、二乙二醇单乙基醚、二乙二醇单异丁基醚、二乙二醇单异丙基醚、二乙二醇单甲基醚、二乙二醇单丙基醚、二丙二醇二异丙基醚、二丙二醇单异丙基醚、二丙二醇单甲基醚、二丙二醇单丙基醚、二丙二醇单丁基醚、乙二醇二乙基醚、乙二醇二甲基醚、乙二醇单丁基醚、乙二醇单乙基醚乙酸酯、乙二醇单乙基醚、乙二醇单甲基醚、单丙基醚、聚乙二醇单甲基醚、丙二醇二甲基醚、丙二醇单丁基醚、丙二醇单甲基醚、丙二醇、四氢糠醇、三乙二醇二甲基醚、三乙二醇乙二醇单甲基醚乙酸酯、三乙二醇单甲基醚、三丙二醇单甲基醚及其混合物;所述或至少一种二醇醚优选是二丁基甘醇;
(D)一种或多种咪唑烷硫酮,优选选自2-咪唑烷硫酮、2,3,5-三苯基-4-咪唑烷硫酮、4-甲基-2-咪唑烷硫酮和1-甲基-3-丙基-2-咪唑烷硫酮及其混合物;所述或至少一种咪唑烷硫酮更优选是2-咪唑烷硫酮;
(E)水。
在所附权利要求书中规定了本发明及其优选实施方案和其参数、性质和要素的优选组合。在以下说明书和下述实施例中也规定和解释了本发明的优选方面、细节、修改和优点。
在本发明中,“光刻胶层”是指-与微电子学或微光刻学技术领域中的普通含义相符-这样的层或膜:当暴露于波长在250至400nm范围内的光时,暴露于所述光的光刻胶部分变得(i)可溶于特定显影剂(“正性光刻胶”),而光刻胶的未曝光部分保持不溶于光刻胶显影剂,或暴露于所述光的光刻胶部分变得(ii)不溶于特定显影剂(“负性光刻胶”),而光刻胶的未曝光部分保持可溶于光刻胶显影剂。术语“光刻胶”在本发明中包含光聚合的光刻胶、光可分解的光刻胶和光交联的光刻胶。
在本发明中,“底部减反射涂层”(“BARC”)或底部抗蚀减反射涂层是指-与微电子学或微光刻学技术领域中的普通含义相符-用于改进光刻胶的轮廓和整体工艺操作窗口的有机或硅-有机聚合物。在光刻胶前施加BARCs以助于消除驻波和所造成的有缺陷/倾斜的抗蚀剂侧壁,这在图像化纳米结构中常因在整个抗蚀剂厚度中变化和反射的光强度而发生。必须基于具体波长工艺,包括i-Line、248nm、193nm(干法和浸渍)选择BARCS。它们也必须与施加的光刻胶相容。由有机聚合物制剂使用旋涂法将BARCs施加到半导体衬底上,然后加热(“烘烤”、“固化”)到推荐温度。
在本发明中,“蚀刻掩模”是指-与微电子学或微光刻学技术领域中的普通含义相符-可无损经受某些蚀刻工艺步骤并因此充当在这样的蚀刻步骤中不应被蚀刻的下邻材料层的某些区域的保护层的材料层。随后可在专门针对蚀刻掩模材料的条件下选择性去除蚀刻掩模,同时不(或仅在极小程度上)影响下邻材料层,以使这些暴露于进一步加工。在本发明中,蚀刻掩模层优选包含或由(a)选自Ti、TiN、La、LaN、HfOx(即铪氧化物)、Al、AlCu的材料(优选包含或由TiN组成),或(b)有机聚合材料组成。蚀刻掩模也可以是光刻胶。
在本发明中,“ILD”是指-与微电子学或微光刻学技术领域中的普通含义相符-用于电分离集成电路中的布置在几个层级中(多层金属化)的密排互连线的介电材料。ILD通常具有介电常数k≤3.9以使相邻金属线,例如通孔之间的电容耦合最小化。在本发明中,ILDs优选包含或由SiO2和/或氮氧化硅组成。
在本发明中,“低k材料”是指-与微电子学或微光刻学技术领域中的普通含义相符-介电常数κ<3.9的材料,其优选选自
-含硅材料,优选选自SiO2、碳氧化硅(SiOC)、原硅酸四乙酯(TEOS)、硼掺杂的磷硅玻璃(BPSG)、氟掺杂的二氧化硅(氟硅玻璃,FSG)、碳掺杂的二氧化硅、有机硅酸盐玻璃(OSG)、碳掺杂的氧化物(CDO)、多孔二氧化硅、多孔碳掺杂的二氧化硅(例如被称为BlackDiamond(TM)II)和旋涂硅聚合材料,优选选自氢倍半硅氧烷(HSQ)和甲基倍半硅氧烷(MSQ);和
-聚合材料,优选选自旋涂有机聚合电介质,优选包含聚酰亚胺(PI)、聚降冰片烯、苯并环丁烯和聚四氟乙烯(PTFE)。
在本发明中,“金属互连材料”是指-与微电子学或微光刻学技术领域中的普通含义相符-选自铝、钴、铜、钌、钛和钨,优选铜和/或钨的材料。
在本发明中,“半导体工业中所用的衬底”或“半导体衬底”优选是指-与微电子学或微光刻学技术领域中的普通含义相符-半导体晶片。
在本发明中,“给质子”是指-与化学技术领域中的普通含义相符-能够充当质子给体,特别是对水。
在本发明中,“金属有机配合物”优选是指选自Ti的配合物(包含Ti的氟配合物,也称为“TiFx”,和Ti的氧配合物,也称为“TiOx”)和Cu的配合物的配合物。
在本发明中,“金属材料”优选是指选自Al、AlCu(即Al和Cu的合金)、HfOx(即铪氧化物)、Ta、TaN、钛(Ti)、钛的氧化物和钛的氮化物,更优选选自钛(Ti)、钛的氧化物和钛的氮化物的材料。
在如上文定义的根据本发明的清洁组合物中和在如下文定义的本发明的湿蚀刻组合物中,所述一种或多种磺酸(A)、所述一种或多种极性、非给质子有机溶剂(B)、所述一种或多种二醇醚(C)和所述一种或多种咪唑烷硫酮(D)通常在每种情况下可独自使用(作为单一化合物)或可与相同类型的其它化合物(如适用,分别是磺酸;极性、非给质子有机溶剂;二醇醚或咪唑烷硫酮)组合使用。
也优选的是具有在1至4的范围内,优选在1至3的范围内,更优选在1至2的范围内的pH的如本文中定义的根据本发明的清洁组合物(或如上文或下文中描述为优选的根据本发明的清洁组合物)。
在本发明的备选方案中,本发明的清洁组合物可进一步包含附加组分。在一些情况下,如本文中定义的根据本发明的清洁组合物(或如上文或下文中描述为优选的根据本发明的清洁组合物)因此优选进一步(即除如上定义的组分(A)至(E)外)包含:
(F)一种或多种缓蚀剂,其选自2-
Figure BDA0002706056470000091
唑烷酮,优选2-
Figure BDA0002706056470000092
唑烷酮和3-甲基-2-
Figure BDA0002706056470000093
唑烷酮;咪唑烷;咪唑烷酮,优选1-(2-羟乙基)-2-咪唑烷酮和2-咪唑烷酮;聚乙烯亚胺和聚丙烯亚胺。
优选用于本发明的是在文献WO 2015/173730 A1的第5页中公开的那些2-
Figure BDA0002706056470000101
唑烷酮。优选用于本发明的是在文献WO 2015/173730 A1的第4和5页中公开的那些咪唑烷。优选用于本发明的是在文献WO 2015/173730 A1的第4页中公开的那些咪唑烷酮。
更特别地,在上文提到的情况中(其中该清洁组合物进一步包含组分(F)),一种根据本发明的清洁组合物是优选的,其中
-所述或至少一种缓蚀剂(F)是2-咪唑烷酮,
和/或
-所述一种或多种缓蚀剂(F),优选2-咪唑烷酮的总量在基于清洁组合物的总重量计0.1重量%至10重量%,优选0.5重量%至7.5重量%,更优选0.75重量%至5重量%的范围内。
优选的还有一种如本文中定义的根据本发明的清洁组合物(或如上文或下文中描述为优选的根据本发明的清洁组合物),其中
-所述或至少一种磺酸(A)为甲磺酸,优选所述至少一种磺酸(A)为甲磺酸,
和/或(优选“和”)
-所述一种或多种磺酸(A),优选甲磺酸的总量在基于清洁组合物的总重量计0.01重量%至10重量%,优选0.05重量%至5重量%,更优选0.1重量%至1重量%的范围内。
如果在如下文定义的根据本发明的清洁组合物或根据本发明的湿蚀刻组合物中所述或至少一种磺酸(A)为甲磺酸,这可与清洁组合物的任何其它组分或优选组分或它们的混合物组合以产生本发明的优选清洁组合物或湿蚀刻组合物,即任何极性、非给质子有机溶剂(B)或它们的混合物、任何二醇醚(C)或它们的混合物和/或任何咪唑烷硫酮(D)或它们的混合物和/或(如果适用)任何缓蚀剂(F)。
一种如本文中定义的根据本发明的清洁组合物(或如上文或下文中描述为优选的根据本发明的清洁组合物)也是优选的,其中
-所述或至少一种极性、非给质子有机溶剂(B)为环丁砜(2,3,4,5-四氢噻吩-1,1-二氧化物;CAS RN 126-33-0),优选所述至少一种极性、非给质子有机溶剂(B)为环丁砜,
和/或(优选“和”)
-所述一种或多种极性、非给质子有机溶剂(B),优选环丁砜的总量在基于清洁组合物的总重量计1重量%至25重量%,优选2.5重量%至25重量%,更优选5重量%至15重量%的范围内。
如果在如下文定义的根据本发明的清洁组合物或根据本发明的湿蚀刻组合物中所述或至少一种极性、非给质子有机溶剂(B)为环丁砜,这可与清洁组合物的任何其它组分或优选组分或它们的混合物组合以产生本发明的优选清洁组合物或湿蚀刻组合物,即任何磺酸(A)或它们的混合物、任何二醇醚(C)或它们的混合物和/或任何咪唑烷硫酮(D)或它们的混合物和/或(如果适用)任何缓蚀剂(F)。
一种如本文中定义的根据本发明的清洁组合物(或如上文或下文中描述为优选的根据本发明的清洁组合物)也是优选的,其中
-所述或至少一种二醇醚(C)为丁基二甘醇,优选所述至少一种二醇醚(C)为丁基二甘醇,
和/或(优选“和”)
-所述一种或多种二醇醚(C),优选丁基二甘醇的总量在基于清洁组合物的总重量计10重量%至50重量%,优选15重量%至45重量%,更优选20重量%至40重量%的范围内。
如果在如下文定义的根据本发明的清洁组合物或根据本发明的湿蚀刻组合物中所述或至少一种二醇醚(C)为丁基二甘醇,这可与清洁组合物的任何其它组分或优选组分或它们的混合物组合以产生本发明的优选清洁组合物或湿蚀刻组合物,即任何磺酸(A)或它们的混合物、任何极性、非给质子有机溶剂(B)或它们的混合物和/或任何咪唑烷硫酮(D)或它们的混合物和/或(如果适用)任何缓蚀剂(F)。
此外,一种如本文中定义的根据本发明的清洁组合物(或如上文或下文中描述为优选的根据本发明的清洁组合物)也是优选的,其中
-所述或至少一种咪唑烷硫酮(D)为2-咪唑烷硫酮,
和/或(优选“和”)
-所述一种或多种咪唑烷硫酮(D),优选2-咪唑烷硫酮的总量在基于清洁组合物的总重量计0.05重量%至10重量%,优选0.1重量%至5重量%,更优选0.5重量%至2重量%的范围内。
如果在如下文定义的根据本发明的清洁组合物或根据本发明的湿蚀刻组合物中所述或至少一种咪唑烷硫酮(D)为2-咪唑烷硫酮,这可与清洁组合物的任何其它组分或优选组分或它们的混合物组合以产生本发明的优选清洁组合物或湿蚀刻组合物,即任何磺酸(A)或它们的混合物、任何极性、非给质子有机溶剂(B)或它们的混合物和/或任何二醇醚(C)和/或(如果适用)任何缓蚀剂(F)。
此外,一种如本文中定义的根据本发明的清洁组合物(或如上文或下文中描述为优选的根据本发明的清洁组合物)特别优选,其包含下列组分或由下列组分组成(优选由下列组分组成):
(A)甲磺酸,优选以基于清洁组合物的总重量计0.01重量%至10重量%,优选0.05重量%至5重量%,更优选0.1重量%至1重量%的总量,
(B)环丁砜,优选以基于清洁组合物的总重量计1重量%至25重量%,优选2.5重量%至25重量%,更优选5重量%至15重量%的总量,
(C)丁基二甘醇,优选以基于清洁组合物的总重量计10重量%至50重量%,优选15重量%至45重量%,更优选20重量%至40重量%的总量,
(D)2-咪唑烷硫酮,优选以基于清洁组合物的总重量计0.05重量%至10重量%,优选0.1重量%至5重量%,更优选0.5重量%至2重量%的总量,
(E)水,优选在每种情况下补充到总共100重量%的清洁组合物,
其优选具有在1至4的范围内,更优选在1至3的范围内,再更优选在1至2的范围内的pH。
在本发明的一个特别优选的方面下,根据本发明的清洁组合物(或如上文或下文中描述为优选的根据本发明的清洁组合物)适合并预期用于制备如下文更详细定义的根据本发明的各自的湿蚀刻组合物。
本发明还涉及如本文中定义的根据本发明的清洁组合物的用途(或涉及如本文中描述为优选的根据本发明的清洁组合物的用途):
-用于从半导体衬底,优选包含钨材料和/或低k材料的半导体衬底的表面去除蚀刻后或灰化后残留物,
其中所述蚀刻后或灰化后残留物优选包含一种或多种有机化合物,优选有机聚合物,其包含或不含氟(优选包含氟),
和/或
-用于清洁包含钨材料和/或低k材料的半导体衬底,优选半导体衬底的表面,优选在蚀刻一个或多个衬底层的步骤后,
其中所述一个或多个先前已蚀刻的衬底层优选选自层间介电层和低k材料层;
和/或
-用于从包含钨材料和/或低k材料的半导体衬底的表面去除残留物和污染物,
其中所述残留物和污染物优选包含或由有机化合物,优选有机聚合物组成,其包含或不含氟(优选包含氟)。
通常,在本文中就根据本发明的清洁组合物论述的本发明的所有方面比照适用于如上文和下文定义的根据本发明的所述清洁组合物的用途。反过来也一样,在本文中就根据本发明的所述清洁组合物的用途论述的本发明的所有方面比照适用于本发明的清洁组合物。
本发明还涉及由半导体衬底制造半导体器件的第一种方法,其包括下列步骤:
-提供如上定义的清洁组合物(或如上文定义为优选的清洁组合物)
-使优选包含钨材料和/或低k材料的半导体衬底的表面与由此提供的清洁组合物接触至少一次,优选在蚀刻和/或灰化一个或多个衬底层的步骤后,
-从半导体衬底的表面去除蚀刻后或灰化后残留物,
其中所述蚀刻后或灰化后残留物优选包含一种或多种有机化合物,优选有机聚合物,其包含或不含氟(优选包含氟),
和/或
-清洁半导体衬底,优选半导体衬底的表面,
其中所述一个或多个先前已蚀刻的衬底层优选选自层间介电层和低k材料层;
和优选地
-进行附加后续步骤以收获半导体器件,更优选清洁半导体器件。
通常,在本文中就根据本发明的清洁组合物和根据本发明的清洁组合物的用途论述的本发明的所有方面比照适用于如上文和下文定义的根据本发明的制造半导体器件的第一种方法。反过来也一样,在本文中就制造半导体器件的第一种方法论述的本发明的所有方面比照适用于本发明的清洁组合物和根据本发明的清洁组合物的用途。
在一个特别优选的方面中,本发明还涉及与一种或多种氧化剂结合的如本文中定义的根据本发明的清洁组合物的用途(或如本文中描述为优选的根据本发明的清洁组合物的用途):
-用于氧化蚀刻或部分氧化蚀刻半导体衬底表面上的包含TiN或由TiN组成的层料或掩模,优选在钨材料和/或低k材料存在下;
和/或
-用于去除或部分去除半导体衬底表面上的包含TiN或由TiN组成的层料或掩模,优选在钨材料和/或低k材料存在下;
和/或
-用于凹刻半导体衬底表面上的包含TiN或由TiN组成的层料或掩模,优选在钨材料和/或低k材料存在下;
和/或
-用于缩进半导体衬底表面上的包含TiN或由TiN组成的层料或掩模,优选在钨材料和/或低k材料存在下;
和/或
-用于从半导体衬底,优选包含钨材料和/或低k材料的半导体衬底的表面去除蚀刻后或灰化后残留物,
其中所述蚀刻后或灰化后残留物优选包含选自包含或由以下材料组成的组的一种或多种残留物:
-有机化合物,优选有机聚合物,其包含或不含氟(优选包含氟),
-金属有机配合物和
-金属材料,优选钛和/或钛的氧化物和/或钛的氮化物,
和/或
-用于清洁包含钨材料和/或低k材料的半导体衬底,优选半导体衬底的表面,优选在蚀刻一个或多个衬底层的步骤后
其中所述一个或多个先前已蚀刻的衬底层优选选自蚀刻掩模层,优选包含TiN或由TiN组成的蚀刻掩模层;层间介电层和低k材料层;
和/或
-用于从包含钨材料和/或低k材料的半导体衬底的表面去除残留物和污染物,
其中所述残留物和污染物优选选自包含或由以下材料组成的组:
-有机化合物,其包含或不含氟(优选包含氟),
-金属有机配合物和
-金属材料,优选钛和/或钛的氧化物和/或钛的氮化物。
通常,在本文中就根据本发明的清洁组合物、根据本发明的所述清洁组合物的用途和涉及本发明的清洁组合物的制造半导体器件的第一种方法论述的本发明的所有方面比照适用于如上文和下文定义的根据本发明与一种或多种氧化剂结合的所述清洁组合物的用途。反过来也一样,在本文中就根据本发明与一种或多种氧化剂结合的所述清洁组合物的用途论述的本发明的所有方面比照适用于根据本发明的清洁组合物、根据本发明的所述清洁组合物的用途和涉及本发明的清洁组合物的制造半导体器件的第一种方法。
一种如本文中定义的根据本发明与一种或多种氧化剂结合的清洁组合物的用途是优选的,其中:
-所述清洁组合物在分开的步骤中或同时在相同步骤中,优选同时在相同步骤中与所述一种或多种氧化剂结合使用;
和/或
-所述一种或多种氧化剂选自过氧化氢、过氧化脲、过氧二硫酸、过硫酸铵、过氧单硫酸、焦硫酸、臭氧及其混合物;且优选所述一种氧化剂或所述多种氧化剂之一为过氧化氢;
和/或
-所述一种或多种氧化剂,优选过氧化氢以基于清洁组合物的总重量计0.3重量%至10.0重量%,优选0.5重量%至5.0重量%,更优选0.6重量%至4.0重量%,再更优选0.75重量%至3重量%,再更优选0.8重量%至2.5重量%的总量使用;
和/或
-一种或多种稳定剂与所述一种或多种氧化剂结合和/或与所述清洁组合物结合使用,其优选选自胺-N-氧化物,优选N-甲基吗啉-N-氧化物和吡啶-N-氧化物;柠檬酸;1-羟基乙烷1,1-二膦酸;乙醇酸;乳酸;羟基丁酸;甘油酸;苹果酸;酒石酸;丙二酸;琥珀酸;戊二酸;马来酸及其混合物。
所述一种或多种稳定剂可独自或组合使用。在根据本发明的清洁组合物的情况下,N-甲基吗啉-N-氧化物和1-羟基乙烷1,1-二膦酸(HEDP)是与所述一种或多种氧化剂结合和/或与所述清洁组合物结合使用的优选稳定剂。
上文规定的并与本发明的清洁组合物结合使用的所述一种或多种氧化剂,优选过氧化氢的以“重量%”为单位的总量在每种情况下是指纯的未稀释氧化剂的重量%(例如是指100%过氧化氢)。
特别令人惊讶的是,与一种或多种氧化剂结合的如本文中定义的根据本发明的清洁组合物的用途(或如本文中描述为优选的根据本发明的清洁组合物的用途)特别适用于在钨材料和/或低k材料存在下选择性氧化蚀刻,特别是选择性部分氧化蚀刻半导体衬底表面上的包含TiN或由TiN组成的层料或掩模。已经发现,与所述一种或多种氧化剂结合的所述清洁组合物的所述用途表现出或能够实现一方面对例如包含TiN或由TiN组成的层料或掩模,通常蚀刻掩模中的TiN和另一方面对也存在于半导体衬底的表面上的导电金属,特别是钨和低k材料的蚀刻速率的极其平衡的比率。这种平衡的比率使得能够在钨材料和/或低k材料存在下非常选择性地氧化蚀刻或部分氧化蚀刻包含TiN或由TiN组成的层料或掩模并且没有或仅在极低程度上蚀刻或损伤钨材料和/或低k材料。特别地,与所述一种或多种氧化剂结合的所述清洁组合物的所述用途使得能够在钨材料和/或低k材料存在下选择性地和精确地部分蚀刻包含TiN或由TiN组成的层料或掩模至所需程度,即,将包含TiN或由TiN组成的层料或掩模“缩进”到所需程度,并且没有或仅在极低程度上损伤钨材料和/或低k材料。
本发明的另一令人惊讶的发现在于,通过使用所述一种或多种咪唑烷硫酮(D),特别是2-咪唑烷硫酮,有益地影响或控制由根据本发明与所述一种或多种氧化剂结合的所述清洁组合物的用途得到的一方面对TiN和另一方面对导电金属,特别是钨和/或低k材料的蚀刻速率的上述极其平衡的比率。不希望受制于理论,但目前推测,所述一种或多种咪唑烷硫酮,特别是2-咪唑烷硫酮在本发明的清洁组合物中和/或在本发明的湿蚀刻组合物中充当钨材料的特异性缓蚀剂。
在自己的实验中已经发现,包含一种或多种咪唑烷硫酮,特别是2-咪唑烷硫酮作为钨材料的保护剂或防蚀剂的根据本发明的湿蚀刻组合物表现出特别显著的TiN vs.钨蚀刻速率选择性,这使得与现有技术中已知的并且不含一种或多种咪唑烷硫酮,特别是2-咪唑烷硫酮,或仅包含与本发明的组合物不同的钨材料保护剂或防蚀剂的类似组合物相比能够在钨材料(其不应或仅在明显较小程度上被蚀刻)存在下更选择性氧化蚀刻或部分氧化蚀刻包含TiN或由TiN组成的层。
本发明因此还涉及一种湿蚀刻组合物,其包含
(W1)如本文中定义的根据本发明的清洁组合物(或如本文中描述为优选的根据本发明的清洁组合物,即包含或由如上文定义的组分(A)至(E)组成的清洁组合物)
(W2)一种或多种氧化剂,其优选选自过氧化氢、过氧化脲、过氧二硫酸、过硫酸铵、过氧单硫酸、焦硫酸、臭氧及其混合物;更优选所述一种氧化剂或所述多种氧化剂之一为过氧化氢,
优选以基于清洁组合物的总重量计0.3重量%至10.0重量%,优选0.5重量%至5.0重量%,更优选0.6重量%至4.0重量%,再更优选0.75重量%至3重量%,再更优选0.8重量%至2.5重量%的总量,
其优选用于
-氧化蚀刻或部分氧化蚀刻半导体衬底表面上的包含TiN或由TiN组成的层料或掩模,优选在钨材料和/或低k材料存在下;
和/或
-从半导体衬底,优选包含钨材料和/或低k材料的半导体衬底的表面去除蚀刻后或灰化后残留物,
其中所述蚀刻后或灰化后残留物优选包含选自包含或由以下材料组成的组的一种或多种残留物:
-有机化合物,其包含或不含氟,
-金属有机配合物和
-金属材料,优选钛和/或钛的氧化物和/或钛的氮化物。
通常,在本文中就根据本发明的清洁组合物、根据本发明的所述清洁组合物的用途、涉及本发明的清洁组合物的制造半导体器件的第一种方法和根据本发明与一种或多种氧化剂结合的所述清洁组合物的用途论述的本发明的所有方面比照适用于如上文和下文定义的根据本发明的湿蚀刻组合物。反过来也一样,在本文中就根据本发明的湿蚀刻组合物论述的本发明的所有方面比照适用于根据本发明的清洁组合物、根据本发明的所述清洁组合物的用途、涉及本发明的清洁组合物的制造半导体器件的第一种方法和根据本发明与一种或多种氧化剂结合的所述清洁组合物的用途。
所述一种或多种氧化剂(W2)也可以氧化剂组合物,例如过氧化氢的水性组合物或溶液的形式存在于或添加到本发明的湿蚀刻组合物中。
已经发现,作为根据本发明的湿蚀刻组合物的组分的所述一种或多种磺酸(A),特别是甲磺酸特别适用于控制与钨相比对TiN的蚀刻速率选择性,适用于稳定氧化剂(例如过氧化氢)并且适用于调节pH,同时没有或仅在可接受的低程度上损害或破坏也存在的低k材料。
优选的是一种如本文中定义的根据本发明的湿蚀刻组合物(或如上文或下文中描述为优选的根据本发明的湿蚀刻组合物),其:
-具有在1至4的范围内,优选在1至3的范围内,更优选在1至2的范围内的pH,
和/或
-进一步包含:
(W3)一种或多种稳定剂,其优选选自
-胺-N-氧化物,优选N-甲基吗啉-N-氧化物和吡啶-N-氧化物;
-柠檬酸;
-1-羟基乙烷1,1-二膦酸;
-乙醇酸;
-乳酸;
-羟基丁酸;
-甘油酸;
-苹果酸;
-酒石酸;
-丙二酸;
-琥珀酸;
-戊二酸;
-马来酸和
-它们的混合物,
其中优选地,存在于湿蚀刻组合物中的所述一种或多种稳定剂的总量在基于湿蚀刻组合物的总重量计0.001重量%至0.5重量%的范围内,更优选在0.01重量%至0.1重量%的范围内,再更优选在0.01重量%至0.05重量%的范围内。
已经发现,具有如上文规定的优选pH的本发明的湿蚀刻组合物表现出低钨蚀刻速率(因为已知在较低pH下钨蚀刻速率较低)和存在的氧化剂的令人满意的活性之间的优异平衡,这使得能够如本文所述在钨材料和/或低k材料存在下选择性蚀刻包含TiN或由TiN组成的层料或掩模。
本发明进一步涉及如本文中定义的根据本发明的湿蚀刻组合物(或如上文或下文中描述为优选的根据本发明的湿蚀刻组合物)的用途,
-用于氧化蚀刻或部分氧化蚀刻半导体衬底表面上的包含TiN或由TiN组成的层料或掩模,优选在钨材料和/或低k材料存在下;
和/或
-用于去除或部分去除半导体衬底表面上的包含TiN或由TiN组成的层料或掩模,优选在钨材料和/或低k材料存在下;
和/或
-用于凹刻半导体衬底表面上的包含TiN或由TiN组成的层料或掩模,优选在钨材料和/或低k材料存在下;
和/或
-用于缩进半导体衬底表面上的包含TiN或由TiN组成的层料或掩模,优选在钨材料和/或低k材料存在下;
和/或
-用于从半导体衬底,优选包含钨材料和/或低k材料的半导体衬底的表面去除蚀刻后或灰化后残留物,
其中所述蚀刻后或灰化后残留物优选包含选自包含或由以下材料组成的组的一种或多种残留物:
-有机化合物,优选有机聚合物,其包含或不含氟(优选包含氟),
-金属有机配合物和
-金属材料,优选钛和/或钛的氧化物和/或钛的氮化物,
和/或
-用于清洁包含钨材料和/或低k材料的半导体衬底,优选半导体衬底的表面,优选在蚀刻一个或多个衬底层的步骤后
其中所述一个或多个先前已蚀刻的衬底层优选选自蚀刻掩模层,优选包含TiN或由TiN组成的蚀刻掩模层;层间介电层和低k材料层;
和/或
-用于从包含钨材料和/或低k材料的半导体衬底的表面去除残留物和污染物,
其中所述残留物和污染物优选选自包含或由以下材料组成的组:
-有机化合物,其包含或不含氟(优选包含氟),
-金属有机配合物和
-金属材料,优选钛和/或钛的氧化物和/或钛的氮化物。
通常,在本文中就根据本发明的清洁组合物、根据本发明的所述清洁组合物的用途、涉及本发明的清洁组合物的制造半导体器件的第一种方法、根据本发明与一种或多种氧化剂结合的所述清洁组合物的用途和根据本发明的湿蚀刻组合物论述的本发明的所有方面比照适用于如本文中定义的根据本发明的湿蚀刻组合物的用途。反过来也一样,在本文中就根据本发明的湿蚀刻组合物的用途论述的本发明的所有方面比照适用于根据本发明的清洁组合物、根据本发明的所述清洁组合物的用途、涉及本发明的清洁组合物的制造半导体器件的第一种方法、根据本发明与一种或多种氧化剂结合的所述清洁组合物的用途和根据本发明的湿蚀刻组合物。
已经发现,本发明的湿蚀刻组合物优异地适用于一方面在钨材料和/或低k材料存在下氧化蚀刻,特别是选择性和/或部分氧化蚀刻包含TiN或由TiN组成的层料或掩模(其中钨材料和/或低k材料不应或仅在最小程度上被蚀刻或损伤),另一方面如上文定义从半导体衬底的表面去除蚀刻后或灰化后残留物。
本发明还涉及由半导体衬底制造半导体器件的第二种方法,其包括下列步骤:
-混合如本文中定义的根据本发明的清洁组合物(或如本文中描述为优选的根据本发明的清洁组合物)与一种或多种氧化剂,其优选选自过氧化氢、过氧化脲、过氧二硫酸、过硫酸铵、过氧单硫酸、焦硫酸、臭氧及其混合物;更优选所述一种氧化剂或所述多种氧化剂之一为过氧化氢,
以收获湿蚀刻组合物,
-提供如上文定义的湿蚀刻组合物(或如上文定义为优选的湿蚀刻组合物)
-使半导体衬底表面上的包含TiN或由TiN组成的层料或掩模,优选在钨材料和/或低k材料存在下,与由此收获或提供的湿蚀刻组合物接触至少一次,
-选择性氧化蚀刻或部分氧化蚀刻所述层料或掩模
和/或
-从所述半导体衬底的表面去除蚀刻后或灰化后残留物,
其中所述蚀刻后或灰化后残留物优选包含选自包含或由以下材料组成的组的一种或多种残留物:
-有机化合物,优选有机聚合物,其包含或不含氟(优选包含氟),
-金属有机配合物和
-金属材料,优选钛和/或钛的氧化物和/或钛的氮化物,
和优选地
-进行附加后续步骤以收获半导体器件。
通常,在本文中就根据本发明的清洁组合物、根据本发明的所述清洁组合物的用途、涉及本发明的清洁组合物的制造半导体器件的第一种方法、根据本发明与一种或多种氧化剂结合的所述清洁组合物的用途、根据本发明的湿蚀刻组合物和根据本发明的湿蚀刻组合物的用途论述的本发明的所有方面比照适用于如本文中定义的根据本发明的制造半导体器件的第二种方法。反过来也一样,在本文中就根据本发明的制造半导体器件的第二种方法论述的本发明的所有方面比照适用于根据本发明的清洁组合物、根据本发明的所述清洁组合物的用途、涉及本发明的清洁组合物的制造半导体器件的第一种方法、根据本发明与一种或多种氧化剂结合的所述清洁组合物的用途、根据本发明的湿蚀刻组合物和根据本发明的湿蚀刻组合物的用途。
在根据本发明的制造半导体器件的第二种方法的一个优选变体中,在包含TiN或由TiN组成的层料或掩模与根据本发明的湿蚀刻组合物接触之前进行所述清洁组合物与所述一种或多种氧化剂的混合以收获本发明的湿蚀刻组合物。在本发明的一个优选变体中,进行所述清洁组合物与所述一种或多种氧化剂的混合以制备根据本发明的湿蚀刻组合物,然后立即或直接使包含TiN或由TiN组成的层料或掩模与由此收获的湿蚀刻组合物接触。
在根据本发明的制造半导体器件的第二种方法的一个变体中,所述清洁组合物与所述一种或多种氧化剂的混合以收获本发明的湿蚀刻组合物在一个或多个用于加工半导体衬底以制造半导体器件的工具中,优选在合适的工艺温度下进行。
一种根据本发明的由半导体衬底制造半导体器件的第二种方法因此是优选的,其中包含TiN或由TiN组成的层料或掩模与湿蚀刻组合物接触的步骤在25至65℃,优选30至60℃,更优选35至58℃的温度下进行。
在本发明的一个特别优选的方面下,根据本发明的湿蚀刻组合物(或如本文中描述为优选的根据本发明的湿蚀刻组合物)适合并预期用于如上文定义的由半导体衬底制造半导体器件的第二种方法。
在一个更具体的方面中,本发明还涉及一种套装,其优选用于从半导体衬底的表面去除蚀刻后或灰化后残留物和/或用于氧化蚀刻或部分氧化蚀刻半导体衬底表面上的包含TiN或由TiN组成的层料或掩模,优选在钨材料和/或低k材料存在下,
作为分开的组分,其包含:
(K1)如本文中定义的根据本发明的清洁组合物(或如本文中描述为优选的根据本发明的清洁组合物,即包含或由如上文定义的组分(A)至(E)组成的清洁组合物);
(K2)一种或多种氧化剂,其优选选自过氧化氢、过氧化脲、过氧二硫酸、过硫酸铵、过氧单硫酸、焦硫酸、臭氧及其混合物;更优选所述一种氧化剂或所述多种氧化剂之一为过氧化氢;
并进一步任选包含,作为单独组分或与组分(K1)和/或与组分(K2)结合:
(K3)一种或多种稳定剂,其优选选自胺-N-氧化物,优选N-甲基吗啉-N-氧化物和吡啶-N-氧化物;柠檬酸;1-羟基乙烷1,1-二膦酸;乙醇酸;乳酸;羟基丁酸;甘油酸;苹果酸;酒石酸;丙二酸;琥珀酸;戊二酸;马来酸及其混合物。
通常,在本文中就根据本发明的清洁组合物、根据本发明的所述清洁组合物的用途、涉及本发明的清洁组合物的制造半导体器件的第一种方法、根据本发明与一种或多种氧化剂结合的所述清洁组合物的用途、根据本发明的湿蚀刻组合物、根据本发明的湿蚀刻组合物的用途和根据本发明的制造半导体器件的第二种方法论述的本发明的所有方面比照适用于如本文中定义的根据本发明的套装。反过来也一样,在本文中就根据本发明的套装论述的本发明的所有方面比照适用于根据本发明的清洁组合物、根据本发明的所述清洁组合物的用途、涉及本发明的清洁组合物的制造半导体器件的第一种方法、根据本发明与一种或多种氧化剂结合的所述清洁组合物的用途、根据本发明的湿蚀刻组合物、根据本发明的湿蚀刻组合物的用途和根据本发明的制造半导体器件的第二种方法。
在本发明的一个特别优选的方面下,根据本发明的套装适合并预期用于制备如上文定义的根据本发明的各自的湿蚀刻组合物。
在本发明的另一特别优选的方面下,根据本发明的套装和由其制备的湿蚀刻组合物适合并预期用于如上文定义的根据本发明的由半导体衬底制造半导体器件的第二种方法。
所述一种或多种氧化剂(K2)可独自或互相组合使用。所述一种或多种氧化剂也可以氧化剂组合物,例如过氧化氢的水性组合物或溶液的形式存在于本发明的套装中。
在另一方面下,本发明还涉及咪唑烷硫酮,优选2-咪唑烷硫酮在用于在钨材料和/或低k材料存在下蚀刻或部分蚀刻半导体衬底表面上的层料或掩模和/或用于清洁半导体衬底的组合物中的用途,
其中优选地
-所述用途是作为缓蚀剂或保护剂,
和/或
-所述清洁包含从半导体衬底的表面去除蚀刻后或灰化后残留物,
和/或
-所述层料或掩模包含TiN或由TiN组成
和/或
-所述组合物包含一种或多种氧化剂。
通常,在本文中就根据本发明的清洁组合物、根据本发明的所述清洁组合物的用途、涉及本发明的清洁组合物的制造半导体器件的第一种方法、根据本发明与一种或多种氧化剂结合的所述清洁组合物的用途、根据本发明的湿蚀刻组合物、根据本发明的湿蚀刻组合物的用途、根据本发明的制造半导体器件的第二种方法和根据本发明的套装论述的本发明的所有方面比照适用于如本文中定义的根据本发明的2-咪唑烷硫酮在用于蚀刻或部分蚀刻层料或掩模和/或用于清洁半导体衬底的组合物中的用途。反过来也一样,在本文中就2-咪唑烷硫酮在用于蚀刻或部分蚀刻层料或掩模和/或用于清洁半导体衬底的组合物中的用途论述的本发明的所有方面比照适用于根据本发明的清洁组合物、根据本发明的所述清洁组合物的用途、涉及本发明的清洁组合物的制造半导体器件的第一种方法、根据本发明与一种或多种氧化剂结合的所述清洁组合物的用途、根据本发明的湿蚀刻组合物、根据本发明的湿蚀刻组合物的用途和根据本发明的制造半导体器件的第二种方法。
实施例:
下列实施例意在进一步解释本发明而非限制其范围。
在实施例部分中使用下列缩写:
2-IMT:2-咪唑烷硫酮(CAS RN 96-45-7)
2-IAD:2-咪唑烷酮半水合物(CAS RN 121325-67-5)
MSA:甲磺酸
BDG:丁基二甘醇
DMSO:二甲亚砜
TMAF:氟化四甲基铵
TMAH:氢氧化四甲基铵
EDTMPA:乙二胺四(亚甲基膦酸)
DMU:二羟甲基脲(CAS RN 140-95-4)
n.a.:无可得数据
n.d.:未测定
实施例1:根据本发明的清洁组合物和对比清洁组合物(非根据本发明)的制备
在每种情况下通过混合组分(A)至(X)制备根据本发明的下列清洁组合物(CCI1至CCI7)。下面在表1中给出细节。组分(A)至(F)的指示对应于如上文定义的组分的指示。“(X)”所示的组分是组分(A)至(F)的任一定义不包含的组分。
表1:根据本发明的清洁组合物
Figure BDA0002706056470000261
此外,如下表2中更详细显示,也以类似方式制备对比清洁组合物(非根据本发明,即组合物CCC1至CCC3)。
表2:对比清洁组合物(非根据本发明)
Figure BDA0002706056470000262
实施例2:根据本发明的湿蚀刻组合物和对比湿蚀刻组合物(非根据本发明)的制
通过将本发明的清洁组合物CCI1至CCI7(见实施例1)在每种情况下与足量的过氧化氢(H2O2,30重量%在水中)混合以收获如下表3中所示的最终浓度或重量比,制备根据本发明的下列湿蚀刻组合物(WEI1a至WEI7),其中“wt.-%H2O2”在每种情况下相对于用于制备特定湿蚀刻组合物的各自清洁组合物(CCI1至CCI7)的总重量给出并且其中“wt.-%H2O2”在每种情况下代表各自的湿蚀刻组合物中存在的纯(未稀释)过氧化氢的量或浓度。
表3:根据本发明的湿蚀刻组合物
Figure BDA0002706056470000271
此外,如下表4中更详细显示,也以类似方式制备对比湿蚀刻组合物(非根据本发明,即组合物WEC1至WEC3)。
表4:对比湿蚀刻组合物(非根据本发明)
Figure BDA0002706056470000272
实施例3:蚀刻速率的测量–第1部分
根据或类似于文献WO 2015/173730 A1中描述的方法测定来自实施例2的本发明的湿蚀刻组合物对钨层和TiN层的蚀刻速率。在临进行蚀刻速率实验前,通过混合各自的清洁组合物与指定量的过氧化氢,制备湿蚀刻组合物。
从适当的商业来源选择具有钨层或TiN层的Si试验晶片并打碎成较小试块。然后以本身已知的方式通过X-射线荧光分析(XRF)测量层厚度和蚀刻速率。XRF适用于薄层的非接触和非破坏性厚度测量以及适用于测定它们的化学组成。对于这种类型的测量,X-射线源和检测器位于样品的同一侧。当对衬底上的层施以X-射线时,如果其足够薄,辐射将会穿透该层到一定程度,这取决于厚度,进而在下方衬底的材料中造成特征荧光辐射。在其通往检测器的途中,在该层处的吸收会使这种荧光辐射衰减。可基于衬底材料的荧光辐射的强度衰减测定该层的厚度。
为了测定适用材料的初始膜或层厚度,基于由供应商报道的层厚度创建原始晶片的XRF recipe并用透射电子显微术(TEM)横截面验证。
然后使湿蚀刻组合物达到试验温度(如下表5中规定)并机械搅拌。将晶片试块固定到机械支架上并与烧杯中的湿蚀刻组合物接触大约10分钟。随后,从湿蚀刻组合物中取出试块并用超纯水或用异丙醇或用超纯水和异丙醇的混合物清洁大约1分钟。此后,试块用氮气干燥。再如上所述测量蚀刻后的层(钨或TiN)的残留厚度并在每种情况下照例计算蚀刻速率:
例如,当试验晶片上的钨层的初始厚度为33nm并且与试验组合物(即根据本发明的湿蚀刻组合物或对比湿蚀刻组合物)接触后的试验晶片上的钨层的厚度为30nm并且反应时间(即晶片试块与试验组合物的接触时间)为10min时,如下计算钨蚀刻速率:
钨蚀刻速率(假设)=(33-30)/10·nm/min=0.3nm/min
来自这一试验的结果显示在下表5中。
表5:来自使用根据本发明的湿蚀刻组合物的蚀刻速率试验的结果
Figure BDA0002706056470000281
由表5中的结果可以看出,增加2-咪唑烷硫酮的量(在湿蚀刻组合物WEI2中,与WEI1a相比)带来改进的对钨的防蚀刻保护,同时较少影响TiN的蚀刻速率。实际上,W/TiN的蚀刻速率比因此降低,这使得在钨存在下的TiN蚀刻更有选择性。仅增加氧化剂(过氧化氢)不允许TiN在钨存在下的选择性蚀刻(参见组合物WEI1a vs.WEI1b)。湿蚀刻组合物WEI2表现出测试的所有湿蚀刻组合物中的最佳蚀刻速率比W/TiN,因此最适用于在钨材料存在下选择性蚀刻包含TiN或由TiN组成的层料或掩模,由此不应或仅在尽可能最小程度上蚀刻或损伤钨材料。
此外,以如上所述的类似方式测定来自实施例2的对比湿蚀刻组合物WEC1和WEC2(非根据本发明)对钨和TiN的蚀刻速率(试验温度如下表6中规定)。来自这一试验的结果显示在下表6中。
表6:来自使用对比湿蚀刻组合物(非根据本发明)的蚀刻速率试验的结果
Figure BDA0002706056470000291
由表6中的结果可以看出,使用替代性缓蚀剂或保护剂的对比湿蚀刻组合物不适于或不像本发明的湿蚀刻组合物那样好地适于改进W/TiN蚀刻速率比以实现更高的TiN蚀刻速率,同时仅在极低程度上损伤也存在的钨材料。
实施例4:蚀刻速率的测量–第2部分
在如实施例3中解释的类似实验中,根据或类似于上文在实施例3中描述的方法但在来自另一商业供应源的一组不同的Si晶片上测定来自实施例2的本发明的湿蚀刻组合物和来自实施例2的对比湿蚀刻组合物(非根据本发明)对钨层和TiN层的蚀刻速率。
为了更好的可比性,在每种情况下测定W/TiN蚀刻速率的蚀刻速率比并相对于对比湿蚀刻组合物WEC3(其是现有技术中已知的类型)的W/TiN-蚀刻速率比显示。因此为了显示来自这一实施例4的试验方法的结果将对比湿蚀刻组合物WEC3的W/TiN-蚀刻速率比设定(归一化)为“1”。这一实施例4的所有试验在55℃的温度下进行。这一试验的结果显示在下表7中。
表7:来自使用根据本发明的湿蚀刻组合物和使用对比湿蚀刻组合物(非根据本发明)的蚀刻速率试验的结果
Figure BDA0002706056470000301
由表7中的结果可以看出,所有本发明的湿蚀刻组合物表现出更好的相对于钨的TiN蚀刻速率选择性,即所有本发明的湿蚀刻组合物的蚀刻速率比W/TiN低于现有技术的对比湿蚀刻组合物的蚀刻速率比,以致用本发明的湿蚀刻组合物能够实施包含TiN或由TiN组成的层料或掩模在钨材料存在下的更选择性蚀刻,由此不应或仅在尽可能最小程度上蚀刻或损伤钨材料。湿蚀刻组合物WEI2表现出测试的所有湿蚀刻组合物中的最佳蚀刻速率比W/TiN。
此外,由表7中的结果可以看出,在湿蚀刻组合物中单独使用2-咪唑烷硫酮具有比单独的2-咪唑烷酮(参见对比组合物WEC3)或甚至比2-咪唑烷硫酮和2-咪唑烷酮的混合物(参见组合物WEI4至WEI7)强的对钨材料的保护作用(参见湿蚀刻组合物WEI2)vs.TiN材料。增加2-咪唑烷酮的量可在一定程度上改进W/TiN蚀刻速率比,但仅到一定阈值,更高量的2-咪唑烷酮无法使其进一步提高(参见组合物WEI6和WEI7)。所述阈值仍高于单独使用2-咪唑烷硫酮的组合物的各自值,即仅包含2-咪唑烷硫酮(即作为唯一缓蚀剂或保护剂)的湿蚀刻组合物具有比不含2-咪唑烷硫酮而是仅含替代性缓蚀剂或保护剂的组合物更具选择性的TiN vs.W蚀刻速率。仅包含2-咪唑烷硫酮(即作为唯一缓蚀剂或保护剂)的湿蚀刻组合物还具有比包含与其它/替代性缓蚀剂或保护剂结合的2-咪唑烷硫酮的组合物更具选择性的TiN vs.W蚀刻速率。这一发现的原因可能在于,(如例如湿蚀刻组合物WEI3至WEI7中所用的)替代性缓蚀剂或保护剂具有比咪唑烷硫酮,尤其比2-咪唑烷硫酮更强(和不合意的)对组合物的TiN-蚀刻速率的抑制作用。

Claims (15)

1.一种用于从半导体衬底的表面去除蚀刻后或灰化后残留物的清洁组合物,其包含:
(A)一种或多种磺酸,其选自甲磺酸、乙磺酸、丙磺酸、丁磺酸、己磺酸、3-(N-吗啉基)丙磺酸、2-(N-吗啉基)乙磺酸、N-环己基-2-氨基乙磺酸、3-[4-(2-羟乙基)-1-哌嗪基]丙磺酸、N-环己基-3-氨基丙磺酸及其混合物;
(B)一种或多种极性、非给质子有机溶剂,其选自二甲基甲酰胺、二甲亚砜、二甲基乙酰胺、N-甲基吡咯烷酮、碳酸亚丙酯、环丁砜、四氢呋喃及其混合物,
(C)一种或多种二醇醚,其选自1,1-二甲氧基乙烷、1-甲氧基-2-丁醇、2-(2-丁氧基乙氧基)乙醇、2-(萘-6-氧基)聚乙氧基乙醇、2-(己氧基)乙醇、2-甲氧基-1-丁醇、2-甲氧基-2-甲基丁醇、丁基二甘醇、二乙二醇二乙基醚、二乙二醇二甲基醚、二乙二醇甲基乙基醚、二乙二醇单苄基醚、二乙二醇单丁基醚、二乙二醇单乙基醚、二乙二醇单异丁基醚、二乙二醇单异丙基醚、二乙二醇单甲基醚、二乙二醇单丙基醚、二丙二醇二异丙基醚、二丙二醇单异丙基醚、二丙二醇单甲基醚、二丙二醇单丙基醚、二丙二醇单丁基醚、乙二醇二乙基醚、乙二醇二甲基醚、乙二醇单丁基醚、乙二醇单乙基醚乙酸酯、乙二醇单乙基醚、乙二醇单甲基醚、单丙基醚、聚乙二醇单甲基醚、丙二醇二甲基醚、丙二醇单丁基醚、丙二醇单甲基醚、丙二醇、四氢糠醇、三乙二醇二甲基醚、三乙二醇乙二醇单甲基醚乙酸酯、三乙二醇单甲基醚、三丙二醇单甲基醚及其混合物,
(D)一种或多种咪唑烷硫酮,
(E)水。
2.根据权利要求1的清洁组合物,其中
-所述或至少一种磺酸(A)为甲磺酸,
和/或
-所述一种或多种磺酸(A),优选甲磺酸的总量在基于清洁组合物的总重量计0.01重量%至10重量%,优选0.05重量%至5重量%,更优选0.1重量%至1重量%的范围内。
3.根据权利要求1或2的清洁组合物,其中
-所述或至少一种极性、非给质子有机溶剂(B)为环丁砜,
和/或
-所述一种或多种极性、非给质子有机溶剂(B),优选环丁砜的总量在基于清洁组合物的总重量计1重量%至25重量%,优选2.5重量%至25重量%,更优选5重量%至15重量%的范围内。
4.根据前述权利要求中任一项的清洁组合物,其中
-所述或至少一种二醇醚(C)为丁基二甘醇,
和/或
-所述一种或多种二醇醚(C),优选丁基二甘醇的总量在基于清洁组合物的总重量计10重量%至50重量%,优选15重量%至45重量%,更优选20重量%至40重量%的范围内。
5.根据前述权利要求中任一项的清洁组合物,其中
-所述或至少一种咪唑烷硫酮(D)为2-咪唑烷硫酮,
和/或
-所述一种或多种咪唑烷硫酮(D),优选2-咪唑烷硫酮的总量在基于清洁组合物的总重量计0.05重量%至10重量%,优选0.1重量%至5重量%,更优选0.5重量%至2重量%的范围内。
6.根据前述权利要求中任一项的清洁组合物,其包含
(A)甲磺酸,优选以基于清洁组合物的总重量计0.01重量%至10重量%,优选0.05重量%至5重量%,更优选0.1重量%至1重量%的总量,
(B)环丁砜,优选以基于清洁组合物的总重量计1重量%至25重量%,优选2.5重量%至25重量%,更优选5重量%至15重量%的总量,
(C)丁基二甘醇,优选以基于清洁组合物的总重量计10重量%至50重量%,优选15重量%至45重量%,更优选20重量%至40重量%的总量,
(D)2-咪唑烷硫酮,优选以基于清洁组合物的总重量计0.05重量%至10重量%,优选0.1重量%至5重量%,更优选0.5重量%至2重量%的总量,
(E)水,优选在每种情况下补充到总共100重量%的清洁组合物。
7.根据权利要求1-6中任一项的清洁组合物的用途,
-用于从半导体衬底,优选包含钨材料和/或低k材料的半导体衬底的表面去除蚀刻后或灰化后残留物,
其中所述蚀刻后或灰化后残留物优选包含一种或多种有机化合物,优选有机聚合物,其包含或不含氟,
和/或
-用于清洁包含钨材料和/或低k材料的半导体衬底,优选在蚀刻一个或多个衬底层的步骤后,
其中所述一个或多个先前已蚀刻的衬底层优选选自层间介电层和低k材料层;
和/或
-用于从包含钨材料和/或低k材料的半导体衬底的表面去除残留物和污染物,
其中所述残留物和污染物优选包含或由有机化合物,优选有机聚合物组成,其包含或不含氟。
8.根据权利要求7的用途,其中所述清洁组合物与一种或多种氧化剂结合使用并且所述用途另外用于:
-氧化蚀刻或部分氧化蚀刻半导体衬底表面上的包含TiN或由TiN组成的层料或掩模,优选在钨材料和/或低k材料存在下;
和/或
-去除或部分去除半导体衬底表面上的包含TiN或由TiN组成的层料或掩模,优选在钨材料和/或低k材料存在下;
和/或
-凹刻半导体衬底表面上的包含TiN或由TiN组成的层料或掩模,优选在钨材料和/或低k材料存在下;
和/或
-缩进半导体衬底表面上的包含TiN或由TiN组成的层料或掩模,优选在钨材料和/或低k材料存在下;
和/或
-从半导体衬底,优选包含钨材料和/或低k材料的半导体衬底的表面去除蚀刻后或灰化后残留物,
其中所述蚀刻后或灰化后残留物优选包含选自包含或由以下材料组成的组的一种或多种残留物:
-金属有机配合物和
-金属材料,优选钛和/或钛的氧化物和/或钛的氮化物。
9.根据权利要求8的用途,其中
-所述清洁组合物在分开的步骤中或同时在相同步骤中,优选同时在相同步骤中与所述一种或多种氧化剂结合使用;
和/或
-所述一种或多种氧化剂选自过氧化氢、过氧化脲、过氧二硫酸、过硫酸铵、过氧单硫酸、焦硫酸、臭氧及其混合物;且优选所述一种氧化剂或所述多种氧化剂之一为过氧化氢;
和/或
-所述一种或多种氧化剂,优选过氧化氢以基于清洁组合物的总重量计0.3重量%至10.0重量%,优选0.5重量%至5.0重量%,更优选0.6重量%至4.0重量%,再更优选0.75重量%至3重量%的总量使用;
和/或
-一种或多种稳定剂与所述一种或多种氧化剂结合和/或与所述清洁组合物结合使用,其优选选自胺-N-氧化物,优选N-甲基吗啉-N-氧化物和吡啶-N-氧化物;柠檬酸;1-羟基乙烷1,1-二膦酸;乙醇酸;乳酸;羟基丁酸;甘油酸;苹果酸;酒石酸;丙二酸;琥珀酸;戊二酸;马来酸及其混合物。
10.湿蚀刻组合物,其包含
(W1)根据权利要求1-6中任一项的清洁组合物
(W2)一种或多种氧化剂,其优选选自过氧化氢、过氧化脲、过氧二硫酸、过硫酸铵、过氧单硫酸、焦硫酸和臭氧;
优选以基于清洁组合物的总重量计0.3重量%至10.0重量%,优选0.5重量%至5.0重量%,更优选0.6重量%至4.0重量%,再更优选0.75重量%至3重量%的总量,
其优选用于:
-氧化蚀刻或部分氧化蚀刻半导体衬底表面上的包含TiN或由TiN组成的层料或掩模,优选在钨材料和/或低k材料存在下;
和/或
-从半导体衬底,优选包含钨材料和/或低k材料的半导体衬底的表面去除蚀刻后或灰化后残留物,
其中所述蚀刻后或灰化后残留物优选包含选自包含或由以下材料组成的组的一种或多种残留物:
-有机化合物,其包含或不含氟,
-金属有机配合物和
-金属材料,优选钛和/或钛的氧化物和/或钛的氮化物。
11.根据权利要求10的湿蚀刻组合物,
其具有在1至4的范围内,优选在1至3的范围内,更优选在1至2的范围内的pH,
和/或
-进一步包含:
(W3)一种或多种稳定剂,其优选选自
-胺-N-氧化物,优选N-甲基吗啉-N-氧化物和吡啶-N-氧化物;
-柠檬酸;
-1-羟基乙烷1,1-二膦酸;
-乙醇酸;
-乳酸;
-羟基丁酸;
-甘油酸;
-苹果酸;
-酒石酸;
-丙二酸;
-琥珀酸;
-戊二酸;
-马来酸和
-它们的混合物,
其中优选地,存在于湿蚀刻组合物中的所述一种或多种稳定剂的总量在基于湿蚀刻组合物的总重量计0.001重量%至0.5重量%的范围内,更优选在0.01重量%至0.1重量%的范围内,再更优选在0.01重量%至0.05重量%的范围内。
12.根据权利要求10或11的湿蚀刻组合物的用途,
-用于氧化蚀刻或部分氧化蚀刻半导体衬底表面上的包含TiN或由TiN组成的层料或掩模,优选在钨材料和/或低k材料存在下;
和/或
-用于去除或部分去除半导体衬底表面上的包含TiN或由TiN组成的层料或掩模,优选在钨材料和/或低k材料存在下;
和/或
-用于凹刻半导体衬底表面上的包含TiN或由TiN组成的层料或掩模,优选在钨材料和/或低k材料存在下;
和/或
-用于缩进半导体衬底表面上的包含TiN或由TiN组成的层料或掩模,优选在钨材料和/或低k材料存在下;
和/或
-用于从半导体衬底,优选包含钨材料和/或低k材料的半导体衬底的表面去除蚀刻后或灰化后残留物,
其中所述蚀刻后或灰化后残留物优选包含选自包含或由以下材料组成的组的一种或多种残留物:
-有机化合物,优选有机聚合物,其包含或不含氟(优选包含氟),
-金属有机配合物和
-金属材料,优选钛和/或钛的氧化物和/或钛的氮化物,
和/或
-用于清洁包含钨材料和/或低k材料的半导体衬底,优选在蚀刻一个或多个衬底层的步骤后,
其中所述一个或多个先前已蚀刻的衬底层优选选自蚀刻掩模层,优选TiN层;层间介电层和低k材料层;
和/或
-用于从包含钨材料和/或低k材料的半导体衬底的表面去除残留物和污染物,
其中所述残留物和污染物优选选自包含或由以下材料组成的组:
-有机化合物,其包含或不含氟(优选包含氟),
-金属有机配合物和
-金属材料,优选钛和/或钛的氧化物和/或钛的氮化物。
13.由半导体衬底制造半导体器件的方法,其包括下列步骤:
-混合根据权利要求1-6中任一项的清洁组合物与一种或多种氧化剂,其优选选自过氧化氢、过氧化脲、过氧二硫酸、过硫酸铵、过氧单硫酸、焦硫酸、臭氧及其混合物,
以收获湿蚀刻组合物,
-提供根据权利要求10或11的湿蚀刻组合物,
-使半导体衬底表面上的包含TiN或由TiN组成的层料或掩模,优选在钨材料和/或低k材料存在下,与由此收获或提供的湿蚀刻组合物接触至少一次,
以选择性氧化蚀刻或部分氧化蚀刻所述层料或掩模和/或从所述半导体衬底的表面去除蚀刻后或灰化后残留物。
14.套装,
其优选用于从半导体衬底的表面去除蚀刻后或灰化后残留物和/或用于氧化蚀刻或部分氧化蚀刻半导体衬底表面上的包含TiN或由TiN组成的层料或掩模,优选在钨材料和/或低k材料存在下,
作为分开的组分,其包含:
(K1)根据权利要求1-6中任一项的清洁组合物;
(K2)一种或多种氧化剂,其优选选自过氧化氢、过氧化脲、过氧二硫酸、过硫酸铵、过氧单硫酸、焦硫酸、臭氧及其混合物;更优选所述一种氧化剂或所述多种氧化剂之一为过氧化氢;
并进一步任选包含,作为单独组分或与组分(K1)和/或与组分(K2)结合:
(K3)一种或多种稳定剂,其优选选自胺-N-氧化物,优选N-甲基吗啉-N-氧化物和吡啶-N-氧化物;柠檬酸;1-羟基乙烷1,1-二膦酸;乙醇酸;乳酸;羟基丁酸;甘油酸;苹果酸;酒石酸;丙二酸;琥珀酸;戊二酸;马来酸及其混合物。
15.咪唑烷硫酮,优选2-咪唑烷硫酮在用于在钨材料和/或低k材料存在下蚀刻或部分蚀刻半导体衬底表面上的层料或掩模和/或用于清洁半导体衬底的组合物中的用途,
其中优选地
-所述用途是作为缓蚀剂或保护剂,
和/或
-所述清洁包含从半导体衬底的表面去除蚀刻后或灰化后残留物,
和/或
-所述层料或掩模包含TiN或由TiN组成
和/或
-所述组合物包含一种或多种氧化剂。
CN201980023056.XA 2018-04-04 2019-03-25 用于去除灰化后残留物和/或用于氧化蚀刻含TiN层料或掩模的含咪唑烷硫酮组合物 Pending CN111936936A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP18165775.0 2018-04-04
EP18165775 2018-04-04
PCT/EP2019/057377 WO2019192866A1 (en) 2018-04-04 2019-03-25 IMIDAZOLIDINETHIONE-CONTAINING COMPOSITIONS FOR POST-ASH RESIDUE REMOVAL AND/OR FOR OXIDATIVE ETCHING OF A LAYER OR MASK COMPRISING TiN

Publications (1)

Publication Number Publication Date
CN111936936A true CN111936936A (zh) 2020-11-13

Family

ID=61906706

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980023056.XA Pending CN111936936A (zh) 2018-04-04 2019-03-25 用于去除灰化后残留物和/或用于氧化蚀刻含TiN层料或掩模的含咪唑烷硫酮组合物

Country Status (9)

Country Link
US (1) US20210189298A1 (zh)
EP (1) EP3776083B1 (zh)
JP (1) JP7403464B2 (zh)
KR (1) KR20200141064A (zh)
CN (1) CN111936936A (zh)
IL (1) IL277738B2 (zh)
SG (1) SG11202008782WA (zh)
TW (1) TW201942350A (zh)
WO (1) WO2019192866A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110767534B (zh) * 2019-10-28 2021-12-28 江苏晶杰光电科技有限公司 一种晶圆的清洗方法
WO2023229078A1 (en) * 2022-05-27 2023-11-30 Samsung Electronics Co., Ltd. Etching composition and method for manufacturing semiconductor device using the same

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010014534A1 (en) * 2000-01-25 2001-08-16 Nec Corporation Stripper composition and stripping method
JP2003289060A (ja) * 2002-01-28 2003-10-10 Mitsubishi Chemicals Corp 半導体デバイス用基板の洗浄液および洗浄方法
WO2008080097A2 (en) * 2006-12-21 2008-07-03 Advanced Technology Materials, Inc. Liquid cleaner for the removal of post-etch residues
CN101511607A (zh) * 2005-06-06 2009-08-19 高级技术材料公司 整合的化学机械抛光组合物及单台板处理方法
US20090215658A1 (en) * 2005-10-05 2009-08-27 Advanced Technology Materials, Inc. Oxidizing aqueous cleaner for the removal of post-etch residues
JP2011074189A (ja) * 2009-09-30 2011-04-14 Sanyo Chem Ind Ltd 銅配線半導体用洗浄剤
US20130217234A1 (en) * 2010-07-06 2013-08-22 United Microelectronics Corporation Cleaning solution and damascene process using the same
WO2015173730A1 (en) * 2014-05-13 2015-11-19 Basf Se Tin pull-back and cleaning composition
CN105102584A (zh) * 2013-03-04 2015-11-25 高级技术材料公司 用于选择性蚀刻氮化钛的组合物和方法
WO2016042408A2 (en) * 2014-09-17 2016-03-24 Atmi Taiwan Co., Ltd. Compositions for etching titanium nitride having compatability with silicon germanide and tungsten
US20160130500A1 (en) * 2013-06-06 2016-05-12 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
US20170200601A1 (en) * 2014-06-30 2017-07-13 Entegris, Inc. Aqueous and semi-aqueous cleaners for the removal of post-etch residues with tungsten and cobalt compatibility

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6696222B2 (en) 2001-07-24 2004-02-24 Silicon Integrated Systems Corp. Dual damascene process using metal hard mask
EP1733421B1 (de) 2004-03-30 2016-08-10 Basf Se Wässrige lösung und verwendung dieser lösung zur entfernung von post-etch residue von halbleitersubstraten
KR102118964B1 (ko) * 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Iii-v 반도체 물질을 세척하기 위한 조성물 및 이를 사용하는 방법
KR102055154B1 (ko) * 2016-07-29 2019-12-12 후지필름 가부시키가이샤 처리액 및 기판 세정 방법
JPWO2018061670A1 (ja) * 2016-09-29 2019-06-24 富士フイルム株式会社 処理液、および積層体の処理方法
JP6893562B2 (ja) * 2017-01-17 2021-06-23 インテグリス・インコーポレーテッド 進歩したノードbeol処理のためのエッチング後残留物除去

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010014534A1 (en) * 2000-01-25 2001-08-16 Nec Corporation Stripper composition and stripping method
JP2003289060A (ja) * 2002-01-28 2003-10-10 Mitsubishi Chemicals Corp 半導体デバイス用基板の洗浄液および洗浄方法
CN101511607A (zh) * 2005-06-06 2009-08-19 高级技术材料公司 整合的化学机械抛光组合物及单台板处理方法
US20090215658A1 (en) * 2005-10-05 2009-08-27 Advanced Technology Materials, Inc. Oxidizing aqueous cleaner for the removal of post-etch residues
WO2008080097A2 (en) * 2006-12-21 2008-07-03 Advanced Technology Materials, Inc. Liquid cleaner for the removal of post-etch residues
US20100163788A1 (en) * 2006-12-21 2010-07-01 Advanced Technology Materials, Inc. Liquid cleaner for the removal of post-etch residues
JP2011074189A (ja) * 2009-09-30 2011-04-14 Sanyo Chem Ind Ltd 銅配線半導体用洗浄剤
US20130217234A1 (en) * 2010-07-06 2013-08-22 United Microelectronics Corporation Cleaning solution and damascene process using the same
CN105102584A (zh) * 2013-03-04 2015-11-25 高级技术材料公司 用于选择性蚀刻氮化钛的组合物和方法
US20160130500A1 (en) * 2013-06-06 2016-05-12 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
CN105683336A (zh) * 2013-06-06 2016-06-15 高级技术材料公司 用于选择性蚀刻氮化钛的组合物和方法
WO2015173730A1 (en) * 2014-05-13 2015-11-19 Basf Se Tin pull-back and cleaning composition
CN106459850A (zh) * 2014-05-13 2017-02-22 巴斯夫欧洲公司 Tin障碍和清洁组合物
US20170200601A1 (en) * 2014-06-30 2017-07-13 Entegris, Inc. Aqueous and semi-aqueous cleaners for the removal of post-etch residues with tungsten and cobalt compatibility
WO2016042408A2 (en) * 2014-09-17 2016-03-24 Atmi Taiwan Co., Ltd. Compositions for etching titanium nitride having compatability with silicon germanide and tungsten

Also Published As

Publication number Publication date
IL277738B1 (en) 2023-05-01
JP2021520641A (ja) 2021-08-19
IL277738B2 (en) 2023-09-01
SG11202008782WA (en) 2020-10-29
IL277738A (en) 2020-11-30
WO2019192866A1 (en) 2019-10-10
TW201942350A (zh) 2019-11-01
KR20200141064A (ko) 2020-12-17
EP3776083A1 (en) 2021-02-17
US20210189298A1 (en) 2021-06-24
JP7403464B2 (ja) 2023-12-22
EP3776083B1 (en) 2022-03-02

Similar Documents

Publication Publication Date Title
US7674755B2 (en) Formulation for removal of photoresist, etch residue and BARC
KR100942009B1 (ko) 포토레지스트, 에칭 잔류물 및 barc를 제거하기 위한제제
US9217929B2 (en) Composition for removing photoresist and/or etching residue from a substrate and use thereof
US8772214B2 (en) Aqueous cleaning composition for removing residues and method using same
US8513140B2 (en) Post-dry etching cleaning liquid composition and process for fabricating semiconductor device
JP2002520812A (ja) デュアルダマシン系用のエッチング後洗浄組成物及び方法
EP3599633B1 (en) Post etch residue cleaning compositions and methods of using the same
US9490142B2 (en) Cu-low K cleaning and protection compositions
TW201435083A (zh) 半導體元件用清洗液及利用此清洗液之清洗方法
US11377624B2 (en) Cleaning composition for post-etch or post ash residue removal from a semiconductor substrate and corresponding manufacturing process
CN113785040A (zh) 在低k材料、铜、钴和/或钨层存在下选择性蚀刻硬掩模和/或蚀刻终止层的组合物和方法
JP7403464B2 (ja) ポスト-アッシングの残渣の除去及び/又はTiNを含む層又はマスクの酸化エッチングのためのイミダゾリジンチオン含有組成物
US6861348B2 (en) Pre-pattern surface modification of low-k dielectrics

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination