CN105102584A - 用于选择性蚀刻氮化钛的组合物和方法 - Google Patents

用于选择性蚀刻氮化钛的组合物和方法 Download PDF

Info

Publication number
CN105102584A
CN105102584A CN201480020454.3A CN201480020454A CN105102584A CN 105102584 A CN105102584 A CN 105102584A CN 201480020454 A CN201480020454 A CN 201480020454A CN 105102584 A CN105102584 A CN 105102584A
Authority
CN
China
Prior art keywords
ammonium
acid
ether
composition
methyl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201480020454.3A
Other languages
English (en)
Other versions
CN105102584B (zh
Inventor
陈丽敏
埃马纽尔·I·库珀
斯蒂芬·里皮
宋凌雁
许家荣
涂胜宏
王界入
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Taiwan
Entegris Inc
Original Assignee
ATMI Taiwan Co Ltd
Advanced Technology Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ATMI Taiwan Co Ltd, Advanced Technology Materials Inc filed Critical ATMI Taiwan Co Ltd
Publication of CN105102584A publication Critical patent/CN105102584A/zh
Application granted granted Critical
Publication of CN105102584B publication Critical patent/CN105102584B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/10Etching, surface-brightening or pickling compositions containing an inorganic acid containing a boron compound
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/26Acidic compositions for etching refractory metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)

Abstract

本发明提供可用于从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件相对于金属导电材料例如钨和铜以及绝缘材料而言选择性去除氮化钛和/或光致抗蚀剂蚀刻残余物材料的半水性组合物。所述半水性组合物含有至少一种氧化剂、至少一种蚀刻剂和至少一种有机溶剂,可含有各种腐蚀抑制剂以确保选择性。

Description

用于选择性蚀刻氮化钛的组合物和方法
技术领域
本发明涉及在金属导体和绝缘体材料(即,低k电介质)存在下选择性蚀刻氮化钛和/或光致抗蚀剂蚀刻残余物的组合物和方法,并且更具体地涉及以比铜、钨和低k电介质材料的暴露层或下伏层更高的蚀刻速率和选择性有效且高效地蚀刻氮化钛和/或光致抗蚀剂蚀刻残余物的组合物和方法。
背景技术
光致抗蚀剂掩模通常用于半导体工业中以对材料如半导体或电介质进行图案化。在一种应用中,光致抗蚀剂掩模被用于双镶嵌工艺中以在微电子器件的后端金属化中形成互连。所述双镶嵌工艺包括在覆盖金属导体层如铜层的低k电介质层上形成光致抗蚀剂掩模。然后根据所述光致抗蚀剂掩模蚀刻所述低k电介质层以形成暴露所述金属导体层的通孔和/或沟槽。所述通孔和沟槽通常被称为双镶嵌结构,其通常是使用两个光刻步骤来限定的。然后从低k电介质层去除光致抗蚀剂掩模,之后将导电材料沉积在通孔和/或沟槽中以形成互连。
随着微电子器件尺寸降低,实现通孔和沟槽的临界尺寸(criticaldimension)变得更困难。因此,使用金属硬掩模来提供通孔和沟槽的更好轮廓控制。所述金属硬掩模可由钛或氮化钛制成,并且在形成双镶嵌结构的通孔和/或沟槽后通过湿式蚀刻工艺去除。至关重要的是所述湿式蚀刻工艺使用有效去除金属硬掩模和/或光致抗蚀剂蚀刻残余物而不影响下伏的金属导体层和低k电介质材料的去除化学。换句话说,去除化学需要对金属导体层和低k电介质层具高度选择性。
因此,本发明的一个目的在于提供相对于所存在的金属导体层和低k电介质层选择性去除硬掩模材料而不损害硬掩模的蚀刻速率的改进组合物。
发明内容
本发明涉及用于相对于所存在的金属导体层和低k电介质层选择性蚀刻硬掩模层和/或光致抗蚀剂蚀刻残余物的组合物和方法。更具体地,本发明涉及用于相对于铜、钨和低k电介质层选择性蚀刻氮化钛和/或光致抗蚀剂蚀刻残余物的组合物和方法。
在一个方面,描述了用于从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面选择性去除氮化钛和/或光致抗蚀剂蚀刻残余物材料的组合物,所述组合物包含至少一种氧化剂、至少一种蚀刻剂、至少一种腐蚀抑制剂、至少二氧化硅源、水和至少一种有机溶剂,其中所述组合物基本上不含过氧化氢。
在另一个方面,描述了从上面具有氮化钛材料的微电子器件的表面蚀刻氮化钛材料的方法,所述方法包括使所述表面与包含至少一种氧化剂、至少一种蚀刻剂、至少一种腐蚀抑制剂、至少二氧化硅源、水和至少一种有机溶剂的组合物接触,其中所述组合物基本上不含过氧化氢,并且其中所述组合物从所述表面相对于金属和绝缘材料选择性去除氮化钛材料。
根据随后的公开内容和所附权利要求书,本发明的其它方面、特征和实施方式将更完全地显而易见。
具体实施方式
一般来说,本发明涉及用于相对于所存在的金属导体层和低k电介质层选择性蚀刻硬掩模层和/或光致抗蚀剂蚀刻残余物的半水性组合物和方法。更具体地,本发明涉及用于相对于铜、钨和低k电介质层选择性蚀刻氮化钛和/或光致抗蚀剂蚀刻残余物的半水性组合物和方法。微电子器件上可能存在的其它材料应该基本上不被所述组合物去除或腐蚀。
为便于参考,“微电子器件”对应于半导体衬底、平板显示器、相变存储器件、太阳能面板和其它产品(包括太阳能电池器件、光伏器件和微机电系统(MEMS)),其被制造用于微电子、集成电路、能量收集或计算机芯片应用中。应理解,术语“微电子器件”、“微电子衬底”和“微电子器件结构”并不意在以任何方式进行限制并且包括最终将变成微电子器件或微电子组件的任何衬底或结构。所述微电子器件可以被图案化、毯覆,可以是控制件和/或测试器件。
本文中使用的“硬掩模封盖层”或“硬掩模”对应于在等离子体蚀刻步骤期间沉积在电介质材料上以保护所述电介质材料的材料。硬掩模封盖层在传统上是氮化硅、氧氮化硅、氮化钛、氧氮化钛、钛和其它类似化合物。
在本文中使用时,“氮化钛”和“TiNx”对应于纯氮化钛以及包括不同的化学计量比和氧含量的不纯的氮化钛(TiOxNy)。
在本文中使用时,“约”旨在对应于所陈述的值的±5%。
如本文所定义,“低k电介质材料”对应于在层状微电子器件中用作电介质材料的任何材料,其中所述材料具有小于约3.5的介电常数。优选地,所述低k电介质材料包括低极性材料如含硅有机聚合物、含硅杂化有机/无机材料、有机硅酸盐玻璃(OSG)、TEOS、氟化硅酸盐玻璃(FSG)、二氧化硅和碳掺杂氧化物(CDO)玻璃。应理解,所述低k电介质材料可具有不同的密度和不同的孔隙率。
如本文所定义,“金属导体层”包含铜、钨、钴、钼、铝、钌、包含上述金属的合金以及其组合。
如本文所定义,“胺”物质包括至少一种伯、仲和叔胺,其条件是(i)包括羧酸基团和胺基团两者的物质、(ii)包括胺基团的表面活性剂,和(iii)其中胺基团是取代基(例如,连接至芳基或杂环部分)的物质根据这个定义不被视为“胺”。所述胺的分子式可由NR1R2R3表示,其中R1、R2和R3可以彼此相同或不同并选自氢、直链或支链的C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基、己基)、C6-C10芳基(例如,苄基)、直链或支链的C1-C6烷醇(例如,甲醇、乙醇、丙醇、丁醇、戊醇、己醇)和其组合,其条件是R1、R2和R3不能都是氢。
如本文所定义,“光致抗蚀剂蚀刻残余物”对应于包含光致抗蚀剂材料或作为在蚀刻或灰化步骤后的光致抗蚀剂副产物的材料的任何残余物,正如本领域技术人员所容易理解的。
“基本上不含”在本文定义为小于2重量%,优选小于1重量%、更优选小于0.5重量%,甚至更优选小于0.1重量%并且最优选0重量%。
在本文中使用时,“氟化物”物质对应于包括氟离子(F-)或共价键合的氟的物质。应理解,氟化物物质可以以氟化物物质形式而被包括或在原位产生。
在本文中使用时,“氯化物”物质对应于包括氯离子(Cl-)的物质,其条件是包括氯化物阴离子的表面活性剂根据这个定义不被视为“氯化物”。
在本文中使用时,术语“半水性”是指水和有机溶剂组分的混合物。所述半水性去除组合物必须不显著破坏所存在的金属导体层和低k电介质层,同时去除硬掩模层和/或光致抗蚀剂蚀刻残余物。
如本文所定义,强碱是具有至少一种大于11的pKa的任何碱,而弱碱是具有至少一种小于11的pKa的任何碱。
本发明的组合物可以以如下文更充分描述的多种特定制剂来实施。
在所有这些组合物(其中根据包括零下限的重量百分比范围讨论了所述组合物的特定组分)中,应理解,这些组分在所述组合物的各种特定实施方式中可能存在或不存在,并且在存在这些组分的情况下,以使用这些组分的组合物的总重量计,它们可以以低至0.001重量百分比的浓度存在。
本发明的实施方式包括用于去除硬掩模和/或光致抗蚀剂蚀刻残余物的化学。在一个实施方式中,半水性组合物是去除电介质层上的金属硬掩模和/或光致抗蚀剂蚀刻残余物并且相对于所述电介质层下面的金属导体层和所述电介质层本身而言具有高度选择性的湿式蚀刻溶液。在一个更具体实施方式中,所述半水性组合物是相对于铜、钨和低k电介质材料中的至少一种而言高度选择性去除氮化钛层和/或光致抗蚀剂蚀刻残余物的湿式蚀刻溶液。
因此,在一个方面,描述了用于从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面选择性去除氮化钛和/或光致抗蚀剂蚀刻残余物材料的半水性组合物,所述组合物包括至少一种氧化剂和至少一种蚀刻剂,其中所述组合物基本上不含过氧化氢。在一个实施方式中,用于从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面去除氮化钛和/或光致抗蚀剂蚀刻残余物材料的半水性组合物包含以下物质,由以下物质组成或基本上由以下物质组成:至少一种氧化剂、至少一种蚀刻剂、水和至少一种有机溶剂,其中所述组合物基本上不含过氧化氢。在另一个实施方式中,用于从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面去除氮化钛和/或光致抗蚀剂蚀刻残余物材料的半水性组合物包含以下物质,由以下物质组成或基本上由以下物质组成:至少一种氧化剂、至少一种蚀刻剂、水和至少一种腐蚀抑制剂,其中所述组合物基本上不含过氧化氢。在另一个实施方式中,用于从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面去除氮化钛和/或光致抗蚀剂蚀刻残余物材料的半水性组合物包含以下物质,由以下物质组成或基本上由以下物质组成:至少一种氧化剂、至少一种蚀刻剂、水、至少一种二氧化硅源和至少一种腐蚀抑制剂,其中所述组合物基本上不含过氧化氢。在另一个实施方式中,用于从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面去除氮化钛和/或光致抗蚀剂蚀刻残余物材料的半水性组合物包含以下物质,由以下物质组成或基本上由以下物质组成:至少一种氧化剂、至少一种蚀刻剂、至少一种腐蚀抑制剂、水和至少一种有机溶剂,其中所述组合物基本上不含过氧化氢。在另一个实施方式中,用于从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面去除氮化钛和/或光致抗蚀剂蚀刻残余物材料的半水性组合物包含以下物质,由以下物质组成或基本上由以下物质组成:至少一种氧化剂、至少一种蚀刻剂、至少一种二氧化硅源、水和至少一种有机溶剂,其中所述组合物基本上不含过氧化氢。在另一个实施方式中,用于从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面去除氮化钛和/或光致抗蚀剂蚀刻残余物材料的半水性组合物包含以下物质,由以下物质组成或基本上由以下物质组成:至少一种氧化剂、至少一种蚀刻剂、至少一种腐蚀抑制剂、至少二氧化硅源、水和至少一种有机溶剂,其中所述组合物基本上不含过氧化氢。被设想包括于本文所述的半水性组合物中的其它组分包括但不限于至少一种低k钝化剂、至少一种表面活性剂、至少一种碘清除剂和其组合。有利的是,这些组合物在约45℃至约60℃范围内的温度下具有大于40:1的TiN:钨选择性和小于约的钨去除速率,甚至更优选大于50:1的TiN:钨选择性和小于约的钨去除速率。此外,这些组合物在约45℃至约60℃范围内的温度下具有大于20:1的TiN:铜选择性和小于约的铜去除速率,甚至更优选大于30:1的TiN:铜选择性和小于约的铜去除速率。这些组合物基本上不含如本文所定义的胺、化学机械抛光研磨材料、金属卤化物和其组合。所述半水性组合物具有在0至4范围内的pH值,和在30至50mN/m范围内、优选在约30mN/m至约40mN/m范围内的表面张力值。
添加蚀刻剂以增加氮化钛的蚀刻速率。设想的蚀刻剂包括但不限于HF、氟化铵、四氟硼酸、六氟硅酸、含有B-F或Si-F键的其它化合物、四氟硼酸四丁基铵(TBA-BF4)、氟化四烷基铵(NR1R2R3R4F)、强碱如氢氧化四烷基铵(NR1R2R3R4OH),其中R1、R2、R3、R4可以彼此相同或不同并选自氢、直链或支链的C1-C6烷基基团(例如,甲基、乙基、丙基、丁基、戊基、己基)、C1-C6烷氧基基团(例如,羟基乙基、羟基丙基)、被取代或未被取代的芳基基团(例如,苄基)、弱碱和其组合。优选地,氟化物源包括四氟硼酸、六氟硅酸、H2ZrF6、H2TiF6、HPF6、氟化铵、氟化氢铵、氟化四甲基铵、氢氧化四甲基铵、六氟硅酸铵、六氟钛酸铵,或氟化铵和氟化四甲基铵的组合。可选地,或除了氟化物源之外,所述蚀刻剂可包含强碱如氢氧化四甲基铵(TMAH)、氢氧化四乙基铵(TEAH)、氢氧化四丙基铵(TPAH)、氢氧化四丁基铵(TBAH)、氢氧化苄基三甲基铵(BTMAH)、氢氧化钾、氢氧化铵、氢氧化苄基三乙基铵(BTEAH)、氢氧化四丁基(TBPH)、氢氧化(2-羟基乙基)三甲基铵、氢氧化(2-羟基乙基)三乙基铵、氢氧化(2-羟基乙基)三丙基铵、氢氧化(1-羟基丙基)三甲基铵、氢氧化乙基三甲基铵、氢氧化二乙基二甲基铵(DEDMAH)、1,1,3,3-四甲基胍(TMG)、碳酸胍、精氨酸和其组合。最优选地,所述蚀刻剂包含六氟硅酸、四氟硼酸或其组合。
包括氧化剂来氧化TiNx中的Ti3+。本文设想的氧化剂包括但不限于过氧化氢(H2O2)、FeCl3、FeF3、Fe(NO3)3、Sr(NO3)2、CoF3、MnF3、过硫酸氢钾(2KHSO5·KHSO4·K2SO4)、高碘酸、碘酸、氧化钒(V)、氧化钒(IV,V)(V6O13)、钒酸铵、铵多原子盐(例如,过氧单硫酸铵、亚氯酸铵(NH4ClO2)、氯酸铵(NH4ClO3)、碘酸铵(NH4IO3)、硝酸铵(NH4NO3)、过硼酸铵(NH4BO3)、高氯酸铵(NH4ClO4)、高碘酸铵(NH4IO4)、过硫酸铵((NH4)2S2O8)、次氯酸铵(NH4ClO))、钨酸铵((NH4)10H2(W2O7))、钠多原子盐(例如,过硫酸钠(Na2S2O8)、次氯酸钠(NaClO)、过硼酸钠)、钾多原子盐(例如,碘酸钾(KIO3)、高锰酸钾(KMnO4)、过硫酸钾、硝酸(HNO3)、过硫酸钾(K2S2O8)、次氯酸钾(KClO))、四甲基铵多原子盐(例如,亚氯酸四甲基铵((N(CH3)4)ClO2)、氯酸四甲基铵((N(CH3)4)ClO3)、碘酸四甲基铵((N(CH3)4)IO3)、过硼酸四甲基铵((N(CH3)4)BO3)、高氯酸四甲基铵((N(CH3)4)ClO4)、高碘酸四甲基铵((N(CH3)4)IO4)、过硫酸四甲基铵((N(CH3)4)S2O8))、四丁基铵多原子盐(例如,过氧单硫酸四丁基铵)、过氧单硫酸、硝酸铁(Fe(NO3)3)、过氧化氢脲((CO(NH2)2)H2O2)、过乙酸(CH3(CO)OOH)、1,4-苯醌、甲苯醌、二甲基-1,4-苯醌、四氯苯醌、阿脲(alloxan)、N-甲基吗啉N-氧化物、三甲基胺N-氧化物和其组合。当所述氧化剂是盐时,它可以是水合的或无水的。可在制造商处、在将组合物引入器件晶片之前,或可选地在器件晶片处(即在原位)将所述氧化剂引入所述组合物。优选地,用于第二方面的组合物的氧化剂包含过氧化氢。优选地,用于半水性组合物的氧化剂包含氧化钒、钒酸铵、碘酸铵、高碘酸铵、碘酸、高碘酸、1,4-苯醌或其组合。
所述半水性组合物包含至少一种二氧化硅源以降低蚀刻剂源的活性。在一个实施方式中,至少一种二氧化硅源包含烷氧基硅烷。设想的烷氧基硅烷具有通式SiR1R2R3R4,其中R1、R2、R3和R4彼此相同或不同并选自直链的C1-C6烷基基团(例如,甲基、乙基、丙基、丁基、戊基、己基)、支链的C1-C6烷基基团、C1-C6烷氧基基团(例如,甲氧基、乙氧基、丙氧基、丁氧基、戊氧基、己氧基)、苯基基团和其组合。本领域技术人员应理解,为了被表征为烷氧基硅烷,R1、R2、R3或R4中的至少一个必须是C1-C6烷氧基基团。设想的烷氧基硅烷包括甲基三甲氧基硅烷、二甲基二甲氧基硅烷、苯基三甲氧基硅烷、四乙氧基硅烷(TEOS)、N-丙基三甲氧基硅烷、N-丙基三乙氧基硅烷、己基三甲氧基硅烷、己基三乙氧基硅烷和其组合。可以代替烷氧基硅烷使用或除了烷氧基硅烷之外还可以使用的其它二氧化硅源包括六氟硅酸铵、硅酸钠、硅酸四甲基铵(TMAS)和其组合。优选地,含硅化合物包含TEOS、TMAS、硅酸钠或其组合。
包括蚀刻剂和二氧化硅源两者的一种替代方案是在原位产生氟硅酸。氢氟酸(优选在40%或更高浓度下)和TEOS或其它可水解烷氧基硅烷可以以适当比率组合在至少一种有机溶剂中以形成具有恰当量的在SiO2中饱和或接近饱和的氟硅酸的浓缩物。
当所述氧化剂包含碘酸盐或高碘酸盐时,碘清除剂可任选地被添加至半水性组合物。虽然不希望受理论束缚,但据认为,随着碘酸盐或高碘酸盐被还原,碘积聚,这增加了铜蚀刻速率。碘清除剂包括但不限于酮,更优选在羰基的α位上具有氢的酮,例如4-甲基-2-戊酮、2,4-二甲基-3-戊酮、环己酮、5-甲基-3-庚酮、3-戊酮、5-羟基-2-戊酮、2,5-己二酮、4-羟基-4-甲基-2-戊酮、丙酮、丁酮、2-甲基-2-丁酮、3,3-二甲基-2-丁酮、4-羟基-2-丁酮、环戊酮、2-戊酮、3-戊酮、1-苯基乙酮、苯乙酮、二苯甲酮、2-己酮、3-己酮、2-庚酮、3-庚酮、4-庚酮、2,6-二甲基-4-庚酮、2-辛酮、3-辛酮、4-辛酮、二环己基酮、2,6-二甲基环己酮、2-乙酰基环己酮、2,4-戊二酮、薄荷酮和其组合。优选地,碘清除剂包括4-甲基-2-戊酮、2,4-二甲基-3-戊酮或环己酮。
添加金属腐蚀抑制剂以阻断所述氧化剂的氧化活性。本文设想的金属腐蚀抑制剂包括但不限于5-氨基-1,3,4-噻二唑-2-硫醇(ATDT)、苯并三唑(BTA)、1,2,4-三唑(TAZ)、甲苯基三唑、5-甲基-苯并三唑、5-苯基-苯并三唑、5-硝基-苯并三唑、苯并三唑羧酸、3-氨基-5-巯基-1,2,4-三唑、1-氨基-1,2,4-三唑、羟基苯并三唑、2-(5-氨基-戊基)-苯并三唑、1-氨基-1,2,3-三唑、1-氨基-5-甲基-1,2,3-三唑、3-氨基-1,2,4-三唑、3-巯基-1,2,4-三唑、3-异丙基-1,2,4-三唑、5-苯基硫醇-苯并三唑、卤代-苯并三唑(卤代=F、Cl、Br或I)、萘并三唑、2-巯基苯并咪唑(MBI)、2-巯基苯并噻唑、4-甲基-2-苯基咪唑、2-巯基噻唑啉、5-氨基四唑、戊四唑、5-苯基-1H-四唑、5-苄基-1H-四唑、AblumineO(台湾表面活性剂)、2-苄基吡啶、琥珀酰亚胺、马来酰亚胺、邻苯二甲酰亚胺、戊二酰亚胺、2,4-二氨基-6-甲基-1,3,5-三嗪、噻唑、三嗪、甲基四唑、1,3-二甲基-2-咪唑烷酮、1,5-五亚甲基四唑、1-苯基-5-巯基四唑、二氨基甲基三嗪、咪唑啉硫酮、4-甲基-4H-1,2,4-三唑-3-硫醇、苯并噻唑、咪唑、吡唑、吲唑(indiazole)、腺苷、咔唑、糖精和苯偶姻肟。另外的腐蚀抑制剂包括阳离子型季铵表面活性剂盐,例如苯扎氯铵、氯化苄基二甲基十二烷基铵、溴化十四烷基三甲基铵、溴化十二烷基三甲基铵、氯化十六烷基吡啶Aliquat336(Cognis)、氯化苄基二甲基苯基铵、CrodaquatTES(CrodaInc.)、RewoquatCPEM(Witco)、对甲苯磺酸十六烷基三甲基铵、氢氧化十六烷基三甲基铵、二氯化1-甲基-1'-十四烷基-4,4'-联吡啶溴化烷基三甲基铵、盐酸氨丙啉、氢氧化苄乙氧铵、苄索氯铵、氯化苄基二甲基十六烷基铵、氯化苄基二甲基十四烷基铵、溴化苄基十二烷基二甲基铵、氯化苄基十二烷基二甲基铵、西吡氯铵、胆碱对甲苯磺酸盐、溴化二甲基二-十八烷基铵、溴化十二烷基乙基二甲基铵、氯化十二烷基三甲基铵、溴化乙基十六烷基二甲基铵、吉拉德试剂(Girard'sreagent)、磷酸二氢十六烷基(2-羟基乙基)二甲基铵、溴化十六烷基吡啶溴化十六烷基三甲基铵、氯化十六烷基三甲基铵、氯化甲基苄乙氧铵、1622、LuviquatTM、N,N',N'-聚氧乙烯(10)-N-牛脂-1,3-二氨基丙烷液体、奥芬溴铵(oxyphenoniumbromide)、溴化四庚基铵、溴化四(癸基)铵、通佐溴铵(thonzoniumbromide)、氯化三-十二烷基铵、溴化三甲基十八烷基铵、四氟硼酸1-甲基-3-正辛基咪唑四氟硼酸1-癸基-3-甲基咪唑氯化1-癸基-3-甲基咪唑溴化三-十二烷基甲基铵、氯化二甲基二硬脂基铵和氯化六甲双铵(hexamethoniumchloride)。其它腐蚀抑制剂包括非离子型表面活性剂如PolyFoxPF-159(OMNOVA溶液)、聚(乙二醇)(“PEG”)、聚(丙二醇)(“PPG”)、PEG-PPG共聚物如PluronicF-127(BASF),阴离子型表面活性剂如十二烷基苯磺酸、十二烷基苯磺酸钠和其组合。季铵盐可以充当腐蚀抑制剂(特别是对于铜和钨)和润湿剂。对于本领域技术人员来说显而易见的是,虽然季铵盐最通常以氯化物或溴化物形式市售,但容易使卤化物阴离子与非卤化物阴离子如硫酸盐、甲磺酸盐、硝酸盐、氢氧化物等进行离子交换。本文中还设想了这些转化的季铵盐。在一个特别优选的实施方式中,已知5-甲基-1H-苯并三唑阻断氧化剂对铜的氧化活性。可选地,或除了5-甲基-1H-苯并三唑(mBTA)之外,优选的腐蚀抑制剂包括吡唑、苯并三唑、阳离子型季铵表面活性剂盐,更优选地为溴化十四烷基三甲基铵、苯扎氯铵、对甲苯磺酸十六烷基三甲基铵和氢氧化十六烷基三甲基铵、四唑如5-苄基-1H-四唑和其组合。
本文所述的半水性组合物可以任选地包括至少一种低k钝化剂以降低低k电介质层的化学攻击并保护晶片免受额外的氧化。优选的低k钝化剂包括但不限于硼酸、硼酸盐如五硼酸铵、四硼酸钠、3-羟基-2-萘甲酸、丙二酸和亚氨基二乙酸。当存在时,所述半水性组合物包括以所述组合物的总重量计约0.01重量%至约2重量%的低k钝化剂。优选地,使用本文所述的半水性组合物蚀刻/去除以下伏的低k材料的总重量计小于2重量%、更优选小于1重量%、最优选小于0.5重量%的下伏低k材料。
为了确保润湿,特别是当pH较低时,可以向半水性组合物添加表面活性剂,优选地抗氧化、氟化阴离子型表面活性剂。本发明的组合物中设想的阴离子型表面活性剂包括但不限于氟表面活性剂如UR和FS-62(DuPontCanadaInc.,Mississauga,Ontario,Canada)和氟烷基磺酸铵如NovecTM4300(3M)。当所用蚀刻剂包含氟化物时,设想了使用可以用作表面活性剂和蚀刻剂的长链氟化四烷基铵。
所述至少一种有机溶剂包含至少一种水混溶性有机溶剂,其中所述至少一种水混溶性有机溶剂选自式R1R2R3C(OH)的化合物,其中R1、R2和R3是彼此独立的并选自氢、C2-C30烷基、C2-C30烯烃、环烷基、C2-C30烷氧基和其组合。例如,所述至少一种溶剂可以包含选自以下的至少一种物质:甲醇、乙醇、异丙醇、丁醇、戊醇、己醇、2-乙基-1-己醇、庚醇、辛醇、乙二醇、1,2-丙二醇和1,3-丙二醇、1,2-丁二醇、1,3-丁二醇和1,4-丁二醇、四氢糠醇(THFA)、碳酸丁烯酯、碳酸乙烯酯、碳酸丙烯酯、二丙二醇、二乙二醇单甲醚、三乙二醇单甲醚、二乙二醇单乙醚、三乙二醇单乙醚、乙二醇单丙醚、乙二醇单丁醚、二乙二醇单丁醚、三乙二醇单丁醚、乙二醇单己醚、二乙二醇单己醚、乙二醇苯醚、丙二醇甲醚、二丙二醇甲醚(DPGME)、三丙二醇甲醚(TPGME)、二丙二醇二甲醚、二丙二醇乙醚、丙二醇正丙醚、二丙二醇正丙醚(DPGPE)、三丙二醇正丙醚、丙二醇正丁醚、二丙二醇正丁醚、三丙二醇正丁醚、丙二醇苯醚、2,3-二氢十氟戊烷、乙基全氟丁醚、甲基全氟丁醚、碳酸烷基酯、碳酸亚烷基酯、4-甲基-2-戊醇、四亚甲基二醇二甲醚、二甲亚砜和其组合。优选地,所述至少一种有机溶剂包含二乙二醇单乙醚、二乙二醇甲醚、丙二醇、乙二醇、四乙二醇二甲醚、三乙二醇甲醚、三乙二醇乙醚、三丙二醇单甲醚、二丙二醇单甲醚或其组合。
在另一个实施方式中,本文所述的任何半水性组合物可进一步包含氮化钛和/或光致抗蚀剂蚀刻材料残余物,其中所述残余物被悬浮和/或溶解在所述半水性组合物中。
在一个实施方式中,第一方面的组合物包含以下物质,由以下物质组成或基本上由以下物质组成:至少一种氧化剂、至少一种蚀刻剂、至少一种腐蚀抑制剂、至少二氧化硅源、水和至少一种有机溶剂,其中所述组合物基本上不含过氧化氢,所述物质以所述组合物的总重量计以下列范围存在:
在半水性组合物的一个特别优选实施方式中,所述至少一种氧化剂包括氧化钒、钒酸铵、碘酸铵、高碘酸铵、碘酸、高碘酸、1,4-苯醌或其组合;所述至少一种蚀刻剂包括六氟硅酸、四氟硼酸或其组合;所述至少一种腐蚀抑制剂包括5-甲基-1H-苯并三唑、吡唑、苯并三唑、溴化十四烷基三甲基铵、苯扎氯铵、对甲苯磺酸十六烷基三甲基铵、氢氧化十六烷基三甲基铵、5-苄基-1H-四唑或其组合;所述至少一种二氧化硅源包括TEOS、TMAS、硅酸钠或其组合,并且所述至少一种有机溶剂包括二乙二醇单乙醚、二乙二醇甲醚、丙二醇、乙二醇、四乙二醇二甲醚、三乙二醇甲醚、三乙二醇乙醚、三丙二醇单甲醚、二丙二醇单甲醚或其组合。
应理解,通常的做法是制造待在使用前稀释的浓缩形式的半水性组合物。例如,所述组合物可以被制造成更浓缩的形式并且其后在制造商处、在使用前和/或在制造厂使用期间用至少一种溶剂稀释。稀释比率可在约0.1份稀释剂:1份组合物浓缩物至约100份稀释剂:1份组合物浓缩物范围内。还应理解,本文所述的组合物包括氧化剂,其可能随时间不稳定。因此,浓缩形式可以基本上不含氧化剂并且所述氧化剂可由制造商在使用前和/或在制造厂使用期间引入浓缩物或稀释的半水性组合物。
本文所述的半水性组合物容易通过简单添加各个成分并混合至均质条件来配制。此外,所述半水性组合物可容易地配制成单包装制剂或在使用地点处或之前混合的多部分制剂,优选地为多部分制剂。所述多部分制剂的单独的部分可在工具处或在混合区/区域如在线混合器中或在工具上游的储罐中混合。设想了多部分制剂的各个部分可含有当混合在一起时形成所需组合物的成分/组分的任何组合。各个成分的浓度可在半水性组合物的特定倍数内广泛改变,即,更稀释或更浓缩,并且应理解,半水性组合物可不同地和可选地包含以下物质,由以下物质组成或基本上由以下物质组成:与本文公开内容一致的成分的任何组合。
因此,第二方面涉及一种试剂盒,所述试剂盒在一个或多个容器中包括一种或多种适于形成本文所述的半水性组合物的组分。所述试剂盒的容器必须适合储存和运输所述半水性组合物组分,例如,容器(AdvancedTechnologyMaterials,Inc.,Danbury,Conn.,USA)。含有所述组合物的组分的一个或多个容器优选包括用于使所述一个或多个容器中的组分流体连通以共混和分配的机构。例如,对于容器来说,可将气体压力施加至所述一个或多个容器中的衬里外部以造成所述衬里的内含物的至少一部分被排出并且因此能够实现流体连通以用于共混和分配。可选地,可将气体压力施加至常规可加压容器的顶空或者可使用泵来实现流体连通。另外,所述系统优选包括分配端口以将共混的组合物分配至处理工具。
优选使用基本上化学惰性、不含杂质、柔性和弹性的聚合物膜材料如高密度聚乙烯来制造用于所述一个或多个容器的衬里。处理所需的衬里材料而无需共挤出或阻挡层,并且无需任何可能不利地影响有待放置在衬里中的组分的纯度要求的颜料、UV抑制剂或加工剂。所需衬里材料的列表包括包含未处理(不含添加剂)的聚乙烯、未处理的聚四氟乙烯(PTFE)、聚丙烯、聚氨酯、聚偏二氯乙烯、聚氯乙烯、聚缩醛、聚苯乙烯、聚丙烯腈、聚丁烯等的膜。这些衬里材料的优选厚度在约5密耳(0.005英寸)至约30密耳(0.030英寸)范围内,例如20密耳(0.020英寸)的厚度。
关于用于试剂盒的容器,以下专利和专利申请的公开内容以全文引用的方式并入本文中:名称为“用于在超纯液体中使粒子的产生最小化的装置和方法(APPARATUSANDMETHODFORMINIMIZINGTHEGENERATIONOFPARTICLESINULTRAPURELIQUIDS)”的美国专利No.7,188,644;名称为“可回收和可重复使用泡包袋型流体存储和分配容器系统(RETURNABLEANDREUSABLE,BAG-IN-DRUMFLUIDSTORAGEANDDISPENSINGCONTAINERSYSTEM)”的美国专利No.6,698,619;和2008年5月9日提交的名称为“用于材料的共混和分布的系统和方法(SYSTEMSANDMETHODSFORMATERIALBLENDINGANDDISTRIBUTION)”的PCT/US08/63276。
在第三方面,本发明涉及使用本文所述的半水性组合物从上面具有氮化钛材料的微电子器件的表面蚀刻氮化钛材料的方法。例如,可去除氮化钛材料而不显著破坏/去除所述微电子器件上存在的金属导体和绝缘体材料。因此,在一个优选实施方式中,描述了使用本文所述的半水性组合物从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面相对于金属导体和绝缘体材料选择性和基本上去除氮化钛和/或光致抗蚀剂蚀刻残余物材料的方法。在另一个优选的实施方式中,描述了使用本文所述的半水性组合物从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面相对于金属导体(例如,铜)、钨和绝缘体材料选择性和基本上去除氮化钛和/或光致抗蚀剂蚀刻残余物材料的方法。
在蚀刻应用中,以任何合适方式向上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面施加组合物,例如,通过将半水性组合物喷涂在器件表面上,通过浸渍(在静态或动态体积的半水性组合物中)包括氮化钛和/或光致抗蚀剂蚀刻残余物材料的器件,通过使所述器件与另一种材料例如上面吸收有半水性组合物的垫或纤维状吸收剂施用器元件接触,通过使包括氮化钛和/或光致抗蚀剂蚀刻残余物材料的器件与循环半水性组合物接触,或通过使半水性组合物与氮化钛和/或光致抗蚀剂蚀刻残余物材料进行去除接触的任何其它合适手段、方式或技术。可分批或在单晶片装置中进行施加,用于动态或静态清洁。有利的是,本文所述的半水性组合物凭借其相对于可能存在于微电子器件结构上并暴露于所述组合物的其它材料如金属和绝缘材料(即,低k电介质)而言对氮化钛和/或光致抗蚀剂蚀刻残余物材料的选择性而以高效和高选择性方式实现氮化钛和/或光致抗蚀剂蚀刻残余物材料的至少部分去除。
在本文所述的半水性组合物用于从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件结构去除氮化钛和/或光致抗蚀剂蚀刻残余物材料时,所述半水性组合物通常在单晶片工具中与器件结构在约20℃至约100℃、优选约45℃至约60℃的范围内的温度下接触约0.3分钟至约30分钟、优选约0.5分钟至约3分钟的充分时间。这些接触时间和温度是说明性的,并且可使用可有效从器件结构至少部分去除氮化钛和/或光致抗蚀剂蚀刻残余物材料的任何其它合适时间和温度条件。
在一个实施方式中,所述半水性组合物在递送至器件结构期间在线加热。通过在线而非在浴本身中加热,所述半水性组合物寿命增加。
在完成所需蚀刻动作后,所述半水性组合物可容易地从先前已进行施加的微电子器件去除,例如,通过如在本文所述半水性组合物的给定最终用途应用中可能需要和有效的冲洗、洗涤或其它去除步骤。例如,所述器件可用包括去离子水的冲洗溶液冲洗和/或干燥(例如,旋干、N2、蒸汽干燥等)。
所述半水性组合物优选相对于金属导体和绝缘(即,低k电介质)材料选择性蚀刻氮化钛材料。在一个实施方式中,氮化钛的蚀刻速率高(在50℃下以上,优选约以上),而金属(例如,Cu和W)的蚀刻速率低(小于约优选小于约,并且在相同温度下低k电介质的蚀刻速率低(小于约优选小于约)。
第四方面涉及根据本文所述的方法制造的改进的微电子器件并且涉及含有这些微电子器件的产品。
第五方面涉及制造包含微电子器件的物品的方法,所述方法包括使所述微电子器件与半水性组合物接触足够时间以从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面蚀刻性去除氮化钛和/或光致抗蚀剂蚀刻残余物材料,以及将所述微电子器件并入所述物品中,其中所述半水性组合物包含以下物质,由以下物质组成或基本上由以下物质组成:至少一种氧化剂、至少一种蚀刻剂、至少一种腐蚀抑制剂、至少二氧化硅源、水和至少一种有机溶剂,其中所述组合物基本上不含过氧化氢。所述半水性组合物还可包含氮化钛材料,由氮化钛材料组成或基本上由氮化钛材料组成。
本发明的第六方面涉及一种制造物品,其包含以下物质,由以下物质组成或基本上由以下物质组成:微电子器件衬底、所述衬底上的氮化钛层和本文所述的组合物。
通过下文讨论的说明性实施例更充分地示出本发明的特征和优点。
实施例1
制备包含0.003重量%高碘酸、1.2重量%H2SiF6、0.004重量%苯扎氯铵、0.3重量%TEOS和98.493重量%去离子水的基础溶液1。用基础溶液1起始,如下表1-3中所示制备制剂。将铜、钨、TiN和PETEOS试样在50℃下在每种制剂中浸没所示时间并且测定蚀刻速率。
表1:通过将所示有机溶剂与余量的基础溶液1组合而制备的制剂。
表2:通过将所示有机溶剂和腐蚀抑制剂与余量的基础溶液1组合而制备的制剂。
表3:通过将所示有机溶剂和腐蚀抑制剂mBTA与余量的基础溶液1组合而制备的制剂。
可见添加10重量%有机溶剂和0.5%mBTA对TiN蚀刻速率无显著影响,但会降低Cu和W蚀刻速率。当有机溶剂的量升高至50重量%时,TiN蚀刻速率降低。利用50重量%有机溶剂得到的结果表明,制剂可以进行调节以改变制剂的选择性。例如,通过调节溶剂浓度,TiN蚀刻速率可从改变至小于以及降低Cu和W蚀刻速率,例如在具有溶剂DPGME的制剂L和W中。在另一个方面,半水性组合物是相对于TiN和W选择性去除铜或者相对于W选择性去除Cu和TiN的制剂。
可见添加10重量%有机溶剂降低了铜的蚀刻速率,这被认为是由于有机溶剂辅助mBTA的溶解。此外,添加有机溶剂与mBTA对TiN蚀刻速率无负面影响而仍与Cu和W相容。
实施例2
制备包含0.01重量%钒酸铵、1.2重量%H2SiF6、0.004重量%溴化十四烷基三甲基铵、0.3重量%TEOS和98.486重量%去离子水的基础溶液2。用基础溶液2起始,如下表4中所示制备制剂。将铜、钨、TiN和PETEOS试样在50℃下在每种制剂中浸没所示时间并且测定蚀刻速率。
表4:通过将所示有机溶剂和腐蚀抑制剂mBTA与余量的基础溶液2组合而制备的制剂。
可见仅一种溶剂(THFA)在10重量%下显著改变TiN的蚀刻速率。
实施例3
制备包含四氟硼酸、吡唑、氧化钒(IV,V)和水的组合物并且在50℃下在每种制剂中浸没后测定PETEOS、Cu(Cu)、TiN和W的试样的蚀刻速率。表5中提供了所述组合物和结果。
表5:制剂和蚀刻速率
实施例4
如表6中所示制备包含四氟硼酸、吡唑、氧化钒(IV,V)、水、二乙二醇单丁醚(DEGBE)和其它组分的组合物。在40℃下在每种制剂中浸没后测定PETEOS、Cu(Cu)、TiN和W的试样的蚀刻速率,如表7中所提供。
表6:制剂
表7:制剂AAA-FFF的蚀刻速率
***
虽然已参考本发明的特定方面、特征和说明性实施方式对本发明进行了描述,但应理解本发明的效用不因此受限制,而是延伸至并涵盖如本发明领域的普通技术人员基于本文的公开内容所想到的众多其它变化、修改和替代实施方式。相应地,如权利要求书中所要求保护的发明旨在被广泛地理解和解释为将所有这些变化、修改和替代实施方式包括在其精神和范围内。

Claims (22)

1.一种用于从上面具有氮化钛和/或光致抗蚀剂蚀刻残余物材料的微电子器件的表面选择性去除氮化钛和/或光致抗蚀剂蚀刻残余物材料的组合物,所述组合物包含至少一种氧化剂、至少一种蚀刻剂、至少一种腐蚀抑制剂、至少一种二氧化硅源、水和至少一种有机溶剂,其中所述组合物基本上不含过氧化氢。
2.根据权利要求1所述的组合物,其中所述蚀刻剂包括选自以下的物质:H2ZrF6、H2TiF6、HPF6、HF、氟化铵、氟化氢铵、四氟硼酸、六氟硅酸、四氟硼酸四丁基铵(TBA-BF4)、六氟硅酸铵、六氟钛酸铵、氟化四烷基铵(NR1R2R3R4F)、氢氧化四烷基铵(NR1R2R3R4OH),其中R1、R2、R3、R4可以彼此相同或不同并选自直链或支链的C1-C6烷基基团、弱碱和其组合。
3.根据权利要求1所述的组合物,其中所述蚀刻剂包括四氟硼酸、六氟硅酸或其组合。
4.根据前述权利要求中的任一项所述的组合物,其中所述氧化剂包括选自以下的物质:FeCl3(水合的和未水合的)、Fe(NO3)3、Sr(NO3)2、CoF3、FeF3、MnF3、过硫酸氢钾(2KHSO5·KHSO4·K2SO4)、高碘酸、碘酸、氧化钒(V)、氧化钒(IV,V)、钒酸铵、过氧单硫酸铵、亚氯酸铵(NH4ClO2)、氯酸铵(NH4ClO3)、碘酸铵(NH4IO3)、硝酸铵(NH4NO3)、过硼酸铵(NH4BO3)、高氯酸铵(NH4ClO4)、高碘酸铵(NH4IO3)、过硫酸铵((NH4)2S2O8)、次氯酸铵(NH4ClO)、钨酸铵((NH4)10H2(W2O7))、过硫酸钠(Na2S2O8)、次氯酸钠(NaClO)、过硼酸钠、碘酸钾(KIO3)、高锰酸钾(KMnO4)、过硫酸钾、硝酸(HNO3)、过硫酸钾(K2S2O8)、次氯酸钾(KClO)、亚氯酸四甲基铵((N(CH3)4)ClO2)、氯酸四甲基铵((N(CH3)4)ClO3)、碘酸四甲基铵((N(CH3)4)IO3)、过硼酸四甲基铵((N(CH3)4)BO3)、高氯酸四甲基铵((N(CH3)4)ClO4)、高碘酸四甲基铵((N(CH3)4)IO4)、过硫酸四甲基铵((N(CH3)4)S2O8)、过氧单硫酸四丁基铵、过氧单硫酸、硝酸铁(Fe(NO3)3)、过乙酸(CH3(CO)OOH)、1,4-苯醌、甲苯醌、二甲基-1,4-苯醌、四氯苯醌、阿脲、N-甲基吗啉N-氧化物、三甲基胺N-氧化物和其组合。
5.根据前述权利要求中的任一项所述的组合物,其中所述氧化剂包括选自以下的物质:氧化钒、碘酸铵、高碘酸铵、钒酸铵、高碘酸、碘酸、1,4-苯醌或其组合。
6.根据前述权利要求中的任一项所述的组合物,其中所述氧化剂包括选自钒酸铵和高碘酸的物质。
7.根据前述权利要求中的任一项所述的组合物,其中所述至少一种腐蚀抑制剂包括选自以下的物质:5-氨基-1,3,4-噻二唑-2-硫醇(ATDT)、苯并三唑(BTA)、1,2,4-三唑(TAZ)、甲苯基三唑、5-甲基-苯并三唑(mBTA)、5-苯基-苯并三唑、5-硝基-苯并三唑、苯并三唑羧酸、3-氨基-5-巯基-1,2,4-三唑、1-氨基-1,2,4-三唑、羟基苯并三唑、2-(5-氨基-戊基)-苯并三唑、1-氨基-1,2,3-三唑、1-氨基-5-甲基-1,2,3-三唑、3-氨基-1,2,4-三唑、3-巯基-1,2,4-三唑、3-异丙基-1,2,4-三唑、5-苯基硫醇-苯并三唑、卤代-苯并三唑(卤代=F、Cl、Br或I)、萘并三唑、2-巯基苯并咪唑(MBI)、2-巯基苯并噻唑、4-甲基-2-苯基咪唑、2-巯基噻唑啉、5-氨基四唑、戊四唑、5-苯基-1H-四唑、5-苄基-1H-四唑、AblumineO、2-苄基吡啶、琥珀酰亚胺、马来酰亚胺、邻苯二甲酰亚胺、戊二酰亚胺、2,4-二氨基-6-甲基-1,3,5-三嗪、噻唑、吡唑、三嗪、甲基四唑、1,3-二甲基-2-咪唑烷酮、1,5-五亚甲基四唑、1-苯基-5-巯基四唑、二氨基甲基三嗪、咪唑啉硫酮、4-甲基-4H-1,2,4-三唑-3-硫醇、苯并噻唑、咪唑、吲唑、腺苷、咔唑、糖精、苯偶姻肟、PolyFoxPF-159、聚(乙二醇)、聚(丙二醇)、PEG-PPG共聚物、十二烷基苯磺酸、十二烷基苯磺酸钠、苯扎氯铵、氯化苄基二甲基十二烷基铵、溴化十四烷基三甲基铵、溴化十二烷基三甲基铵、氯化十六烷基吡啶Aliquat336、氯化苄基二甲基苯基铵、CrodaquatTES、RewoquatCPEM、对甲苯磺酸十六烷基三甲基铵、氢氧化十六烷基三甲基铵、二氯化1-甲基-1'-十四烷基-4,4'-联吡啶溴化烷基三甲基铵、盐酸氨丙啉、氢氧化苄乙氧铵、苄索氯铵、氯化苄基二甲基十六烷基铵、氯化苄基二甲基十四烷基铵、溴化苄基十二烷基二甲基铵、氯化苄基十二烷基二甲基铵、西吡氯铵、胆碱对甲苯磺酸盐、溴化二甲基二-十八烷基铵、溴化十二烷基乙基二甲基铵、氯化十二烷基三甲基铵、溴化乙基十六烷基二甲基铵、吉拉德试剂、磷酸二氢十六烷基(2-羟基乙基)二甲基铵、溴化十六烷基吡啶溴化十六烷基三甲基铵、氯化十六烷基三甲基铵、氯化甲基苄乙氧铵、1622、LuviquatTM、N,N',N'-聚氧乙烯(10)-N-牛脂-1,3-二氨基丙烷液体、奥芬溴铵、溴化四庚基铵、溴化四(癸基)铵、通佐溴铵、氯化三-十二烷基铵、溴化三甲基十八烷基铵、四氟硼酸1-甲基-3-正辛基咪唑四氟硼酸1-癸基-3-甲基咪唑氯化1-癸基-3-甲基咪唑溴化三-十二烷基甲基铵、氯化二甲基二硬脂基铵、氯化六甲双铵和其组合。
8.根据前述权利要求中的任一项所述的组合物,其中所述至少一种腐蚀抑制剂包括苯并三唑衍生物、阳离子型季铵表面活性剂或其组合。
9.根据前述权利要求中的任一项所述的组合物,其中所述至少一种腐蚀抑制剂包括甲基苯并三唑。
10.根据前述权利要求中的任一项所述的组合物,其中所述二氧化硅源包括选自以下的至少一种物质:甲基三甲氧基硅烷、二甲基二甲氧基硅烷、苯基三甲氧基硅烷、四乙氧基硅烷(TEOS)、N-丙基三甲氧基硅烷、N-丙基三乙氧基硅烷、己基三甲氧基硅烷、己基三乙氧基硅烷、六氟硅酸铵、硅酸钠、硅酸四甲基铵(TMAS)和其组合,优选为TEOS、TMAS、硅酸钠或其组合。
11.根据前述权利要求中的任一项所述的组合物,其中所述至少一种有机溶剂包括选自以下的物质:甲醇、乙醇、异丙醇、丁醇、戊醇、己醇、2-乙基-1-己醇、庚醇、辛醇、乙二醇、1,2-丙二醇和1,3-丙二醇、1,2-丁二醇、1,3-丁二醇和1,4-丁二醇、四氢糠醇(THFA)、碳酸丁烯酯、碳酸乙烯酯、碳酸丙烯酯、二丙二醇、二乙二醇单甲醚、三乙二醇单甲醚、二乙二醇单乙醚、三乙二醇单乙醚、乙二醇单丙醚、乙二醇单丁醚、二乙二醇单丁醚、三乙二醇单丁醚、乙二醇单己醚、二乙二醇单己醚、乙二醇苯醚、丙二醇甲醚、二丙二醇甲醚(DPGME)、三丙二醇甲醚(TPGME)、二丙二醇二甲醚、二丙二醇乙醚、丙二醇正丙醚、二丙二醇正丙醚(DPGPE)、三丙二醇正丙醚、丙二醇正丁醚、二丙二醇正丁醚、三丙二醇正丁醚、丙二醇苯醚、2,3-二氢十氟戊烷、乙基全氟丁醚、甲基全氟丁醚、碳酸烷基酯、碳酸亚烷基酯、4-甲基-2-戊醇、四亚甲基二醇二甲醚、二甲亚砜和其组合,优选地二乙二醇单乙醚、二乙二醇甲醚、丙二醇、乙二醇、四乙二醇二甲醚、三乙二醇甲醚、三乙二醇乙醚、三丙二醇单甲醚、二丙二醇单甲醚或其组合。
12.根据前述权利要求中的任一项所述的组合物,其中所述组合物还包含选自以下的至少一种其它组分:至少一种低k钝化剂、至少一种表面活性剂、至少一种碘清除剂和其组合。
13.根据前述权利要求中的任一项所述的组合物,其中所述组合物基本上不含胺、化学机械抛光研磨材料、金属卤化物和其组合。
14.根据前述权利要求中的任一项所述的组合物,其中所述组合物在约45℃至约60℃范围内的温度下具有大于40:1的TiN:钨选择性和小于约的钨去除速率。
15.根据前述权利要求中的任一项所述的组合物,其中所述组合物在约45℃至约60℃范围内的温度下具有大于20:1的TiN:铜选择性和小于约的铜去除速率。
16.根据前述权利要求中的任一项所述的组合物,其中所述组合物的pH在约0至约4的范围内。
17.一种从上面具有氮化钛材料的微电子器件的表面蚀刻氮化钛材料的方法,所述方法包括使所述表面与根据权利要求1至16中的任一项所述的组合物接触,其中所述组合物从所述表面相对于金属和绝缘材料选择性去除所述氮化钛材料。
18.根据权利要求17所述的方法,其中所述接触包括在约20℃至约100℃的范围内的温度下在约0.3分钟至约30分钟范围内的时间。
19.根据权利要求17至18中的任一项所述的方法,其中在所需蚀刻动作后从所述表面冲洗所述组合物。
20.根据权利要求17至19中的任一项所述的方法,其中所述金属包含铜、钨或两者。
21.根据权利要求17至20中的任一项所述的方法,其中所述组合物在约45℃至约60℃范围内的温度下具有大于40:1的TiN:钨选择性和小于约的钨去除速率。
22.根据权利要求17至21中的任一项所述的方法,其中所述组合物在约45℃至约60℃范围内的温度下具有大于20:1的TiN:铜选择性和小于约的铜去除速率。
CN201480020454.3A 2013-03-04 2014-03-04 用于选择性蚀刻氮化钛的组合物和方法 Active CN105102584B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361772251P 2013-03-04 2013-03-04
US61/772,251 2013-03-04
PCT/US2014/020312 WO2014138064A1 (en) 2013-03-04 2014-03-04 Compositions and methods for selectively etching titanium nitride

Publications (2)

Publication Number Publication Date
CN105102584A true CN105102584A (zh) 2015-11-25
CN105102584B CN105102584B (zh) 2018-09-21

Family

ID=51491868

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480020454.3A Active CN105102584B (zh) 2013-03-04 2014-03-04 用于选择性蚀刻氮化钛的组合物和方法

Country Status (8)

Country Link
US (1) US10472567B2 (zh)
EP (1) EP2964725B1 (zh)
JP (1) JP6363116B2 (zh)
KR (1) KR102294726B1 (zh)
CN (1) CN105102584B (zh)
SG (2) SG10201706443QA (zh)
TW (1) TWI655273B (zh)
WO (1) WO2014138064A1 (zh)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106404501A (zh) * 2016-08-29 2017-02-15 中航动力股份有限公司 一种工业纯钛ta2的电解腐蚀剂及电解抛光腐蚀方法
CN106919013A (zh) * 2015-12-28 2017-07-04 安集微电子(上海)有限公司 一种低蚀刻的去除光阻残留物的清洗液
CN107229193A (zh) * 2017-07-25 2017-10-03 上海新阳半导体材料股份有限公司 一种清洗剂、其制备方法和应用
CN110240907A (zh) * 2018-03-09 2019-09-17 弗萨姆材料美国有限责任公司 用于在半导体器件制造期间从硅-锗/锗叠层选择性除去硅-锗合金的蚀刻溶液
CN110272742A (zh) * 2018-03-16 2019-09-24 弗萨姆材料美国有限责任公司 用于钨字线凹进的蚀刻溶液
CN110284139A (zh) * 2018-03-19 2019-09-27 三星显示有限公司 蚀刻剂组合物和使用其制造金属图案和阵列基板的方法
CN110911278A (zh) * 2018-09-18 2020-03-24 三星电子株式会社 蚀刻金属阻挡层和金属层的方法和制造半导体器件的方法
CN111936936A (zh) * 2018-04-04 2020-11-13 巴斯夫欧洲公司 用于去除灰化后残留物和/或用于氧化蚀刻含TiN层料或掩模的含咪唑烷硫酮组合物
CN112384597A (zh) * 2018-07-06 2021-02-19 恩特格里斯公司 选择性蚀刻材料的改进
CN113122267A (zh) * 2019-12-31 2021-07-16 安集微电子科技(上海)股份有限公司 一种促进剂组合物在去除铜大马士革工艺中氮化钛的应用
CN113423799A (zh) * 2019-10-03 2021-09-21 日产化学株式会社 用于消除激光标记周边的隆起的包含阳离子的研磨用组合物
CN114350365A (zh) * 2021-12-07 2022-04-15 湖北兴福电子材料有限公司 一种稳定蚀刻氮化钛的蚀刻液
CN114369462A (zh) * 2021-12-16 2022-04-19 湖北兴福电子材料有限公司 一种选择性蚀刻氮化钛及钨的蚀刻液
CN115011347A (zh) * 2022-06-30 2022-09-06 湖北兴福电子材料有限公司 一种氮化铝和钨的选择性蚀刻液
CN115210339A (zh) * 2020-03-04 2022-10-18 弗萨姆材料美国有限责任公司 用于氮化钛和钼导电金属线的蚀刻溶液
CN116096837A (zh) * 2020-08-13 2023-05-09 恩特格里斯公司 氮化物蚀刻剂组合物和方法

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101790090B1 (ko) * 2013-05-02 2017-10-25 후지필름 가부시키가이샤 에칭 방법, 이에 이용하는 에칭액 및 에칭액의 키트, 및 반도체 기판 제품의 제조 방법
SG11201509933QA (en) 2013-06-06 2016-01-28 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
JP6776125B2 (ja) 2013-12-20 2020-10-28 インテグリス・インコーポレーテッド イオン注入レジストの除去のための非酸化性の強酸の使用
WO2015103146A1 (en) 2013-12-31 2015-07-09 Advanced Technology Materials, Inc. Formulations to selectively etch silicon and germanium
TWI659098B (zh) 2014-01-29 2019-05-11 美商恩特葛瑞斯股份有限公司 化學機械研磨後配方及其使用方法
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
TWI558850B (zh) * 2014-03-29 2016-11-21 精密聚合物股份有限公司 電子零件用處理液及電子零件之製造方法
WO2016042408A2 (en) * 2014-09-17 2016-03-24 Atmi Taiwan Co., Ltd. Compositions for etching titanium nitride having compatability with silicon germanide and tungsten
TWI546371B (zh) * 2014-11-10 2016-08-21 盟智科技股份有限公司 研磨組成物
US10332784B2 (en) 2015-03-31 2019-06-25 Versum Materials Us, Llc Selectively removing titanium nitride hard mask and etch residue removal
US9976111B2 (en) 2015-05-01 2018-05-22 Versum Materials Us, Llc TiN hard mask and etch residual removal
US10294422B2 (en) * 2015-07-16 2019-05-21 Hailiang Wang Etching compositions for transparent conductive layers comprising silver nanowires
KR102415954B1 (ko) * 2016-01-12 2022-07-01 동우 화인켐 주식회사 질화 티탄(TiN) 막의 식각액 조성물 및 그를 이용한 금속배선의 형성 방법
WO2018217978A1 (en) * 2017-05-25 2018-11-29 Saint-Gobain Ceramics & Plastics, Inc. Oxidizing fluid for the chemical-mechanical polishing of ceramic materials
JP7081010B2 (ja) * 2019-02-13 2022-06-06 株式会社トクヤマ オニウム塩を含む半導体ウェハの処理液
EP3926662A4 (en) * 2019-02-13 2023-01-11 Tokuyama Corporation PROCESSING SOLUTION CONTAINING ONIUM SALT FOR SEMICONDUCTOR WAFER
KR20220016516A (ko) * 2019-07-05 2022-02-09 후지필름 가부시키가이샤 조성물, 키트, 기판의 처리 방법
US11309190B2 (en) * 2020-01-17 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
CN111621791B (zh) * 2020-05-06 2022-05-10 中国石油天然气集团有限公司 一种抗稀盐酸和硫化氢腐蚀的炼油蒸馏塔顶缓蚀剂
EP4271765A1 (en) * 2020-12-29 2023-11-08 Entegris, Inc. Selective removal of metal oxide hard masks
JPWO2023277048A1 (zh) * 2021-07-02 2023-01-05
TW202342821A (zh) * 2022-02-24 2023-11-01 日商三菱瓦斯化學股份有限公司 組成物、以及使用其之半導體基板之製造方法及蝕刻方法
US20230383185A1 (en) * 2022-05-27 2023-11-30 Entegris, Inc. Etchant composition and method
CN114989825B (zh) * 2022-06-30 2023-07-11 湖北兴福电子材料股份有限公司 一种掺钪氮化铝和钨的选择性蚀刻液
WO2024024811A1 (ja) * 2022-07-28 2024-02-01 三菱瓦斯化学株式会社 半導体基板洗浄用組成物およびこれを用いた半導体基板の製造方法
TWI814652B (zh) * 2022-11-28 2023-09-01 南亞塑膠工業股份有限公司 去膜液

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008080097A2 (en) * 2006-12-21 2008-07-03 Advanced Technology Materials, Inc. Liquid cleaner for the removal of post-etch residues
WO2012048079A2 (en) * 2010-10-06 2012-04-12 Advanced Technology Materials, Inc. Composition and process for selectively etching metal nitrides

Family Cites Families (139)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
US5702075A (en) 1996-01-31 1997-12-30 David Lehrman Automatically collapsible support for an electrical cord for use with an ironing board
US6323168B1 (en) 1996-07-03 2001-11-27 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US7534752B2 (en) 1996-07-03 2009-05-19 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US6224785B1 (en) 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6755989B2 (en) 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US5993685A (en) 1997-04-02 1999-11-30 Advanced Technology Materials Planarization composition for removing metal films
WO1998048453A1 (en) 1997-04-23 1998-10-29 Advanced Chemical Systems International, Inc. Planarization compositions for cmp of interlayer dielectrics
US5976928A (en) 1997-11-20 1999-11-02 Advanced Technology Materials, Inc. Chemical mechanical polishing of FeRAM capacitors
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
KR20010025043A (ko) 1998-05-18 2001-03-26 바누치 유진 지. 반도체 기판용 스트립팅 조성물
US6875733B1 (en) 1998-10-14 2005-04-05 Advanced Technology Materials, Inc. Ammonium borate containing compositions for stripping residues from semiconductor substrates
US6395194B1 (en) 1998-12-18 2002-05-28 Intersurface Dynamics Inc. Chemical mechanical polishing compositions, and process for the CMP removal of iridium thin using same
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6492308B1 (en) 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6723691B2 (en) 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6409781B1 (en) 2000-05-01 2002-06-25 Advanced Technology Materials, Inc. Polishing slurries for copper and associated materials
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6627587B2 (en) 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US7029373B2 (en) 2001-08-14 2006-04-18 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6800218B2 (en) 2001-08-23 2004-10-05 Advanced Technology Materials, Inc. Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same
US6802983B2 (en) 2001-09-17 2004-10-12 Advanced Technology Materials, Inc. Preparation of high performance silica slurry using a centrifuge
US6719920B2 (en) * 2001-11-30 2004-04-13 Intel Corporation Slurry for polishing a barrier layer
US7326673B2 (en) 2001-12-31 2008-02-05 Advanced Technology Materials, Inc. Treatment of semiconductor substrates using long-chain organothiols or long-chain acetates
US7557073B2 (en) 2001-12-31 2009-07-07 Advanced Technology Materials, Inc. Non-fluoride containing supercritical fluid composition for removal of ion-implant photoresist
US7030168B2 (en) 2001-12-31 2006-04-18 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
US7119418B2 (en) 2001-12-31 2006-10-10 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US6698619B2 (en) 2002-05-03 2004-03-02 Advanced Technology Materials, Inc. Returnable and reusable, bag-in-drum fluid storage and dispensing container system
US7188644B2 (en) 2002-05-03 2007-03-13 Advanced Technology Materials, Inc. Apparatus and method for minimizing the generation of particles in ultrapure liquids
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US7485611B2 (en) 2002-10-31 2009-02-03 Advanced Technology Materials, Inc. Supercritical fluid-based cleaning compositions and methods
US20060019850A1 (en) 2002-10-31 2006-01-26 Korzenski Michael B Removal of particle contamination on a patterned silicon/silicon dioxide using dense fluid/chemical formulations
US6943139B2 (en) 2002-10-31 2005-09-13 Advanced Technology Materials, Inc. Removal of particle contamination on patterned silicon/silicon dioxide using supercritical carbon dioxide/chemical formulations
US7011716B2 (en) 2003-04-29 2006-03-14 Advanced Technology Materials, Inc. Compositions and methods for drying patterned wafers during manufacture of integrated circuitry products
US6989358B2 (en) 2002-10-31 2006-01-24 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for removal of photoresists
US7223352B2 (en) 2002-10-31 2007-05-29 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
KR100536593B1 (ko) * 2002-12-05 2005-12-14 삼성전자주식회사 선택적인 막 제거를 위한 세정 용액 및 그 세정 용액을사용하여 실리사이드 공정에서 막을 선택적으로 제거하는방법
US7300601B2 (en) 2002-12-10 2007-11-27 Advanced Technology Materials, Inc. Passivative chemical mechanical polishing composition for copper film planarization
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
US6735978B1 (en) 2003-02-11 2004-05-18 Advanced Technology Materials, Inc. Treatment of supercritical fluid utilized in semiconductor manufacturing applications
US7736405B2 (en) 2003-05-12 2010-06-15 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for copper and associated materials and method of using same
WO2004101222A2 (en) 2003-05-12 2004-11-25 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for step-ii copper liner and other associated materials and method of using same
US7119052B2 (en) 2003-06-24 2006-10-10 Advanced Technology Materials, Inc. Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
US7335239B2 (en) 2003-11-17 2008-02-26 Advanced Technology Materials, Inc. Chemical mechanical planarization pad
US20050118832A1 (en) 2003-12-01 2005-06-02 Korzenski Michael B. Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
EP1690135A4 (en) 2003-12-02 2007-05-09 Advanced Tech Materials METHOD AND CHEMICAL FOR REMOVING PHOTOSENSITIVE RESIN, ANTI-REFLECTIVE COATING OR FILLING MATERIAL
US20050145311A1 (en) 2003-12-30 2005-07-07 Walker Elizabeth L. Method for monitoring surface treatment of copper containing devices
US7553803B2 (en) 2004-03-01 2009-06-30 Advanced Technology Materials, Inc. Enhancement of silicon-containing particulate material removal using supercritical fluid-based compositions
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US20050227482A1 (en) 2004-03-24 2005-10-13 Korzenski Michael B Composition useful for removal of bottom anti-reflection coatings from patterned ion-implanted photoresist wafers
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060148666A1 (en) 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7923423B2 (en) 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
US7365045B2 (en) 2005-03-30 2008-04-29 Advanced Tehnology Materials, Inc. Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
WO2006110645A2 (en) 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
TW200726858A (en) 2005-04-15 2007-07-16 Advanced Tech Materials Apparatus and method for supercritical fluid removal or deposition processes
CN101198416A (zh) 2005-04-15 2008-06-11 高级技术材料公司 从微电子器件上清除离子注入光致抗蚀剂层的配方
US20070251551A1 (en) 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
KR20080025697A (ko) 2005-05-26 2008-03-21 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 구리를 부동태화하는 cmp후 세정 조성물 및 이용 방법
JP2008546214A (ja) 2005-06-06 2008-12-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 集積された化学機械研磨組成物および単一プラテン処理のためのプロセス
JP2008546036A (ja) 2005-06-07 2008-12-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 金属および誘電体相溶性の犠牲反射防止コーティング浄化および除去組成物
EP1894230A2 (en) 2005-06-13 2008-03-05 Advanced Technology Materials, Inc. Compositions and methods for selective removal of metal or metal alloy after metal silicide formation
US20090192065A1 (en) 2005-06-16 2009-07-30 Advanced Technology Materials, Inc. Dense fluid compositions for removal of hardened photoresist, post-etch residue and/or bottom anti-reflective coating
CN101356628B (zh) 2005-08-05 2012-01-04 高级技术材料公司 用于对金属膜进行平坦化的高通量化学机械抛光组合物
WO2007027522A2 (en) 2005-08-29 2007-03-08 Advanced Technology Materials, Inc. Composition and method for removing thick film photoresist
KR101444468B1 (ko) 2005-10-05 2014-10-30 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
EP1949424A2 (en) 2005-10-05 2008-07-30 Advanced Technology Materials, Inc. Composition and method for selectively etching gate spacer oxide material
CN101421386B (zh) 2005-10-13 2011-08-10 高级技术材料公司 金属相容的光致抗蚀剂和/或牺牲性抗反射涂层去除组合物
WO2007120259A2 (en) 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
CN101356629B (zh) * 2005-11-09 2012-06-06 高级技术材料公司 用于将其上具有低k介电材料的半导体晶片再循环的组合物和方法
TW200734448A (en) 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US8025811B2 (en) * 2006-03-29 2011-09-27 Intel Corporation Composition for etching a metal hard mask material in semiconductor processing
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
WO2008036823A2 (en) 2006-09-21 2008-03-27 Advanced Technology Materials, Inc. Uric acid additive for cleaning formulations
US20080076688A1 (en) 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US20100056410A1 (en) 2006-09-25 2010-03-04 Advanced Technology Materials, Inc. Compositions and methods for the removal of photoresist for a wafer rework application
US20080125342A1 (en) 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
TWI509690B (zh) 2006-12-21 2015-11-21 Entegris Inc 選擇性移除氮化矽之組合物及方法
CN101636465A (zh) 2007-01-31 2010-01-27 高级技术材料公司 用于化学机械抛光浆料应用的聚合物-二氧化硅分散剂的稳定化
TWI516573B (zh) * 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
US20100112728A1 (en) 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
EP2155373A4 (en) 2007-05-09 2014-10-22 Foresight Proc Llc SYSTEMS AND METHOD FOR THE MIXTURE AND DISTRIBUTION OF MATERIALS
WO2008157345A2 (en) * 2007-06-13 2008-12-24 Advanced Technology Materials, Inc. Wafer reclamation compositions and methods
TW200916571A (en) 2007-08-02 2009-04-16 Advanced Tech Materials Non-fluoride containing composition for the removal of residue from a microelectronic device
TW200927918A (en) 2007-08-20 2009-07-01 Advanced Tech Materials Composition and method for removing ion-implanted photoresist
JP2009075285A (ja) * 2007-09-20 2009-04-09 Fujifilm Corp 半導体デバイスの剥離液、及び、剥離方法
EP2227319A2 (en) 2007-11-14 2010-09-15 Advanced Technology Materials, Inc. Solvent-free synthesis of soluble nanocrystals
TW200934865A (en) 2007-11-30 2009-08-16 Advanced Tech Materials Formulations for cleaning memory device structures
CN102007196B (zh) 2008-03-07 2014-10-29 高级技术材料公司 非选择性氧化物蚀刻湿清洁组合物及使用方法
US20090253072A1 (en) 2008-04-01 2009-10-08 Petruska Melissa A Nanoparticle reversible contrast enhancement material and method
JP2011520142A (ja) 2008-05-01 2011-07-14 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 高密度注入レジストの除去のための低pH混合物
CN102216854A (zh) 2008-08-04 2011-10-12 高级技术材料公司 环境友好型聚合物剥离组合物
US7968506B2 (en) 2008-09-03 2011-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Wet cleaning stripping of etch residue after trench and via opening formation in dual damascene process
KR20110063845A (ko) 2008-10-02 2011-06-14 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 실리콘 기판의 금속 로딩 및 표면 패시베이션을 향상시키기 위한 계면활성제/소포제 혼합물의 용도
KR101752684B1 (ko) 2008-10-21 2017-07-04 엔테그리스, 아이엔씨. 구리 세척 및 보호 조성물
SG173172A1 (en) 2009-01-28 2011-08-29 Advanced Tech Materials Lithographic tool in situ clean formulations
WO2010086745A1 (en) 2009-02-02 2010-08-05 Atmi Taiwan Co., Ltd. Method of etching lanthanum-containing oxide layers
WO2010091045A2 (en) 2009-02-05 2010-08-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of polymers and other organic material from a surface
US8754021B2 (en) 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
US8222145B2 (en) * 2009-09-24 2012-07-17 Dupont Air Products Nanomaterials, Llc Method and composition for chemical mechanical planarization of a metal-containing substrate
US8367555B2 (en) 2009-12-11 2013-02-05 International Business Machines Corporation Removal of masking material
US9045717B2 (en) 2010-01-29 2015-06-02 Advanced Technology Materials, Inc. Cleaning agent for semiconductor provided with metal wiring
JP5858597B2 (ja) 2010-01-29 2016-02-10 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド タングステン配線半導体用洗浄剤
JP5792284B2 (ja) * 2010-04-15 2015-10-07 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 老朽化したプリント回路基板のリサイクル方法
SG187551A1 (en) 2010-07-16 2013-03-28 Advanced Tech Materials Aqueous cleaner for the removal of post-etch residues
JP2012036750A (ja) 2010-08-04 2012-02-23 Panasonic Corp 圧縮機
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
CN105274338A (zh) 2010-08-20 2016-01-27 安格斯公司 从电子垃圾回收贵金属和贱金属的可持续方法
WO2012027667A2 (en) 2010-08-27 2012-03-01 Advanced Technology Materials, Inc. Method for preventing the collapse of high aspect ratio structures during drying
KR101891363B1 (ko) 2010-10-13 2018-08-24 엔테그리스, 아이엔씨. 티타늄 니트라이드 부식을 억제하기 위한 조성물 및 방법
KR102064487B1 (ko) 2011-01-13 2020-01-10 엔테그리스, 아이엔씨. 세륨-함유 용액에 의해 발생된 입자의 제거를 위한 배합물
JP2012186470A (ja) 2011-02-18 2012-09-27 Sanyo Chem Ind Ltd 銅配線半導体用洗浄剤
WO2012154498A2 (en) 2011-05-06 2012-11-15 Advanced Technology Materials, Inc. Removal of metal impurities from silicon surfaces for solar cell and semiconductor applications
JP2012251026A (ja) 2011-05-31 2012-12-20 Sanyo Chem Ind Ltd 半導体用洗浄剤
WO2012174518A2 (en) 2011-06-16 2012-12-20 Advanced Technology Materials, Inc. Compositions and methods for selectively etching silicon nitride
BR112013032436A2 (pt) 2011-06-21 2019-09-24 Advanced Tech Materials Inc método para a recuperação de óxido de cobalto litiado de baterias de íon de lítio
KR101776923B1 (ko) * 2011-08-05 2017-09-11 삼성디스플레이 주식회사 식각액 조성물, 이를 이용한 금속 패턴의 형성 방법 및 표시 기판의 제조 방법
US20130045908A1 (en) 2011-08-15 2013-02-21 Hua Cui Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
CN105869997A (zh) 2011-10-21 2016-08-17 安格斯公司 无胺cmp后组合物及其使用方法
US8618036B2 (en) 2011-11-14 2013-12-31 International Business Machines Corporation Aqueous cerium-containing solution having an extended bath lifetime for removing mask material
SG11201403228RA (en) 2011-12-15 2014-07-30 Advanced Tech Materials Apparatus and method for stripping solder metals during the recycling of waste electrical and electronic equipment
JP6329909B2 (ja) * 2011-12-28 2018-05-23 インテグリス・インコーポレーテッド 窒化チタンを選択的にエッチングするための組成物および方法
WO2013123317A1 (en) 2012-02-15 2013-08-22 Advanced Technology Materials, Inc. Post-cmp removal using compositions and method of use
KR102352465B1 (ko) 2012-03-12 2022-01-18 엔테그리스 아시아 엘엘씨 애싱된 스핀-온 유리의 선택적 제거 방법
WO2013138278A1 (en) 2012-03-12 2013-09-19 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
EP2828371A4 (en) 2012-03-18 2015-10-14 Entegris Inc POST-CMP FORMULATION HAVING COMPATIBILITY WITH ENHANCED BARRIER LAYERS AND CLEANING PERFORMANCE
US20150050199A1 (en) 2012-04-06 2015-02-19 Entegris, Inc. Removal of lead from solid materials
US20130295712A1 (en) 2012-05-03 2013-11-07 Advanced Technology Materials, Inc. Methods of texturing surfaces for controlled reflection
KR102100254B1 (ko) 2012-05-11 2020-04-13 엔테그리스, 아이엔씨. 규소화물 제작 중의 NiPt 습식 에칭을 위한 배합물
EP2850495A4 (en) 2012-05-18 2016-01-20 Entegris Inc COMPOSITION AND METHOD FOR REMOVING PHOTOLACK FROM A SURFACE WITH TITANNITRIDE
SG11201407657YA (en) 2012-05-18 2014-12-30 Advanced Tech Materials Aqueous clean solution with low copper etch rate for organic residue removal improvement
KR102118964B1 (ko) 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Iii-v 반도체 물질을 세척하기 위한 조성물 및 이를 사용하는 방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008080097A2 (en) * 2006-12-21 2008-07-03 Advanced Technology Materials, Inc. Liquid cleaner for the removal of post-etch residues
WO2012048079A2 (en) * 2010-10-06 2012-04-12 Advanced Technology Materials, Inc. Composition and process for selectively etching metal nitrides

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106919013A (zh) * 2015-12-28 2017-07-04 安集微电子(上海)有限公司 一种低蚀刻的去除光阻残留物的清洗液
CN106919013B (zh) * 2015-12-28 2021-12-07 安集微电子(上海)有限公司 一种低蚀刻的去除光阻残留物的清洗液
CN106404501A (zh) * 2016-08-29 2017-02-15 中航动力股份有限公司 一种工业纯钛ta2的电解腐蚀剂及电解抛光腐蚀方法
CN107229193A (zh) * 2017-07-25 2017-10-03 上海新阳半导体材料股份有限公司 一种清洗剂、其制备方法和应用
CN107229193B (zh) * 2017-07-25 2019-04-23 上海新阳半导体材料股份有限公司 一种清洗剂、其制备方法和应用
CN110240907A (zh) * 2018-03-09 2019-09-17 弗萨姆材料美国有限责任公司 用于在半导体器件制造期间从硅-锗/锗叠层选择性除去硅-锗合金的蚀刻溶液
CN110240907B (zh) * 2018-03-09 2021-09-17 弗萨姆材料美国有限责任公司 用于在半导体器件制造期间从硅-锗/锗叠层选择性除去硅-锗合金的蚀刻溶液
CN110272742A (zh) * 2018-03-16 2019-09-24 弗萨姆材料美国有限责任公司 用于钨字线凹进的蚀刻溶液
CN110284139A (zh) * 2018-03-19 2019-09-27 三星显示有限公司 蚀刻剂组合物和使用其制造金属图案和阵列基板的方法
CN110284139B (zh) * 2018-03-19 2022-05-27 三星显示有限公司 蚀刻剂组合物和使用其制造金属图案和阵列基板的方法
CN111936936A (zh) * 2018-04-04 2020-11-13 巴斯夫欧洲公司 用于去除灰化后残留物和/或用于氧化蚀刻含TiN层料或掩模的含咪唑烷硫酮组合物
CN112384597A (zh) * 2018-07-06 2021-02-19 恩特格里斯公司 选择性蚀刻材料的改进
CN110911278A (zh) * 2018-09-18 2020-03-24 三星电子株式会社 蚀刻金属阻挡层和金属层的方法和制造半导体器件的方法
US11795550B2 (en) 2018-09-18 2023-10-24 Samsung Electronics Co., Ltd. Etching composition, a method of etching a metal barrier layer and a metal layer using the same, and method of manufacturing semiconductor device using the same
CN113423799A (zh) * 2019-10-03 2021-09-21 日产化学株式会社 用于消除激光标记周边的隆起的包含阳离子的研磨用组合物
CN113122267A (zh) * 2019-12-31 2021-07-16 安集微电子科技(上海)股份有限公司 一种促进剂组合物在去除铜大马士革工艺中氮化钛的应用
CN115210339A (zh) * 2020-03-04 2022-10-18 弗萨姆材料美国有限责任公司 用于氮化钛和钼导电金属线的蚀刻溶液
CN116096837A (zh) * 2020-08-13 2023-05-09 恩特格里斯公司 氮化物蚀刻剂组合物和方法
CN114350365A (zh) * 2021-12-07 2022-04-15 湖北兴福电子材料有限公司 一种稳定蚀刻氮化钛的蚀刻液
CN114369462A (zh) * 2021-12-16 2022-04-19 湖北兴福电子材料有限公司 一种选择性蚀刻氮化钛及钨的蚀刻液
CN115011347A (zh) * 2022-06-30 2022-09-06 湖北兴福电子材料有限公司 一种氮化铝和钨的选择性蚀刻液
CN115011347B (zh) * 2022-06-30 2023-12-29 湖北兴福电子材料股份有限公司 一种氮化铝和钨的选择性蚀刻液

Also Published As

Publication number Publication date
US20160032186A1 (en) 2016-02-04
KR102294726B1 (ko) 2021-08-30
KR20150126637A (ko) 2015-11-12
EP2964725B1 (en) 2021-06-23
JP6363116B2 (ja) 2018-07-25
US10472567B2 (en) 2019-11-12
EP2964725A1 (en) 2016-01-13
EP2964725A4 (en) 2016-11-23
CN105102584B (zh) 2018-09-21
WO2014138064A1 (en) 2014-09-12
TW201439280A (zh) 2014-10-16
TWI655273B (zh) 2019-04-01
SG10201706443QA (en) 2017-09-28
SG11201507014RA (en) 2015-10-29
JP2016510175A (ja) 2016-04-04

Similar Documents

Publication Publication Date Title
CN105102584B (zh) 用于选择性蚀刻氮化钛的组合物和方法
US10392560B2 (en) Compositions and methods for selectively etching titanium nitride
JP6723152B2 (ja) 窒化チタンを選択的にエッチングするための組成物及び方法
TWI713458B (zh) 用於移除蝕刻後殘留物之具有鎢及鈷相容性之水性及半水性清洗劑
CN105431506A (zh) 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂
CN105492576A (zh) 选择性蚀刻氮化钛的组合物和方法
WO2016042408A2 (en) Compositions for etching titanium nitride having compatability with silicon germanide and tungsten

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C41 Transfer of patent application or patent right or utility model
TA01 Transfer of patent application right

Effective date of registration: 20160930

Address after: Massachusetts, USA

Applicant after: MYKROLIS Corp.

Applicant after: Advanced Materials Co.,Ltd.

Address before: American Connecticut

Applicant before: Advanced Technology Materials, Inc.

Applicant before: Advanced Materials Co.,Ltd.

C41 Transfer of patent application or patent right or utility model
CB02 Change of applicant information

Address after: Massachusetts, USA

Applicant after: ENTEGRIS, Inc.

Applicant after: Advanced Materials Co.,Ltd.

Address before: Massachusetts, USA

Applicant before: MYKROLIS Corp.

Applicant before: Advanced Materials Co.,Ltd.

COR Change of bibliographic data
TA01 Transfer of patent application right

Effective date of registration: 20161207

Address after: Massachusetts, USA

Applicant after: ENTEGRIS, Inc.

Applicant after: Entegris Taiwan

Address before: Massachusetts, USA

Applicant before: ENTEGRIS, Inc.

Applicant before: Entegris Taiwan

Effective date of registration: 20161207

Address after: Massachusetts, USA

Applicant after: ENTEGRIS, Inc.

Applicant after: Entegris Taiwan

Address before: Massachusetts, USA

Applicant before: ENTEGRIS, Inc.

Applicant before: Advanced Materials Co.,Ltd.

CB02 Change of applicant information
CB02 Change of applicant information

Address after: Massachusetts, USA

Applicant after: ENTEGRIS, Inc.

Applicant after: ENTEGRIS ASIA LLC

Address before: Massachusetts, USA

Applicant before: ENTEGRIS, Inc.

Applicant before: Entegris Taiwan

GR01 Patent grant
GR01 Patent grant