KR102064487B1 - 세륨-함유 용액에 의해 발생된 입자의 제거를 위한 배합물 - Google Patents

세륨-함유 용액에 의해 발생된 입자의 제거를 위한 배합물 Download PDF

Info

Publication number
KR102064487B1
KR102064487B1 KR1020137021096A KR20137021096A KR102064487B1 KR 102064487 B1 KR102064487 B1 KR 102064487B1 KR 1020137021096 A KR1020137021096 A KR 1020137021096A KR 20137021096 A KR20137021096 A KR 20137021096A KR 102064487 B1 KR102064487 B1 KR 102064487B1
Authority
KR
South Korea
Prior art keywords
acid
composition
lanthanoid
ammonium
species
Prior art date
Application number
KR1020137021096A
Other languages
English (en)
Other versions
KR20140043327A (ko
Inventor
엠마누엘 아이 쿠퍼
제프리 에이 반즈
Original Assignee
엔테그리스, 아이엔씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엔테그리스, 아이엔씨. filed Critical 엔테그리스, 아이엔씨.
Publication of KR20140043327A publication Critical patent/KR20140043327A/ko
Application granted granted Critical
Publication of KR102064487B1 publication Critical patent/KR102064487B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02076Cleaning after the substrates have been singulated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means

Abstract

본 발명은, 마이크로 전자 장치 또는 마이크로 전자 장치 제작 하드웨어의 표면으로부터 란타노이드-함유 고체 및/또는 종을 제거하기 위한 조성물 및 방법에 관한 것이다. 바람직하게, 상기 란타노이드-함유 고체 및/또는 종은 세륨을 포함한다. 상기 조성물에는 바람직하게는 실질적으로 불소 이온이 없다.

Description

세륨-함유 용액에 의해 발생된 입자의 제거를 위한 배합물{FORMULATIONS FOR THE REMOVAL OF PARTICLES GENERATED BY CERIUM-CONTAINING SOLUTIONS}
본 발명은, 마이크로 전자 장치 또는 마이크로 전자 장치 제작 하드웨어의 표면으로부터 란타노이드-함유 고체 및/또는 종을 제거하기 위한 조성물 및 방법에 관한 것이다. 바람직하게, 상기 조성물 및 방법은 표면으로부터 세륨-함유 고체 및/또는 종들을 제거한다.
포토레지스트를 포함하는 레지스트는, 반도체 장치 제작 동안 기판(예를 들어, 반도체 웨이퍼) 위에 패턴화 층을 형성하기 위해 사용되는 방사선-민감성(예를 들어, 감광성) 물질이다. 레지스트 코팅된 기판의 일부를 방사선에 노출시킨 후, 레지스트의 노출부(포지티브 레지스트의 경우) 또는 레지스트의 미노출부(네가티브 레지스트의 경우)가 제거되어, 기판의 하부면이 드러나고, 레지스트에 의해 코팅되고 보호되는 기판의 표면의 나머지는 남겨둔다. 레지스트는 보다 일반적으로는 마스킹 물질일 수 있다. 다른 제작 공정, 예를 들어, 이온-주입, 에칭 또는 침착이 기판의 덮여 있지 않은 표면 및 잔류 레지스트 위에서 수행될 수 있다. 다른 제작 공정을 수행한 후, 잔류 레지스트를 스트립 작업에서 제거한다.
이온-주입에서, 도판트 이온(예를 들어, 붕소, 붕소 다이플루오라이드, 비소, 인듐, 인, 게르마늄, 안티몬, 제논 또는 비스무쓰의 이온)은 주입될 기판을 향해 가속된다. 이온은 기판의 노출된 영역 뿐만 아니라 잔류 레지스트 내에 주입된다. 이온-주입은, 예를 들어 기판 내의 주입된 영역, 예를 들어 트랜지스터의 채널 영역 및 소스와 드레인 영역을 형성하기 위해서 사용될 수 있다. 이온-주입은 또한 광 도핑된 드레인 및 이중 확산된 드레인 영역을 형성하기 위해서 사용될 수 있다. 그러나, 레지스트 내에 주입된 이온은 레지스트의 표면으로부터 수소를 격감시켜, 레지스트가 레지스트 층의 하부 영역(즉, 레지스트 층의 대부분의 영역)보다 단단한 탄화 층일 수 있는 외부층 또는 크러스트를 형성하도록 할 수 있다. 외부층과 대부분의 영역은 상이한 열 팽창율을 갖고 상이한 속도로 스트리핑 공정에 대해 반응한다. 높은 투여량으로 이온-주입된 레지스트는, 레지스트의 심한 경화 또는 크러스트화를 유발하여, 외부 층과 대부분의 영역 사이에 비교적 큰 차이점, 예를 들어 열 팽창율, 용해도 및 다른 화학적 및 물리적 특성에서의 차이점을 유발한다.
본 발명의 발명자들은, 예를 들어 세륨 암모늄 니트레이트(CAN)와 같은, 세륨 원소의 하나 이상의 염 또는 배위 착체를 포함하는 조성물이 기판으로부터 마스킹 물질, 예를 들어 높은 투여량으로 이온-주입된 레지스트를 효율적으로 제거할 수 있음을 발견하였다. 유리하게는, 이러한 조성물 및 방법은 종래 분야에 공지된 조성물 및 방법에 비해 낮은 산도 및 온도에서 작동하고, 이로써 상기 기판 위에 존재하는 TiN 및 다른 금속 게이트 물질에 손상을 덜 유발한다. 불리하게는, 세륨(IV) 화합물의 감소 및 물에 의한 상기 용액의 희석은, 특히 HDIS를 위해 전형적으로 사용되는 고온에서, 수화된 고체, 예를 들어 Ce(NO3)x(OH)y(여기서, x+y≤4)의 침전을 유발할 수 있다. 추가로, 가수분해된 세륨 종들은 처리될 기판 위에 존재하는 필름에 흡착될 수 있다.
본 발명의 목적은, 란타노이드-함유 조성물의 사용 동안 기판 위에 침착 또는 흡착될 수 있는 수화된 고체를 실질적으로 제거하는 것이다.
본 발명은 마이크로 전자 장치 또는 마이크로 전자 장치 제작 하드웨어의 표면으로부터 란타노이드-함유 고체 및/또는 종들을 제거하기 위한 조성물 및 방법에 관한 것이다. 바람직하게, 상기 조성물 및 방법은, 표면으로부터 세륨-함유 고체 및/또는 종들을 제거한다.
본 발명의 다른 양태, 특징부 및 장점은, 뒤따르는 개시내용 및 첨부된 특허청구범위로부터 보다 완전히 명백해질 것이다.
본 발명은 마이크로 전자 장치 또는 마이크로 전자 장치 제작 하드웨어의 표면으로부터 란타노이드-함유 고체 및/또는 종들을 제거하기 위한 조성물 및 방법에 관한 것이다. 바람직하게, 상기 조성물 및 방법은, 표면으로부터 세륨-함유 고체 및/또는 종들을 제거한다. 상기 조성물에는 바람직하게는 실질적으로 불소 이온이 없다.
하나의 양태에서, 마이크로 전자 장치 또는 마이크로 제작 하드웨어의 표면으로부터 란타노이드-함유 고체 및/또는 종들을 제거하는 방법이 개시되어 있되, 상기 방법은 표면 위에 존재하는 란타노이드-함유 고체 및/또는 종들을 실질적으로 용해하는 조성물과 상기 표면을 접촉시킴을 포함한다. 바람직하게, 상기 조성물은 하나 이상의 산, 하나 이상의 환원제, 및 물을 포함하며, 여기서 상기 조성물에는 실질적으로 불소 이온이 없다.
참조의 용이를 위해, "마이크로 전자 장치"는, 반도체 기판, 평면 패널 디스플레이, 상 변화 메모리 장치, 태양광 패널; 또는 마이크로 전자 장치, 집적 회로, 에너지 수집 또는 컴퓨터 칩 적용례에 사용하기 위해 제조된, 태양 전지 장치, 광전지, 마이크로 전기 기계 시스템(MEMS)을 비롯한 다른 제품에 해당한다. "마이크로 전자 장치", "마이크로 전자 기판" 및 "마이크로 전자 장치 구조물"이라는 용어는 어떠한 방식으로도 제한하고자 하는 것이 아니며, 결국 마이크로 전자 장치 또는 마이크로 전자 장치 조립체가 될 임의의 기판 또는 구조물을 포함하는 것으로 이해되어야 한다. 마이크로 전자 장치는, 패턴화된/블랭크화된, 대조군 및/또는 테스트 장치일 수 있다.
"이온-주입"은, 도판트 물질의 이온이 타겟 물질, 일반적으로 고체에 주입될 수 있는 공정이다. 이온-주입된 물질의 물리적 특성은 일반적으로 주입 이전의 타겟 물질의 물리적 특성과는 상이하다. 이온-주입은, 마이크로 전자 장치 제작, 예를 들어 집적 회로 및 실리콘 반도체 장치의 제작에 사용된다. 주입된 이온은 타겟과는 상이한 원소인 이온으로 인하여 타겟 내에 화학적 변화를 도입 또는 유발할 수 있고/있거나, 타겟이 이온-주입에 의해 개질되거나, 손상되거나, 심지어 파괴될 수 있다는 점에서 구조적 변화를 도입 또는 유발할 수 있다. 단지 예를 들면, 반도체 제작에서 주입된 종들을 위해 전형적으로 사용되는 원소는, 붕소, 붕소 다이플루오라이드, 비소, 인듐, 갈륨, 게르마늄, 비스무쓰, 제논, 인 및 안티몬을 포함한다. 붕소는, 이것이 실리콘 내에 "정공"(즉, 전자 빈자리)을 유발하거나 공여하기 때문에, 실리콘에서 p-타입 도판트이다. 비소는, 이것이 실리콘 내에 과량의 전자를 유발하거나 공여하기 때문에, 실리콘에서 n-타입 도판트이다. 진성 실리콘 내에 주입된 도판트, 예를 들어 붕소 및 비소는 진성 실리콘이 반도체로서 전도성이 되도록 할 수 있다. 하나 이상의 도판트 물질은 타겟 물질에 주입될 수 있다. 이온-주입은 일반적으로 투여량 및 에너지에 의해 특징화된다. 투여량은 타겟 물질의 면적 당 주입되는 이온의 갯수이다. 에너지는 주입되는 이온의 에너지이다. 보다 개발된 마이크로 전자 장치 공정 또는 제작 기법은 오래된 기법에 비해 보다 높은 투여량 및/또는 보다 높은 에너지를 사용한다. 높은 투여량의 이온-주입(HDII)에서, 이온 투여량은 약 5x1014 이온/cm2 초과일 수 있고/있거나, 이온이 타겟 또는 기판에 충격을 가하기 전에는, 이온들의 평균 에너지는 약 오천 전자볼트(KeV) 내지 100KeV 초과일 수 있다.
포토레지스트(보다 일반적으로, 마스킹 물질)를 포함하는 "레지스트"는, 표면, 예를 들어 기판 또는 타겟의 표면 위에 패턴화 코팅을 형성하기 위해서 사용된 방사선-민감성 물질이다. 레지스트는 마이크로 전자 장치, 예를 들어 직접 회로 및 실리콘 반도체 장치의 제작에 사용된다. 반도체 장치의 제작에서의 레지스트의 하나의 용도는, 도판트를 반도체 기판으로 선택적 이온-주입하기 위한 마스크로서의 용도이다. 레지스트의 층이 반도체 기판의 표면에, 또는 상기 기판 위 또는 상기 기판 내의 층의 표면에, 예를 들어 반도체 층 위의 절연 층으로서 도포된다. 레지스트의 일부가 방사선에 노출되고, 상기 레지스트의 이러한 부분은 주입될 반도체의 영역(포지티브 레지스트) 또는 주입될 반도체의 영역(네가티브 레지스트)에 해당한다. 그다음, 레지스트는, 단지 레지스트의 목적하는 부분만 남기도록 레지스트의 일부를 제거하는 것을 보조하는 현상액에 노출된다. 레지스트가 방사선에 노출되고 현상액에 의해 현상된 후, 이온-주입이 발생된다. 레지스트의 나머지 부분은, 주입된 이온이 레지스트 밑에 있는 마이크로 전자 장치 또는 다른 물질에 도달하는 것을 차단한다. 레지스트에 의해 차단된 이온은, 하부 기판 대신에 레지스트에 주입된다. 레지스트에 의해 덮히지 않은 마이크로 전자 장치의 부분은 이온-주입된다. 레지스트에 의해 차단된 비교적 고 투여량 및/또는 고 에너지의 주입된 이온으로 인하여, 레지스트는, 이온이 충격을 가하고 흡수되는 레지스트의 외부 또는 바깥측 위에 크러스트 또는 경질 쉘을 형성한다. 레지스트 경화는, 탄화, 중합 또는 중합체 가교결합으로부터 유발되거나, 이들로 지칭될 수 있다. 크러스트는, 레지스트 스트리핑 공정 도중에 제거하기 어려운 것으로 공지되어 있다(예를 들어, 크러스트는 스트리핑을 위해 사용되는 일부 공지된 용매에 불용성이다). 레지스트 크러스트의 두께는, 주입된 이온의 투여량 및 이온-주입 에너지에 좌우된다. 크러스트의 내부 또는 밑에 존재하는 레지스트 물질은, 즉 이온에 의해 일반적으로 영향을 받지 않는 레지스트의 부분은, 벌크 레지스트 또는 벌크 레지스트 물질로 지칭된다.
"고 투여량 이온-주입 스트립"(HDIS)은, HDII를 받은 노출된 레지스트를 스트리핑하는 공정이다. 일부 HDIS 공정은, 건식 공정, 예를 들어 플라즈마 공정 및 진공 공정을 포함할 수 있다. HDIS 공정의 특징은, 예를 들어 스트리핑 속도, 잔류물의 양, 노출된 층 및 하부 층, 예를 들어 기판, 실리콘 기판 또는 실리콘 위의 층의 손실을 포함할 수 있다. 잔류물은 종종 HDIS 위의 기판 표면 위에서 발견된다. 잔류물은, 예를 들어 HDII 동안의 스퍼터링, 레지스트의 외부층의 불안전환 제거, 및/또는 레지스트에서의 주입된 이온의 산화로부터 유발될 수 있다. 최선으로, 스트리핑 및 선택적 세정 이후에, 고 수율을 보장하고 부가적인 잔류물 제거 공정을 위한 요구를 제거하기 위해서 실질적으로 잔류물이 없어야 한다.
본원에서 정의된 바와 같이, "표면"은 적어도 실리콘, 금속 게이트 물질, 또는 둘다, 예를 들어 전계 효과 트랜지스터(FET)의 금속 게이트에 포함되는 TiN, 또는 반도체와 금속 사이의 배리어에 포함되는 TiN를 포함한다. 실리콘은, FET 및 집적 회로와 같은 전자 장치를 위한, 예를 들어 기판으로서 또는 기판의 일부로서 사용될 수 있는 실리콘-온-절연체(SOI) 웨이퍼에 포함된다. "실리콘"은 Si, 다정질 Si, 단결정질 Si, 및 SiGe를 포함하는 것으로 정의될 수 있다. 다른 형태의 "실리콘"은, 산화실리콘, 열적 실리콘, SiOH 및 SiCOH와 같은 실리콘-함유 물질을 포함할 수 있다.
본원에서 정의된 바와 같이, "금속 게이트 물질"은 반도체 기판의 중간-갭(mid-gap)에 해당하는 페르미 수준을 갖는 물질, 예를 들어 Ti, Ta, W, Mo, Ru, Al, La, 티탄 니트라이드, 탄탈 니트라이드, 탄탈 카바이드, 티탄 카바이드, 몰리브덴 니트라이드, 텅스텐 니트라이드, 루테늄(IV) 옥사이드, 탄탈 실리콘 니트라이드, 티탄 실리콘 니트라이드, 탄탈 탄소 니트라이드, 티탄 탄소 니트라이드, 티탄 알루미나이드, 탄탈 알루미나이드, 티탄 알루미늄 니트라이드, 탄탈 알루미늄 니트라이드, 란탄 옥사이드, 또는 이들의 조합에 해당한다. 금속 게이트 물질로서 개시된 화합물은 다양한 화학량론을 가질 수 있음이 인정되어야만 한다. 따라서, 티탄 니트라이드는 본원에서 TiNx를 나타내며, 탄탈 니트라이드는 본원에서 TaNx를 나타내며, 기타 등등이다.
본원에서 사용되는 "약"은 언급된 값의 ±5 %에 상당하고자 한다.
"실질적으로 없는"은 본원에서 2중량% 미만, 바람직하게 1중량% 미만, 보다 바람직하게 0.5중량% 미만, 더욱 보다 바람직하게 0.1중량% 미만, 가장 바람직하게 0중량%로서 정의된다.
본원에서 사용되는 "제거하기 위해"란, 물질이 조성물에 녹거나 또는 다르게는 용해되는 것, 바람직하게는 용해되는 것을 의미한다.
본원에서 사용되는 "CMP 후 잔사"는, 폴리슁 슬러리로부터의 입자들, 예를 들어 실리카-함유 입자, 슬러리에 존재하는 화학물질, 폴리슁 슬러리의 반응 부산물, 탄소-풍부 입자, 폴리슁 패드 입자, 블러쉬 디로딩(deloading) 입자, 구성 입자의 장치 재료, 구리, 구리 옥사이드, 구리-함유 물질, 알루미늄, 알루미늄 옥사이드, 알루미늄-함유 물질, 유기 잔류물, 및 CMP 공정의 부산물이 임의의 다른 물질에 해당한다.
본원에서 사용되는 "에칭 후 잔류물"은, 가스상 플라즈마 에칭 공정, 예를 들어 BEOL 이중 다마센 공정 이후에 잔류하는 물질에 해당한다. 에칭 후 잔류물은 특성상 유기, 유기금속성, 유기실리콘성, 또는 무기, 예를 들어 실리콘-함유 물질, 탄소계 유기 물질, 및 에칭 가스 잔류물, 예를 들어 산소 및 불소일 수 있다.
본원에서 정의된 바와 같이, 본원에서 사용된 "애슁 후 잔류물"은 경화된 포토레지스트 및/또는 바닥의 반사방지 코팅(BARC) 물질을 제거하기 위한 산화성 또는 환원성 플라즈마 애슁 이후에 남는 물질에 해당한다. 애슁 후 잔류물은 특성상 유기, 유기금속성, 유기실리콘성, 또는 무기성일 수 있다.
본 발명의 조성물은, 이후에 보다 충분히 설명되는 바와 같은, 폭넓게 다양한 구체적인 배합물로 구현될 수 있다.
상기 조성물의 구체적인 성분들이 0인 하한치를 포함하는 중량% 범위와 관련하여 논의되는 모든 이러한 조성물에서, 이러한 성분들은 조성물의 다양한 구체적인 실시양태에 존재하거나 존재하지 않을 수 있다는 점 및 이러한 성분들이 존재하는 경우, 이들은, 이러한 성분들이 사용되는 조성물의 총 중량을 기준으로 0.001중량% 정도로 낮은 농도로 존재할 수 있다는 점을 이해해야만 할 것이다.
본 발명은, 가수분해된 란타노이드-함유 고체 및 종들, 예를 들어 가수분해된 세륨-함유 고체 및 종들을, 위에 이들을 갖는 기판으로부터 안전하게 제거하기 위해서 사용될 수 있는, 조성물 및 방법에 관한 것이다. 앞서 논의한 바와 같이, 란타노이드-함유 화합물의 환원 및 종종 물에 의한 상기 용액의 희석은, 특히 HDIS를 위해 전형적으로 사용되는 고온에서, 가수분해된 고체, 예를 들어 Ce(NO3)x(OH)y(예를 들어, x+y≤4)의 침전을 유발할 수 있다. 추가로, 특성상 이온성이거나 분자인, 가수분해된 란타노이드-함유 종들은 처리된 표면 위에 존재하는 필름에 흡착될 수 있다. 란타노이드 원소는 일반적으로 57 내지 71의 원자 번호를 갖는 원소들, 즉, 란탄, 세륨, 프라세오디뮴, 네오디뮴, 프로메티움, 사마륨, 유로퓸, 가돌리늄, 테르븀, 디스프로시움, 홀뮴, 에르븀, 툴륨, 이테르븀, 및 루테튬으로 공지되어 있다. 이후에, "란타노이드-함유 고체" 및 "란타노이드-함유 종"은, 란타노이드 원소의 환원, 란타노이드 원소를 포함하는 조성물의 희석 또는 둘다의 결과로서 표면에 침착되거나, 그렇지 않으면 상기 표면에 흡착되는 란타노이드 원소를 포함하는 고체에 해당한다.
제 1 양태에서, 마이크로 전자 장치의 표면으로부터 란타노이드-함유 고체를 제거하는 방법이 개시되어 있으며, 상기 방법은, 표면에 대해 란타노이드-함유 고체를 실질적으로 용해하는 조성물과 상기 표면을 접촉시키는 단계를 포함한다. 전형적으로, 란타노이드-함유 고체는, 입자형 물질로서 존재할 수 있다. 따라서, "실질적으로 용해"란, 조성물과의 접촉 이전의 입자에 비해, 입자의 체적 기준으로 95% 이상, 보다 바람직하게 98% 이상, 더욱 보다 바람직하게 99% 이상, 가장 바람직하게 99.9% 이상을 용해시킴에 해당된다. 바람직하게, 표면 대비 란타노이드-함유 고체에 대한 조성물의 선택도는, 약 100 이상:1 란타노이드-함유 고체 대 표면, 보다 바람직하게 약 1000 이상:1, 더욱 보다 바람직하게 약 10000 이상:1, 가장 바람직하게 약 100000 이상:1이다. 또다른 방법을 고려해 보면, 란타노이드-함유 고체는 실질적으로 제거되어야 하는 반면, 상기 표면은 조성물에 의해, 실질적으로 제거(예를 들어, 용해, 침식 등)되지 않아야만 한다. 바람직하게, 상기 란타노이드-함유 고체는 세륨을 포함한다.
제 2 양태에서, 마이크로 전자 장치의 표면으로부터 란타노이드-함유 종을 제거하는 방법이 개시되어 있되, 상기 방법은, 표면으로부터 란타노이드-함유 종을 실질적으로 제거하는 조성물과 상기 표면을 접촉시키는 단계를 포함하며, 여기서 상기 란타노이드-함유 종은, 상기 조성물에 의한 표면의 접촉 이전에 상기 표면에 흡착되어 있다. 바람직하게, 상기 표면은 상기 조성물에 의해 실질적으로 영향을 받지 않는데, 이는, 상기 표면이 상기 조성물의 존재시 실질적인 용해 또는 침식을 경험하지 않음을 의미한다. 본원에서 사용된, "흡착"은, 표면으로의 란타노이드-함유 종들의 접착에 해당하며, 물리 흡착(약한 반데르 발스 힘으로 특징화된 물리적 흡착) 또는 화학 흡착(표면에서 발생되는 화학적 반응에 의해 구동된 화학적 흡착)을 특징으로 할 수 있다. 바람직하게, 상기 란타노이드-함유 종들은 세륨을 포함한다.
제 3 양태에서, 마이크로 제작 하드웨어로부터 란타노이드-함유 종 및/또는 고체를 제거하는 방법이 개시되어 있되, 상기 방법은, 상기 하드웨어의 표면으로부터 란타노이드-함유 종 및/또는 고체를 실질적으로 제거하는 조성물과, 상기 하드웨어의 표면을 접촉시킴을 포함한다. 전형적으로, 상기 란타노이드-함유 고체는 입자형 물질로서 존재할 것이고, 란타노이드-함유 종들은 표면에 흡착되어 있다. 마이크로 제작 하드웨어는, 이로서 한정하는 것은 아니지만, 란타노이드를 포함하는 조성물에 노출되는 포토리쏘그래피동안 사용되는 하드웨어를 포함한다. 마이크로 제작 하드웨어의 물리적 구성은, 금속, 플라스틱, 유리, 자기 또는 광물일 수 있다. 바람직하게, 상기 란타노이드는 세륨을 포함하고, 란타노이드-함유 고체 및/또는 종은 세륨을 포함한다.
제 1, 제 2 및 제 3 양태의 방법은, 거의 상온 내지 약 100℃, 바람직하게 거의 상온 내지 약 80℃, 가장 바람직하게 거의 상온 내지 약 60℃의 범위의 온도에서 수행된다. 당분야의 숙련자들이라면, 단일 웨이퍼 도구 또는 여러개의 웨이퍼 도구에서 제거 과정이 수행되는지 여부에 따라 제거 시간이 변하거나 고체가 하드웨어로부터 제거된다는 점을 인식해야만 한다. 단일 웨이퍼 도구의 경우, 시간은 바람직하게 약 10초 내지 약 10분, 바람직하게 약 20초 내지 약 5분의 범위이고, 여러개의 웨이퍼 도구 또는 하드웨어의 경우, 시간은 바람직하게는 약 1분 내지 약 1000분의 범위이다. 이러한 접촉 시간 및 온도는 예시적인 것이며, 표면으로부터 세륨-함유 고체 및/또는 종을 제거하는데 효율적인 임의의 다른 적합한 시간 및 온도 조건도 사용될 수 있다.
마이크로 전자 장치의 표면으로부터의 제거 적용례에서, 상기 조성물은 장치에 대한 임의의 적합한 수단으로, 예를 들어 상기 장치의 표면에 상기 조성물을 분사하거나, 조성물의 정적 또는 동적 체적 내에 상기 장치를 침지시키거나, 상기 조성물이 표면에 흡착되어 있는 또다른 물질, 예를 들어 패드 또는 섬유상 흡수제 도포기 구성요소와 상기 장치를 접촉시킴으로써, 또는 조성물이 그 위에 세륨-함유 고체 및/또는 종들을 갖는 표면과 접촉으로 이동하는 임의의 다른 적합한 방법, 수단 또는 기법에 의해 도포된다. 추가로, 배치식 또는 단일 웨이퍼 공정이 본원에서 고려된다. 하드웨어로부터의 제거 적용례에서, 상기 조성물은 임의의 적합한 수단으로, 예를 들어 상기 하드웨어의 표면에 상기 조성물을 분사하거나, 조성물의 정적 또는 동적 체적 내에 상기 하드웨어를 침지시키거나, 상기 하드웨어를 상기 조성물이 표면에 흡착되어 있는 또다른 물질, 예를 들어 패드 또는 섬유상 흡수제 도포기 구성요소로 상기 하드웨어와 접촉함으로써, 또는 조성물이 그 위에 세륨-함유 고체 및/또는 종들을 갖는 하드웨어와 접촉에 의해 이동하는 임의의 다른 적합한 방법, 수단 또는 기법에 의해 도포된다.
목적하는 제거 작용을 달성한 이후에, 상기 조성물은, 이것이 이전에 도포된 장치 또는 하드웨어의 표면으로부터, 예를 들어 세정, 세척 또는 다른 제거 단계(들)에 의해, 목적하고 효과적이도록, 용이하게 제거된다. 예를 들어, 상기 장치 또는 하드웨어는, 탈이온수를 포함하는 세정 용액에 의해 세정될 수 있고/있거나 건조될 수 있다(예를 들어, 스핀-건조, N2, 용매(예를 들어, IPA) 증기-건조 등).
제 4 양태에서, 란타노이드-함유 고체 및/또는 종을 제거하기 위한 조성물이 개시되어 있되, 상기 조성물은 하나 이상의 산 및 하나 이상의 환원제를 포함한다. 하나의 실시양태에서, 상기 조성물은, 하나 이상의 강산, 하나 이상의 환원제, 선택적으로 하나 이상의 강산의 하나 이상의 염, 및 물을 포함하거나, 이들로 구성되거나, 이들로 필수적으로 구성되되, 단 이 조성물에는 실질적으로 불소 이온이 없고; 하나 이상의 강산이 질산이고 하나 이상의 환원제가 과산화수소인 경우, 상기 조성물에는 실질적으로 (i) 붕산 및 (ii) 활성 카복실산 기를 갖는 유기산, 예를 들어 타르타르산, 시트르산, 락트산, 글루콘산 및 에데틱산이 없다. 또다른 실시양태에서, 상기 조성물은, 하나 이상의 약산, 하나 이상의 환원제 및 물을 포함하거나, 이들로 구성되거나, 이들로 필수적으로 구성되되, 단 상기 조성물에는 실질적으로 불소 이온이 없다. 바람직하게, 상기 조성물은 기판 위에 존재하는 금속 게이트 물질을 실질적으로 제거하지 않는다. 상기 물은 바람직하게는 탈이온수이다. 바람직하게, 상기 조성물은 본원에서 기술한 제 1 내지 제 3 양태의 방법에 사용된다.
제 4 양태의 조성물의 pH는 약 0 내지 약 4, 바람직하게 약 1 내지 약 3.5의 범위이다. 티탄 니트라이드 층이 존재하는 경우, 바람직하게 상기 조성물의 pH는 2 이상 4 미만이다.
하나 이상의 강산은 질산, 황산, 과염소산, 염산, 브롬산, 요오드산, 메탄설폰산, 및 이들의 조합으로 구성된 군 중에서 선택된다. 바람직하게, 하나 이상의 강산은 황산, 질산 또는 질산과 황산의 조합을 포함하며, 더욱 보다 바람직하게는 황산을 포함한다. 하나 이상의 강산의 양은, 상기 조성물의 총 중량을 기준으로 약 0.1중량% 내지 약 15중량%의 범위, 바람직하게 약 0.1중량% 내지 약 5중량%의 범위, 가장 바람직하게 약 0.5중량% 내지 약 2.5중량%의 범위이다.
하나 이상의 환원제는, 이로서 한정하는 것은 아니지만, 과산화수소, 아스코브산, 보란 착체, 예를 들어 보란-피리딘, 또는 보란-모폴린, 하이드록실아민 설페이트, 하이드록실아민 하이드로클로라이드, 암모늄 니트라이트, 암모늄 설파이트, 암모늄 수소 설파이트, 하이드라진 설페이트, 하이드라진 하이드로클로라이드, 암모늄 수소 설파이드, 다이에틸 말로네이트, 하이드로퀴논, 암모늄 메타바이설파이트, 폴리페논 60, 글루코스, 암모늄 시트레이트, 수소, 포름산, 옥살산, 아세트알데하이드, 요오드화 수소, 암모늄 포스파이트, 암모늄 수소 포스파이트, 차아인산 및 이들의 조합을 포함한다. 전술한 "아스코브산"은 아스코브산 자체(환원된 형태) 뿐만 아니라 디하이드로아스코브산(산화된-형태), 자일로아스코브산, 아라보-아스코브산, L-이성질체 및 D-이성질체 둘다인 광학 이성질체, 및 아스코브산의 에스터를 지칭한다. 바람직하게, 하나 이상의 환원제는 아스코브산 또는 과산화수소를, 바람직하게는 아스코브산을 포함한다. 하나 이상의 환원제의 양은 조성물의 총 중량을 기준으로 바람직하게는 약 0.1중량% 내지 약 10중량%의 범위, 바람직하게 약 0.1중량% 내지 약 5중량%의 범위, 가장 바람직하게는 약 0.1중량% 내지 약 2중량%의 범위이다.
존재하는 경우, 하나 이상의 강산의 염은, 하나 이상의 강산의 짝염기의, 나트륨, 칼륨, 테트라메틸암모늄 또는 바람직하게는 암모늄의 염에 해당한다. 예를 들어, 강산이 황산을 포함하면, 하나 이상의 강산의 염은 나트륨 설페이트, 칼륨 설페이트, 테트라메틸암모늄 설페이트, 암모늄 설페이트 등일 수 있다. 존재하는 경우, 하나 이상의 강산의 염의 양은 조성물의 총 중량을 기준으로 바람직하게는 약 0.1 내지 약 10중량%의 범위, 바람직하게 약 0.5 내지 약 5중량%의 범위이다.
따라서, 조성물이 하나 이상의 강산, 하나 이상의 환원제, 선택적으로 하나 이상의 강산의 하나 이상의 염, 및 물을 포함하거나, 이들로 구성되거나, 이들로 필수적으로 구성되되, 단 상기 조성물에는 실질적으로 불소 이온이 없고; 하나 이상의 강산이 질산이고 하나 이상의 환원제가 과산화수소인 경우, 상기 조성물에는 실질적으로 (i) 붕산 및 (ii) 활성 카복실산 기를 갖는 유기산, 예를 들어 타르타르산, 시트르산, 락트산, 글루콘산 및 에데틱산이 없는 조성물의 구성성분은, 하기와 같은 양으로 존재한다:
Figure 112013072318391-pct00001
본 발명의 개시내용의 목적을 위해서, "약산"의 pKa는 바람직하게는 약 1.5 내지 약 4의 범위이다. 약산은, 이로서 한정하는 것은 아니지만, 아질산, 아인산, 수소 바이설페이트, 수소 셀레나이트, 인산, 시안산, 포름산, 글리세르산, 글리콜산, 글리옥실산, 락트산, 피루브산, 만델산, 숙신산, 말론산, 및 이들의 조합을 포함한다. 바람직하게, 하나 이상의 약산은 포름산을 포함한다. 하나 이상의 약산의 양은 상기 조성물의 총 중량을 기준으로, 바람직하게는 약 0.1중량% 내지 약 15중량%의 범위, 바람직하게 약 0.1중량% 내지 약 5중량%의 범위, 가장 바람직하게 약 1중량% 내지 약 5중량%의 범위이다.
따라서, 조성물이 하나 이상의 강산, 하나 이상의 환원제 및 물을 포함하거나, 이들로 구성되거나, 이들로 필수적으로 구성되되, 단 상기 조성물에는 실질적으로 불소 이온이 없는, 조성물의 구성성분들은 하기와 같은 양으로 존재한다:
Figure 112013072318391-pct00002
바람직한 실시양태에서, 제 4 양태의 조성물은 질산, 아스코브산 및 물을 포함하거나, 이들로 구성되거나, 이들로 필수적으로 구성된다. 또다른 실시양태에서, 제 4 양태의 조성물은 황산, 아스코브산 및 물을 포함하거나, 이들로 구성되거나, 이들로 필수적으로 구성된다. 여전히 또다른 실시양태에서, 제 4 양태의 조성물은 포름산, 아스코브산 및 물을 포함하거나, 이들로 구성되거나, 이들로 필수적으로 구성된다. 제 4 양태의 여전히 또다른 실시양태는, 말론산, 아스코브산 및 물을 포함하거나, 이들로 구성되거나, 이들로 필수적으로 구성된 조성물이다. 또다른 실시양태에서, 제 4 양태의 조성물은, 황산, 암모늄 설페이트, 아스코브산 및 물을 포함하거나, 이들로 구성되거나, 이들로 필수적으로 구성된다. 각각의 실시양태에서, 상기 조성물에는 실질적으로 불소 이온이 없다.
제 4 양태의 조성물은 그 내부에 용해된, 하나 이상의 환원된 란타노이드 종, 예를 들어 세륨(III) 종을 추가로 포함할 수 있다. 따라서, 또다른 실시양태에서, 상기 조성물은 하나 이상의 강산, 하나 이상의 환원제, 하나 이상의 환원된 란타노이드 종, 선택적으로 하나 이상의 강산의 하나 이상의 염, 및 물을 포함하되, 단 상기 조성물에는 실질적으로 불소 이온이 없고; 하나 이상의 강산이 질산이고 하나 이상의 환원제가 과산화수소인 경우, 상기 조성물에는 실질적으로 (i) 붕산 및 (ii) 활성 카복실산을 갖는 유기산, 예를 들어 타르타르산, 시트르산, 락트산, 글루콘산 및 에데틱산이 없다. 여전히 또다른 실시양태에서, 상기 조성물은 하나 이상의 약산, 하나 이상의 환원제, 하나 이상의 환원된 란타노이드 종, 및 물을 포함하거나, 이들로 구성되거나, 이들로 필수적으로 구성되되, 단 상기 조성물에는 실질적으로 불소 이온이 없다.
사용 전에, 상기 조성물의 농축된 형태를 희석하는 것이 일반적인 관행임을 알 것이다. 예를 들어, 상기 조성물은, 하나 이상의 강산, 하나 이상의 환원제, 선택적으로 하나 이상의 강산의 하나 이상의 염 및 물을 포함하는 보다 농축된 형태로 제조되되, 단 상기 조성물에는 실질적으로 불소 이온이 없고; 하나 이상의 강산이 질산이고 하나 이상의 환원제가 과산화수소이면, 상기 조성물에는 실질적으로 (i) 붕산, 및 (ii) 활성 카복실산 기를 갖는 유기산, 예를 들어 타르타르산, 시트르산, 락트산, 글루콘산 및 에데틱산이 없고, 팹(fab)에서의 사용 전 및/또는 사용 중에, 제조사에서 물로 희석된다. 또다른 실시양태에서, 상기 조성물은 하나 이상의 약산, 하나 이상의 환원제, 하나 이상의 환원된 란타노이드 종 및 물을 포함하거나, 이들로 구성되거나, 이들로 필수적으로 구성되되, 단 상기 조성물에는 실질적으로 불소 이온이 없고, 따라서 팹에서의 사용 전 및/또는 사용 중에, 제조사에서 물로 희석된다. 희석 비율은 약 0.1부의 희석액:1부의 조성물의 농축액 내지 약 100부의 희석액:1부의 조성물의 농축액의 범위일 것이다.
본 발명의 조성물은, 개별적인 성분들을 단순히 첨가하고 균일한 상태까지 혼합함으로써 용이하게 배합된다. 게다가, 상기 조성물은 단일-포장 배합물로서 또는 사용시 또는 그 전에 혼합되는 다중-파트(multi-part) 배합물일 수 있고, 바람직하게는 다중-파트 배합물이다. 다중-파트 배합물의 개별적인 파트들은 도구에서, 또는 혼합 영역/대역, 예를 들어 상기 도구의 상류인 인라인 혼합기 또는 저장 탱크에서 혼합될 수 있다. 다중-파트 배합물의 다양한 파트들은, 서로 혼합되는 경우 목적하는 조성물을 형성하는 성분/구성요소의 임의의 조합을 함유할 수 있다. 개별적인 성분들의 농도는 조성물의 구체적인 다양한 조성물에서, 즉 보다 희석되거나 보다 농축된 조성물에서 폭넓게 변할 수 있고, 본원에서 기술한 조성물은 다양하게 및 선택적으로 본원의 개시내용과 일치하는 성분들의 임의의 조합을 포함하거나, 이들로 구성되거나, 이들로 필수적으로 구성된다.
따라서, 제 5 양태는, 하나 이상의 용기에서, 본원에서 기술한 조성물의 형태에 부합하는 하나 이상의 성분들을 포함하는 키트에 관한 것이다. 바람직하게, 상기 키트는, 팹에서 또는 사용시 물과 혼합하기 위해, 하나 이상의 용기에, 하나 이상의 강산, 하나 이상의 환원제, 선택적으로 하나 이상의 강산의 하나 이상의 염, 및 선택적으로 물을 포함한다. 선택적으로, 상기 키트의 용기는, 팹 또는 사용시 물 및/또는 산화제와 혼합되도록, 하나 이상의 약산, 하나 이상의 환원제 및 선택적으로 물을 포함할 수 있다. 상기 키트의 용기는 상기 제거 조성물을 저장 및 수송하는데 적합해야만 하며, 예로 나우팩(NOWPak, 등록상표) 용기(어드밴스드 테크놀로지 머티리얼스 인코포레이티드(Advanced Technology Materials, Inc.), 미국 코넥티커트주 덴부리 소재)이다. 조성물의 성분들을 함유하는 하나 이상의 용기는, 바람직하게는, 혼합 및 분배(dispense)하기 위해 유체 연통되는 상기 하나 이상의 용기에 성분들을 데려오기 위한 수단을 포함한다. 예를 들어, 나우팩(등록상표) 용기를 참고하면, 상기 하나 이상의 용기 내 라이너의 외측에 가스 압력을 적용하여 라이너의 내용물 중 적어도 일부가 배출되고 따라서 혼합 및 분배하기 위해 유체 연통될 수 있도록 한다. 다르게는, 통상적인 가압가능한 용기의 헤드 스페이스에 적용될 수 있거나, 유체 연통을 가능하게 하는 펌프에 사용될 수 있다. 추가로, 상기 시스템은 바람직하게는 혼합된 제거 조성물을 공정 도구에 분배하기 위한 분배 포트를 포함한다.
실질적으로 화학적으로 불활성이고, 불순물-부재인 가요성이고 탄력있는 중합체 필름 물질, 예를 들어 고 밀도 폴리에틸렌이, 상기 하나 이상의 용기를 위한 라이너를 제작하기 위해서 바람직하게 사용된다. 바람직한 라이너 물질은, 공압출 또는 배리어 층을 요구하지 않으면서, 또한, 라이너 내에 배치된 성분을 위한 순도 요구사항에 부정적으로 영향을 미칠 수 있는, 임의의 안료, UV 억제제 또는 가공화제 없이 가공된다. 바람직한 라이너 물질의 목록은, 버진(첨가제-부재) 폴리에틸렌, 버진 폴리테트라플루오로에틸렌(PTFE), 폴리프로필렌, 폴리우레탄, 폴리비닐리덴 클로라이드, 폴리비닐클로라이드, 폴리아세탈, 폴리스티렌, 폴리아크릴로니트릴, 폴리부틸렌 등을 포함하는 필름을 포함한다. 이러한 라이너 물질의 바람직한 두께는 약 5밀(0.005인치) 내지 약 30밀(0.030인치), 예를 들어 20밀(0.020인치)이다.
키트를 위한 용기와 관련하여, 하기 특허 및 특허출원의 개시내용이 이들의 각각의 전체 내용이 본원에서 참고로 인용된다: 어드밴스드 테크놀로지 머티리얼스 인코포레이티드의 이름으로, 2008년 5월 9일자로 출원된, "초순수 액체 내 입자의 발생을 최소화하기 위한 장치 및 방법"을 제목으로 하는 미국특허 제 7,188,644 호; "반환가능하고 재사용가능한 백-인-드럼 유체 저장 및 분배 용기 시스템"을 제목으로 하는 미국특허 제 6,698,619 호; 및 "물질 혼합 및 분배를 위한 시스템 및 방법"을 제목으로 하는 국제특허 공개공보 제 PCT/US08/63276 호.
본 발명의 제 6 양태는, 에칭 후 잔류물, 애슁 후 잔류물, 화학적 기계적 폴리슁 후 잔류물, 및 마이크로 전자 장치 제작 공정의 다른 오염물 및/또는 부산물의 제거 방법에 관한 것으로, 상기 방법은 그 위의 상기 잔류물, 오염물 및/또는 부산물을 갖는 마이크로 전자 장치의 표면을, 제 4 양태의 조성물과 접촉시켜, 실질적으로 상기 잔류물, 오염물 및/또는 부산물을 상기 표면으로부터 제거하는 것을 포함한다.
또다른 양태는, 본 발명의 방법에 따라 제조된 개선된 마이크로 전자 장치 및 이러한 마이크로 전자 장치를 함유하는 제품에 관한 것이다.
여전히 추가의 양태는, 마이크로 전자 장치를 포함하는 제품의 제조 방법에 관한 것으로, 상기 방법은 마이크로 전자 장치의 표면을, 상기 표면으로부터 란타노이드-함유 고체 및/또는 종들을 실질적으로 용해시키기에 충분한 시간 동안 조성물과 접촉시키는 단계, 및 상기 마이크로 전자 장치를 상기 제품에 도입하는 단계를 포함한다. 바람직하게, 상기 란타노이드는 세륨을 포함한다.
또다른 양태는, 란타노이드-함유 고체 및/또는 종을 포함하는 조성물 및 표면을 포함하는 제조 물품에 관한 것으로, 여기서 상기 조성물은 하나 이상의 강산, 하나 이상의 환원제, 선택적으로 하나 이상의 강산의 하나 이상의 염 및 물을 포함하거나, 이들로 구성되거나, 이들로 필수적으로 구성되되, 단 상기 조성물에는 실질적으로 불소 이온이 없고; 하나 이상의 강산이 질산이고 하나 이상의 환원제가 과산화수소인 경우, 상기 조성물에는 실질적으로 (i) 붕산 및 (ii) 활성 카복실산 기를 갖는 유기산, 예를 들어 타르타르산, 시트르산, 락트산, 글루콘산 및 에데틱산이 없다. 다르게는, 상기 조성물은 하나 이상의 약산, 하나 이상의 환원제 및 물을 포함하거나, 이들로 구성되거나 이들로 필수적으로 구성되되, 단 상기 조성물에는 불소 이온이 실질적으로 없다.
또다른 양태는, 표면으로부터 포토레지스트를 제거하기 위한 방법에 관한 것으로, 상기 방법은 상기 포토레지스트를, 세륨을 포함하는 용액와 접촉시켜 실질적으로 포토레지스트를 제거하는 단계, 및 상기 표면을, 상기 표면에 존재하는 란타노이드-함유 종을 실질적으로 제거하는 조성물과 접촉시키는 단계를 포함한다. 상기 용액과 포토레지스트를 접촉시키기 전에, 상기 포토레지스트는 평방센티미터 당 약 5x1014 초과로 이온 주입되어 있고/있거나 이온은, 포토레지스트에 충격을 가하기 전에, 평균 에너지가 약 5000 전자볼트(5KeV) 초과인 것으로 가정된다. 바람직하게, 상기 표면은 TiN를 포함한다. 바람직하게, 상기 레지스트 또는 포토레지스트를 제거하기 위해서 사용되는 용액은 세륨 암모늄 니트레이트를 포함한다. 바람직하게, 란타노이드-함유 종을 실질적으로 제거하는 조성물은, 본원에서 기술한 제 4 양태의 조성물 중 하나이다.
또다른 양태에서, 마스킹 물질의 제거 방법이 개시되어 있고, 상기 방법은, 마스킹 물질을, 세륨을 포함하는 용액과 접촉시키는 단계, 및 상기 표면을, 표면 위에 존재하는 란타노이드-함유 종을 실질적으로 제거하는 조성물과 접촉시키는 단계를 포함하되, 상기 마스킹 물질은 표면의 적어도 제 1 부 위에 형성된 층 내부를 구성하고, 상기 마스킹 물질은 도판트 물질의 제 1 부분이 상기 표면의 적어도 제 1 부분과 접촉하는 것을 차단하고, 도판트 물질의 제 1 부분은 마스킹 물질로 주입된 이온을 포함한다. 마스킹 물질에 주입된 이온은 붕소; 붕소 트라이플루오라이드; 인듐; 갈륨; 탈륨; 게르마늄; 비스무쓰; 비소; 인; 제논 및 안티몬 중 하나 이상을 포함할 수 있다. 바람직하게, 상기 레지스트 또는 포토레지스트를 제거하기 위해 사용된 용매는 세륨 암모늄 니트레이드를 포함한다. 바람직하게, 란타노이드-함유 종을 실질적으로 제거하는 조성물은 본원에서 전술한 제 4 양태의 조성물 중 하나이다.
여전히 또다른 양태는, 마이크로 제작 하드웨어의 표면으로부터 망간 옥사이드 입자를 제거하는 것에 관한 것이며, 상기 방법은 상기 하드웨어의 표면을, 상기 표면으로부터 망간 옥사이드 입자를 실질적으로 제거하는 조성물과 접촉시키는 단계를 포함한다. 망간 옥사이드 입자는 퍼망가네이트 염으로서 존재하거나 동일방응계에서 발생하는지 여부에 무관하게 퍼망가네이트를 포함하는 조성물의 부산물이되, 여기서 망간 옥사이드 입자는 마이크로 제작 하드웨어의 표면 위 뿐만 아니라 웨이퍼 위에 침착된다. 본원에서 기술된 조성물은, 란탄-함유 종을 제거하기 위해 본원에서 기술된 공정 조건하에서 이러한 망간-함유 침전물을 용해하는데 효과적임이 놀랍게도 발견되었다.
본 발명의 특징 및 장점은, 하기 비-제한적인 예에 의해 보다 충분히 설명되지만, 모든 부 및 백분률은 다른 언급이 없는 한 중량 기준이다.
실시예 1
Ce(IV)-함유 침전물을 용해하는 다양한 용액의 능력을 테스트하기 위해서, 20시간 동안 70℃에서 탈이온수에 20% 세륨 암모늄 니트레이트(CAN) 용액을 가열함으로써 침전물을 발생시켰다. 실질적인 양의 황색 침전물이 형성되고 병의 바닥에 침강하였다. 용액을 따르고, 잔류하는 CAN 용액에 침전물의 슬러리를 남겼다. 그다음, 0.05 내지 0.1 ml의 슬러리의 용해를, 3 내지 6ml의 물, 일부 산 및 일부 환원제의 존재하에서 테스트 튜브에서 상온에서 테스트하였다. 0.5 내지 2분 동안의 캡핑된 테스트 튜브에서 혼합물을 격렬하게 진탕하고 그다음 필요에 따라 주기적으로 진탕하였다. 1분 이내에 용액이 무색이거나 투명하다면, 용해는 성공적인 것으로 생각되었지만; 주변보다 높은 온도 및/또는 보다 긴 공정 시간은 허용가능함이 이해되어야 한다.
용액 1: 0.2 g 아스코브산, 4 g 희석된 HNO3(1중량부의 농축된 HNO3: 3중량부의 물, 이후에 "1:3 HNO3 용액"), 0.1 mL 슬러리. 1분 미만의 시간 동안 원래의 진한 색상 및 흐림이 사라졌다. 2개의 부가적인 0.1 mL 슬러리 첨가물은 물론 신속하게 용해되었다.
용액 2: 0.2 g 아스코브산, 4 g 물, 0.1 mL 슬러리. 어떠한 분명한 효과도 없다. 1 g의 1:3 HNO3를 첨가하고 진탕한 후, 흐림 및 색상이 빠르게 사라졌다.
용액 3: 0.1 g 아스코브산, 4 g 물, 1 g 1:3 HNO3, 0.1 mL 슬러리. 모든 흐림 및 색상이 80초 이내에 사라졌다.
용액 4: 0.1 g 아스코브산, 3.6 g 물, 0.5 g 4 M H2SO4, 0.1 mL 슬러리. 모든 흐림 및 색상이 20초 이내에 사라졌다.
용액 5: 0.11 g 아스코브산, 4.9 g 물, 0.1 g 95% H2SO4, 0.1 mL 슬러리. 모든 흐림 및 색상이 40초 이내에 사라졌다.
용액 6: 0.05 g 아스코브산, 4.9 g 물, 0.1 g 95중량% H2SO4, 0.05mL 슬러리. 모든 흐림 및 색상이 30초 이내에 사라졌다. 추가로 0.05 mL 슬러리를 첨가하자, 모든 흐림 및 색상이 40초 이내에 사라졌다.
용액 7: 0.05 g 아스코브산, 2 g 1M HCl, 3 g 물, 0.05 mL 슬러리. 진한 갈색 색상이 서서히 사라지고 4분 후 투명해졌다.
용액 8: 0.060 g 아스코브산, 약 5 g 물, 0.110 g 95% H2SO4, 0.189 g (NH4)2SO4, 총 6g이 되기 위한 물, 및 그다음 0.1 mL 슬러리. 어떠한 진한 색상도 관찰되지 않았지만 흐림은 약 1분 후 사라졌다. 이러한 혼합물이 일부 NH4HSO4, 일부 (NH4)2SO4임에 주목한다.
용액 9: 0.055 g 아스코브산, 5.9 g 물, 0.24 g 95% 포름산, 0.06 mL 슬러리. 모든 흐림 및 색상이 30초의 진탕 이후에 사라졌다.
용액 10: 5.9 g 물, 0.24 g 95% 포름산, 0.06 mL 슬러리. 약 3분 이내에 어떠한 유의적인 용해도 없었지만, 약 50mg의 아스코브산의 첨가는 신속한 용해를 발생시켰다(약 30초).
용액 11: 0.05 g 아스코브산, 0.25 g 말론산, 4.7 g 물, 0.05 mL 슬러리. 상기 용액은 초기에는 연갈색이었지만, 약 7분 후 투명해졌다.
이론적으로 구속하고자 하는 것은 아니지만, 이러한 실시예는 아스코브산 보다 강한 산의 존재가, Ce(IV)-함유 입자의 신속한 용해에 유용함을 제안한다. 불소가 없는 용액은, 표면에 손상 없이 상온에서조차 Ce(IV)-함유 입자를 용해시키는데 효과적이었다.
본 발명은 예시적인 실시양태와 특징부를 참고하여 다양하게 개시되어 있지만, 전술한 실시양태 및 특징부는 본 발명을 한정하고자 하는 것이 아니라는 점, 및 다른 변종, 변형 및 다른 실시양태는 본 발명의 개시내용에 기초하여 당분야의 숙련자들에게 제한될 것이라는 점이 명백할 것이다. 따라서, 본 발명은 후술되는 특허청구범위의 진의 및 범주에 속하는 한, 이러한 모든 변종, 변형 및 대안의 실시양태를 포괄하는 것으로 유추되어야 한다.

Claims (23)

  1. 마이크로 전자 장치 또는 마이크로 제작 하드웨어의 표면으로부터 란타노이드-함유 고체 또는 종들을 제거하는 방법으로서,
    a) 상기 표면에 질산, 황산, 과염소산, 염산, 브롬산, 요오드산, 메탄설폰산, 및 이들의 조합으로부터 선택되는 하나 이상의 강산, 하나 이상의 환원제, 하나 이상의 상기 강산의 염 및 물을 포함하되 불소 이온을 2 중량% 미만으로 함유하는 조성물을 접촉시키고,
    b) 상기 표면 위에 존재하는 란타노이드-함유 고체 또는 종들을, 조성물과의 접촉 이전에 비해 입자의 체적 기준으로 95% 이상 용해하는 것을 포함하고,
    상기 하나 이상의 환원제는 과산화수소, 아스코브산, 보란-피리딘, 보란-모폴린, 하이드록실아민 설페이트, 하이드록실아민 하이드로클로라이드, 암모늄 니트라이트, 암모늄 설파이트, 암모늄 수소 설파이트, 하이드라진 설페이트, 하이드라진 하이드로클로라이드, 암모늄 수소 설파이드, 다이에틸 말로네이트, 하이드로퀴논, 암모늄 메타바이설파이트, 폴리페논 60, 글루코스, 암모늄 시트레이트, 수소, 포름산, 옥살산, 아세트알데하이드, 요오드화 수소, 암모늄 포스파이트, 암모늄 수소 포스파이트, 차아인산 및 이들의 조합을 포함하고,
    상기 하나 이상의 환원제가 과산화수소를 포함하는 경우 상기 조성물에는 카복실산 기를 갖는 유기산이 없는, 방법.
  2. 제 1 항에 있어서,
    상기 란타노이드-함유 고체 또는 종이 상기 표면 위에 존재하는 입자형 물질인, 방법.
  3. 제 2 항에 있어서,
    상기 표면 대비 상기 란타노이드-함유 고체 또는 종에 대한 상기 조성물의 선택도가 적어도 100:1인, 방법.
  4. 제 1 항에 있어서,
    상기 란타노이드-함유 고체 또는 종이 특성상 이온 또는 분자이고 마이크로 전자 장치 또는 마이크로 제작 하드웨어의 표면에 흡착되어 있는, 방법.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 란타노이드-함유 고체 또는 종이 란탄, 세륨, 프라세오디뮴, 네오디뮴, 프로메티움, 사마륨, 유로퓸, 가돌리늄, 테르븀, 디스프로시움, 홀뮴, 에르븀, 툴륨, 이테르븀, 및 루테튬으로 구성된 군 중에서 선택된 하나 이상의 종을 포함하는, 방법.
  6. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 란타노이드-함유 고체 또는 종이 세륨을 포함하는, 방법.
  7. 제 6 항에 있어서,
    상기 란타노이드-함유 고체 또는 종이 Ce(IV)를 포함하는, 방법.
  8. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    온도가 10초 내지 60분 범위의 시간 동안 상온 내지 100℃의 범위인, 방법.
  9. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    접촉 단계가, 상기 장치 또는 하드웨어의 표면에 상기 조성물을 분사하는 단계, 상기 조성물의 정적 또는 동적 체적 내에 상기 장치를 침지시키는 단계, 또는 상기 장치 또는 하드웨어를 상기 조성물이 표면에 흡착되어 있는 또 다른 물질에 접촉시키는 단계를 포함하는, 방법.
  10. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 방법이 마이크로 전자 장치 또는 마이크로 제작 하드웨어의 표면으로부터 상기 조성물을 세정하는 단계를 추가로 포함하는, 방법.
  11. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 방법이, 란타노이드-함유 고체 또는 종을, 조성물과의 접촉 이전에 비해 입자의 체적 기준으로 95% 이상 용해시키는 조성물을 상기 표면에 접촉시키는 단계 전에, 상기 마이크로 전자 장치의 표면을, 상기 표면으로부터 레지스트를 제거하기 위해, 란타노이드 원소의 염 또는 배위 착체 하나 이상을 포함하는 레지스트 제거 조성물과 접촉시키는 단계를 추가로 포함하는, 방법.
  12. 제 11 항에 있어서,
    상기 레지스트 제거 조성물이 세륨을 포함하는, 방법.
  13. 제 11 항에 있어서,
    상기 레지스트 제거 조성물이 세륨 암모늄 니트레이트를 포함하는, 방법.
  14. 삭제
  15. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 조성물의 pH가 0 내지 4인 범위인, 방법.
  16. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 하나 이상의 환원제가, 아스코브산, 보란-피리딘, 보란-모폴린, 하이드록실아민 설페이트, 하이드록실아민 하이드로클로라이드, 암모늄 니트라이트, 암모늄 설파이트, 암모늄 수소 설파이트, 하이드라진 설페이트, 하이드라진 하이드로클로라이드, 암모늄 수소 설파이드, 다이에틸 말로네이트, 하이드로퀴논, 암모늄 메타바이설파이트, 폴리페논 60, 글루코스, 암모늄 시트레이트, 수소, 포름산, 옥살산, 아세트알데하이드, 요오드화 수소, 암모늄 포스파이트, 암모늄 수소 포스파이트, 차아인산 및 이들의 조합을 포함하는, 방법.
  17. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 하나 이상의 환원제가 아스코브산을 포함하는, 방법.
  18. 삭제
  19. 삭제
  20. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 하나 이상의 강산이 황산을 포함하는, 방법.
  21. 삭제
  22. 삭제
  23. 삭제
KR1020137021096A 2011-01-13 2012-01-12 세륨-함유 용액에 의해 발생된 입자의 제거를 위한 배합물 KR102064487B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161432370P 2011-01-13 2011-01-13
US61/432,370 2011-01-13
PCT/US2012/021069 WO2012097143A2 (en) 2011-01-13 2012-01-12 Formulations for the removal of particles generated by cerium- containing solutions

Publications (2)

Publication Number Publication Date
KR20140043327A KR20140043327A (ko) 2014-04-09
KR102064487B1 true KR102064487B1 (ko) 2020-01-10

Family

ID=46507664

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137021096A KR102064487B1 (ko) 2011-01-13 2012-01-12 세륨-함유 용액에 의해 발생된 입자의 제거를 위한 배합물

Country Status (4)

Country Link
US (2) US20140318584A1 (ko)
KR (1) KR102064487B1 (ko)
TW (1) TWI557223B (ko)
WO (1) WO2012097143A2 (ko)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG10201505535VA (en) 2010-07-16 2015-09-29 Entegris Inc Aqueous cleaner for the removal of post-etch residues
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
CN103249849B (zh) 2010-08-20 2015-11-25 安格斯公司 从电子垃圾回收贵金属和贱金属的可持续方法
WO2012097143A2 (en) * 2011-01-13 2012-07-19 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium- containing solutions
WO2012125401A1 (en) 2011-03-11 2012-09-20 Fujifilm Electronic Materials U.S.A., Inc. Novel etching composition
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
TWI577834B (zh) 2011-10-21 2017-04-11 富士軟片電子材料美國股份有限公司 新穎的鈍化組成物及方法
CN104145324B (zh) 2011-12-28 2017-12-22 恩特格里斯公司 用于选择性蚀刻氮化钛的组合物和方法
US10176979B2 (en) 2012-02-15 2019-01-08 Entegris, Inc. Post-CMP removal using compositions and method of use
KR20150016574A (ko) 2012-05-18 2015-02-12 인티그리스, 인코포레이티드 티타늄 나이트라이드를 포함한 표면에서 포토레지스트를 제거하는 조성물 및 방법
US8709277B2 (en) 2012-09-10 2014-04-29 Fujifilm Corporation Etching composition
US9058976B2 (en) * 2012-11-06 2015-06-16 International Business Machines Corporation Cleaning composition and process for cleaning semiconductor devices and/or tooling during manufacturing thereof
KR102118964B1 (ko) 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Iii-v 반도체 물질을 세척하기 위한 조성물 및 이를 사용하는 방법
EP2964725B1 (en) 2013-03-04 2021-06-23 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
JP6723152B2 (ja) 2013-06-06 2020-07-15 インテグリス・インコーポレーテッド 窒化チタンを選択的にエッチングするための組成物及び方法
WO2015017659A1 (en) 2013-07-31 2015-02-05 Advanced Technology Materials, Inc. AQUEOUS FORMULATIONS FOR REMOVING METAL HARD MASK AND POST-ETCH RESIDUE WITH Cu/W COMPATIBILITY
WO2015031620A1 (en) 2013-08-30 2015-03-05 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
WO2015095175A1 (en) 2013-12-16 2015-06-25 Advanced Technology Materials, Inc. Ni:nige:ge selective etch formulations and method of using same
SG11201605003WA (en) 2013-12-20 2016-07-28 Entegris Inc Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
KR102456820B1 (ko) * 2016-12-26 2022-10-19 도쿄엘렉트론가부시키가이샤 기판 처리 방법, 기판 처리 장치, 기판 처리 시스템, 기판 처리 시스템의 제어 장치, 반도체 기판의 제조 방법 및 반도체 기판
KR20210090294A (ko) * 2017-01-18 2021-07-19 엔테그리스, 아이엔씨. 표면으로부터 세리아 입자를 제거하기 위한 조성물 및 방법

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4976810A (en) 1990-03-06 1990-12-11 Kabushiki Kaisha Toshiba Method of forming pattern and apparatus for implementing the same
US20020157199A1 (en) * 2000-05-19 2002-10-31 International Business Machines Corporation Lanthanide oxide dissolution from glass surface
US20030232173A1 (en) 2002-05-31 2003-12-18 Nippon Sheet Glass Co., Ltd. Method for manufacturing glass substrate
US20090133716A1 (en) * 2007-10-29 2009-05-28 Wai Mun Lee Methods of post chemical mechanical polishing and wafer cleaning using amidoxime compositions
WO2010134185A1 (ja) 2009-05-21 2010-11-25 ステラケミファ株式会社 洗浄液及び洗浄方法

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5981454A (en) * 1993-06-21 1999-11-09 Ekc Technology, Inc. Post clean treatment composition comprising an organic acid and hydroxylamine
US5648288A (en) 1992-03-20 1997-07-15 Siliconix Incorporated Threshold adjustment in field effect semiconductor devices
US5545576A (en) 1994-04-28 1996-08-13 Casio Computer Co., Ltd. Method for manufacturing a thin film transistor panel
JP2907144B2 (ja) 1995-12-11 1999-06-21 日本電気株式会社 酸誘導体化合物、高分子化合物、それを用いた感光性樹脂組成物およびパターン形成方法
AU1670597A (en) * 1996-02-07 1997-08-28 Hitachi Chemical Company, Ltd. Cerium oxide abrasive, semiconductor chip, semiconductor device, process for the production of them, and method for the polishing of substrates
US5993685A (en) 1997-04-02 1999-11-30 Advanced Technology Materials Planarization composition for removing metal films
US5972134A (en) 1997-10-02 1999-10-26 Benteler Ag Manufacture of a metallic molded structural part
JP4226216B2 (ja) 1998-05-18 2009-02-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 半導体基板用の剥離用組成物
US5972124A (en) * 1998-08-31 1999-10-26 Advanced Micro Devices, Inc. Method for cleaning a surface of a dielectric material
US6162565A (en) 1998-10-23 2000-12-19 International Business Machines Corporation Dilute acid rinse after develop for chrome etch
US6395194B1 (en) 1998-12-18 2002-05-28 Intersurface Dynamics Inc. Chemical mechanical polishing compositions, and process for the CMP removal of iridium thin using same
JP4224651B2 (ja) 1999-02-25 2009-02-18 三菱瓦斯化学株式会社 レジスト剥離剤およびそれを用いた半導体素子の製造方法
US6723691B2 (en) 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6492308B1 (en) 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
JP3956587B2 (ja) * 1999-11-18 2007-08-08 Hoya株式会社 磁気ディスク用ガラス基板の洗浄方法
JP3645144B2 (ja) 2000-02-24 2005-05-11 Necエレクトロニクス株式会社 半導体装置の製造方法
US6498131B1 (en) * 2000-08-07 2002-12-24 Ekc Technology, Inc. Composition for cleaning chemical mechanical planarization apparatus
JP2002064101A (ja) 2000-08-21 2002-02-28 Casio Comput Co Ltd クロム層を有する配線の形成方法
US6599370B2 (en) 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6451687B1 (en) * 2000-11-24 2002-09-17 Chartered Semiconductor Manufacturing Ltd. Intermetal dielectric layer for integrated circuits
KR100399417B1 (ko) * 2001-01-08 2003-09-26 삼성전자주식회사 반도체 집적 회로의 제조 방법
JP2003017465A (ja) 2001-06-29 2003-01-17 Mitsubishi Electric Corp 半導体装置の製造方法および半導体装置
US6692546B2 (en) 2001-08-14 2004-02-17 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US7029373B2 (en) 2001-08-14 2006-04-18 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6800218B2 (en) 2001-08-23 2004-10-05 Advanced Technology Materials, Inc. Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same
JP3894760B2 (ja) 2001-09-26 2007-03-22 Ntn株式会社 等速自在継手
US6698619B2 (en) 2002-05-03 2004-03-02 Advanced Technology Materials, Inc. Returnable and reusable, bag-in-drum fluid storage and dispensing container system
US7188644B2 (en) 2002-05-03 2007-03-13 Advanced Technology Materials, Inc. Apparatus and method for minimizing the generation of particles in ultrapure liquids
JP2004077874A (ja) 2002-08-20 2004-03-11 Clariant (Japan) Kk 層間絶縁膜用感光性組成物及びパターン化層間絶縁膜の形成方法
JP4040425B2 (ja) 2002-10-17 2008-01-30 Necエレクトロニクス株式会社 半導体装置の製造方法
US7300601B2 (en) 2002-12-10 2007-11-27 Advanced Technology Materials, Inc. Passivative chemical mechanical polishing composition for copper film planarization
JP4096810B2 (ja) 2003-01-28 2008-06-04 セイコーエプソン株式会社 凹部付き基板の製造方法、凹部付き基板、マイクロレンズ基板、透過型スクリーンおよびリア型プロジェクタ
EP1466699A1 (en) 2003-04-09 2004-10-13 JSR Corporation Abrasive pad, method and metal mold for manufacturing the same, and semiconductor wafer polishing method
US7736405B2 (en) 2003-05-12 2010-06-15 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for copper and associated materials and method of using same
JP2006017843A (ja) 2004-06-30 2006-01-19 Hitachi Displays Ltd 表示装置の製造方法
US7923423B2 (en) 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
US7368388B2 (en) * 2005-04-15 2008-05-06 Small Robert J Cerium oxide abrasives for chemical mechanical polishing
CN101511607A (zh) 2005-06-06 2009-08-19 高级技术材料公司 整合的化学机械抛光组合物及单台板处理方法
WO2006138235A2 (en) 2005-06-13 2006-12-28 Advanced Technology Materials, Inc. Compositions and methods for selective removal of metal or metal alloy after metal silicide formation
KR20080033514A (ko) 2005-08-05 2008-04-16 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속막 평탄화를 위한 고 처리량의 화학적 기계적 연마조성물
KR101444468B1 (ko) 2005-10-05 2014-10-30 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
KR100816651B1 (ko) 2006-03-31 2008-03-27 테크노세미켐 주식회사 제올라이트를 함유하는 구리 화학 기계적 연마 조성물
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
US20080076688A1 (en) 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US20100087065A1 (en) 2007-01-31 2010-04-08 Advanced Technology Materials, Inc. Stabilization of polymer-silica dispersions for chemical mechanical polishing slurry applications
TW200916183A (en) 2007-05-09 2009-04-16 Advanced Tech Materials Systems and methods for material blending and distribution
WO2009032460A1 (en) 2007-08-02 2009-03-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of residue from a microelectronic device
EP2190967A4 (en) 2007-08-20 2010-10-13 Advanced Tech Materials COMPOSITION AND METHOD FOR REMOVING AN ION IMPLANTATION PHOTORESIST
WO2009058274A1 (en) * 2007-10-29 2009-05-07 Ekc Technology, Inc. Chemical mechanical polishing and wafer cleaning composition comprising amidoxime compounds and associated method for use
KR20100082012A (ko) 2007-11-16 2010-07-15 이케이씨 테크놀로지, 인코포레이티드 반도체 기판으로부터의 금속 하드 마스크 에칭 잔류물의 제거를 위한 조성물
US8178983B2 (en) 2008-02-22 2012-05-15 Renesas Electronics Corporation Water repellant composition for substrate to be exposed, method for forming resist pattern, electronic device produced by the formation method, treatment method for imparting water repellency to substrate to be exposed, water repellant set for substrate to be exposed, and treatment method for imparting water repellency to substrate to be exposed using the same
US8026200B2 (en) 2008-05-01 2011-09-27 Advanced Technology Materials, Inc. Low pH mixtures for the removal of high density implanted resist
WO2010086745A1 (en) 2009-02-02 2010-08-05 Atmi Taiwan Co., Ltd. Method of etching lanthanum-containing oxide layers
CN102428123B (zh) 2009-05-19 2014-04-23 瓦克化学股份公司 作为消泡剂的改性硅酮聚合物及包含该改性硅酮聚合物的洗涤剂组合物
TW201140254A (en) 2009-12-11 2011-11-16 Advanced Tech Materials Removal of masking material
US8367555B2 (en) * 2009-12-11 2013-02-05 International Business Machines Corporation Removal of masking material
WO2011074601A1 (ja) * 2009-12-17 2011-06-23 昭和電工株式会社 ルテニウム系金属のエッチング用組成物およびその調製方法
SG10201505535VA (en) 2010-07-16 2015-09-29 Entegris Inc Aqueous cleaner for the removal of post-etch residues
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
CN105304485B (zh) 2010-10-06 2019-02-12 恩特格里斯公司 选择性蚀刻金属氮化物的组合物及方法
US9416338B2 (en) 2010-10-13 2016-08-16 Advanced Technology Materials, Inc. Composition for and method of suppressing titanium nitride corrosion
WO2012097143A2 (en) * 2011-01-13 2012-07-19 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium- containing solutions
US20160122696A1 (en) 2013-05-17 2016-05-05 Advanced Technology Materials, Inc. Compositions and methods for removing ceria particles from a surface

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4976810A (en) 1990-03-06 1990-12-11 Kabushiki Kaisha Toshiba Method of forming pattern and apparatus for implementing the same
US20020157199A1 (en) * 2000-05-19 2002-10-31 International Business Machines Corporation Lanthanide oxide dissolution from glass surface
US20030232173A1 (en) 2002-05-31 2003-12-18 Nippon Sheet Glass Co., Ltd. Method for manufacturing glass substrate
US20090133716A1 (en) * 2007-10-29 2009-05-28 Wai Mun Lee Methods of post chemical mechanical polishing and wafer cleaning using amidoxime compositions
WO2010134185A1 (ja) 2009-05-21 2010-11-25 ステラケミファ株式会社 洗浄液及び洗浄方法

Also Published As

Publication number Publication date
US20170338104A1 (en) 2017-11-23
WO2012097143A2 (en) 2012-07-19
TWI557223B (zh) 2016-11-11
KR20140043327A (ko) 2014-04-09
WO2012097143A3 (en) 2013-01-10
US10446389B2 (en) 2019-10-15
TW201235463A (en) 2012-09-01
US20140318584A1 (en) 2014-10-30

Similar Documents

Publication Publication Date Title
KR102064487B1 (ko) 세륨-함유 용액에 의해 발생된 입자의 제거를 위한 배합물
KR102266832B1 (ko) TiN 하드 마스크 및 에치 잔류물 제거
EP3447791B1 (en) Etching solution for selectively removing silicon-germanium alloy from a silicon-germanium/ silicon stack during manufacture of a semiconductor device
TW557420B (en) Resist stripping composition
TWI598430B (zh) 蝕刻組合物及其使用方法
US9678430B2 (en) Composition and process for stripping photoresist from a surface including titanium nitride
EP1080170B1 (en) Cleaning composition and method for removing residues
KR20020007604A (ko) 반도체 기판 또는 lcd 기판의 세정방법
CN105717756A (zh) 具有改进的硅钝化的半水性光致抗蚀剂或半导体制造残余物剥离和清洁组合物
JP2003289060A (ja) 半導体デバイス用基板の洗浄液および洗浄方法
WO2015072550A1 (ja) 変性レジストの剥離液、これを用いた変性レジストの剥離方法および半導体基板製品の製造方法
TWI654340B (zh) Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
WO2011072188A2 (en) Removal of masking material
US8216384B2 (en) Combinatorial approach to the development of cleaning formulations for wet removal of high dose implant photoresist
JP2002016034A (ja) 半導体装置の製造方法、及び半導体装置
US8926758B2 (en) Composition and method for removing photoresist and bottom anti-reflective coating for a semiconductor substrate
US8853081B2 (en) High dose ion-implanted photoresist removal using organic solvent and transition metal mixtures
JP2005189660A (ja) 基板工程用レジスト剥離液
KR20010042461A (ko) 감광성 내식막 및 플라즈마 에칭 잔류물의 제거방법
Bergman et al. Novel methods for wet stripping high dose implanted photoresist using sulfur trioxide
US20140187460A1 (en) Removal of masking material
KR980011992A (ko) 금속막 형성공정 후처리용 세정용액

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E90F Notification of reason for final refusal
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant