KR20020007604A - 반도체 기판 또는 lcd 기판의 세정방법 - Google Patents

반도체 기판 또는 lcd 기판의 세정방법 Download PDF

Info

Publication number
KR20020007604A
KR20020007604A KR1020000040986A KR20000040986A KR20020007604A KR 20020007604 A KR20020007604 A KR 20020007604A KR 1020000040986 A KR1020000040986 A KR 1020000040986A KR 20000040986 A KR20000040986 A KR 20000040986A KR 20020007604 A KR20020007604 A KR 20020007604A
Authority
KR
South Korea
Prior art keywords
substrate
cleaning
semiconductor substrate
cleaning solution
lcd
Prior art date
Application number
KR1020000040986A
Other languages
English (en)
Other versions
KR100366623B1 (ko
Inventor
정승필
장규환
권영민
하상록
Original Assignee
윤종용
삼성전자 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 윤종용, 삼성전자 주식회사 filed Critical 윤종용
Priority to KR10-2000-0040986A priority Critical patent/KR100366623B1/ko
Priority to US09/862,525 priority patent/US6513538B2/en
Priority to JP2001168176A priority patent/JP3789083B2/ja
Publication of KR20020007604A publication Critical patent/KR20020007604A/ko
Application granted granted Critical
Publication of KR100366623B1 publication Critical patent/KR100366623B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3947Liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/36Organic compounds containing phosphorus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Inorganic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Cleaning In General (AREA)
  • Cleaning By Liquid Or Steam (AREA)

Abstract

본 발명은 반도체 기판 또는 LCD 기판의 세정방법에 관한 것으로서, 오염원이 형성되어 있는 반도체 기판 또는 LCD 기판을 킬레이트제를 포함하는 염기성 과산화수소 세정용액으로 처리한 다음, 상기 기판을 수소 가스 플라즈마 및 불소 함유 가스로 처리하고, 마지막으로 상기 기판을 어닐링하는 반도체 기판 또는 LCD 기판의 세정방법에 관한 것이다. 본 발명에 따른 일련의 세정방법을 이용하면 반도체 기판 또는 LCD 기판의 제조 공정중 발생할 수 있는 유기성 오염물, 파티클, 금속성 오염물 등과 같은 각종 오염물질을 제거할 수 있음은 물론 자연 산화막 등을 하부막의 손상 없이 효율적으로 제거할 수 있다는 잇점이 있다.

Description

반도체 기판 또는 LCD 기판의 세정방법{Method for cleaning semiconductor substrate or LCD substrate}
본 발명은 반도체 기판 또는 LCD 기판의 세정방법에 관한 것으로서, 보다 상세하게는 금속 오염물, 파티클, 유기 오염물 및 자연 산화막을 제거할 수 있음은 물론 반도체 기판 또는 LCD 기판 표면의 수소 패시베이션 처리도 가능한 반도체 기판 또는 LCD 기판의 세정방법에 관한 것이다.
반도체 디바이스와 LCD 디바이스의 고집적화가 진행될수록 제조 공정 중에서 발생하는 오염 및 환경성 오염을 제어하는 일이 제조 수율 및 제품의 품질 관리를 위해서 필수적인 것은 당연하다.
반도체 기판 또는 LCD 기판의 표면 오염은 제조 공정의 개시에서 완료에 이르기까지의 전 공정에 걸쳐서 발생할 수 있으며 그 오염원의 종류 또한 파티클, 유기물, 금속성 물질, 자연 산화막 등의 산화막, 손상막질 등으로 매우 다양하기 때문에 이들을 동시에 그리고 효율적으로 제거하는 것은 매우 어렵다.
현재, 가장 광범위하게 사용되고 있는 세정방법은 1970년대 미국 RCA사에서 개발한 공정으로서 APM (Ammonia hydrogen peroxide mixture) 공정, SPM (sulfuric acid hydrogen peroxide mixture) 공정 및 HPM (hydrochloric acid hydrogen peroxide mixture) 공정으로 이루어진 일련의 습식 세정공정이다. 여기서, APM 공정, SPM 공정 및 HPM 공정은 각각 파티클, 유기오염물 및 금속 오염물을 효과적으로 제거하는 공정이다.
그러나, 이러한 일련의 세정공정은 각기 문제점도 가지고 있는데, 예를 들면 APM 공정은 파티클과 유기 오염물 제거능력은 우수한 반면 금속 오염물 제거능력은 취약하며, HPM 공정은 금속 오염물 제거력은 우수하지만 심한 부식성과 독성이 있으며 파티클 제거에는 취약하다는 문제점이 있다.
따라서, 목적하는 세정효과를 얻기 위해서는 이들 공정을 적절하게 조합하거나 변형하여 적용할 필요가 있다.
그 일예로서, SPM 공정, APM 공정 및 HPM 공정을 순차적으로 실시하는 방법이 있다. 이 방법에 따르면, SPM 공정에 의해 웨이퍼 상의 유기물을 제거하며, APM 공정에 의해 파티클을 제거한다. 다음으로 HPM 공정을 실시하여 금속 오염물을 제거한다.
그러나, 이 방법은 그 순서가 복잡할 뿐 아니라 과량의 세정액, 및 린스 공정 중에 과량의 탈이온수를 연속적으로 사용해야 하기 때문에 생산단가의 증가 및환경공해 유발가능성의 문제점이 있다. 또한, 이러한 RCA 세정액은 모두 강한 산화제인 과산화수소 (H2O2)를 포함하는 관계로 세정후 웨이퍼 및 LCD 기판 상에 산화막을 형성한다. 이렇게 형성된 화학적 산화막 (chemical oxide) 이외에 wafer 표면의 얇은 자연 산화막은 반도체 공정 또는 LCD 공정시 반도체 기판 또는 LCD 기판이 대기중의 산소나 수분과 반응하여서도 발생하며 이를 효율적으로 제거하지 못하게 되면 최종 제품인 반도체 기판 또는 LCD 디바이스의 특성에 악영향을 미친다. 반도체 디바이스의 경우, 자연 산화막이 콘택 표면에 형성되면 콘택 저항을 증가시키며, 게이트 산화막 성장 전에 자연 산화막이 형성되면 게이트 산화막의 특성을 저하시키게 된다.
산화막 제거방법으로서 가장 널리 알려진 방법이 불산 (hydrofluoric acid) 세정액을 이용하는 습식 세정방법이다. 불산 세정액은 반도체 기판으로 사용되는 실리콘 웨이퍼와 이산화실리콘 사이에 높은 식각 선택비를 유지하며 산화막 세정후 실리콘 웨이퍼의 표면에 수소 패시베이션막 (passivatioin)을 형성한다는 점에서는 효과적이다.
그러나, 불산 세정액을 이용한 습식 세정방법은 인-시튜 (in-situ) 공정으로 진행되기 어렵기 때문에 세정 공정 이후의 오염 관리가 어렵고 공정에 장시간이 소요된다. 게다가, 세정 공정의 후속 공정인 웨이퍼 건조 공정시 각종 오염이 발생할 수 있는데 이러한 오염에 대한 제어가 거의 불가능하다는 치명적인 단점이 있다.
또한, 작고 깊은 콘택홀 (small and deep contact hole)의 세정시 세정액이 콘택홀로 유입되거나 콘택홀로부터 배출되는 것이 어려워 산화막의 완전한 제거가불가능하고 세정 잔류물의 제거 역시 용이하지 않다는 문제점도 있다.
본 발명이 이루고자 하는 기술적 과제는 반도체 기판 또는 LCD 기판 상에 존재할 수 있는 파티클, 유기물 및 금속성 오염물을 동시에 제거할 수 있음은 물론 자연 산화막 등의 산화막을 하부 막질을 손상시키지 않으면서 효과적으로 제거할 수 있는 반도체 기판 또는 LCD 기판의 세정방법을 제공하는 것이다.
도 1은 본 발명에 따른 세정방법을 순차적으로 도시한 다이아그램이다.
도 2는 종래의 세정방법 및 본 발명에 따른 세정방법으로 각각 처리된 기판에 대한 TDDB 특성을 측정하여 나타낸 그래프이다.
본 발명의 기술적 과제는, 오염원이 형성되어 있는 반도체 기판 또는 LCD 기판으로부터 오염원을 제거하는 반도체 기판 또는 LCD 기판의 세정 방법에 있어서, 1) 상기 기판을 킬레이트제를 포함하는 염기성 과산화수소 세정용액으로 처리하는 단계; 2) 상기 기판을 수소 가스 플라즈마 및 불소 함유 가스로 처리하는 단계; 및 3) 상기 기판을 어닐링하는 단계를 순차적으로 포함하는 것을 특징으로 하는, 반도체 기판 또는 LCD 기판의 세정방법에 의하여 이루어질 수 있다.
본 발명에 있어서, 상기 킬레이트제로는 카르복실산 화합물, 하이드록실 방향족 화합물, 포스폰산 화합물 등을 사용할 수 있다.
또한, 상기 단계 1)에서 세정용액으로 처리후 오존수로 기판을 린스할 수 있다.
또한, 상기 단계 2) 내지 단계 3)은 동일한 공정 챔버 내에서 이루어질 수 있다.
이하, 본 발명에 따른 세정방법을 도식적으로 나타낸 도 1을 들어 본 발명을보다 구체적으로 개시하기로 한다.
먼저, 오염원이 형성되어 있는 반도체 기판 또는 LCD 기판을 준비한다
이어서, 상기 기판을 세정용액으로 처리한다 (20).
세정 용액으로는 염기성 과산화수소 수용액에 킬레이트제를 첨가하여 제조한 세정용액을 사용하며 기판 표면에 세정용액을 분사하거나 기판을 세정용액이 담겨있는 배쓰에 디핑하는 방법으로 세정할 수 있다.
일반적으로 암모니아-과산화수소의 혼합물로 대표되는 염기성 과산화수소 수용액을 이용한 세정공정은 금속 오염물 제거력이 없으며 오히려 금속 오염물들이 기판 표면에 재부착하는 소위 금속 역오염 문제가 있었다. 이러한 문제점을 극복하기 위하여 킬레이트제를 더 첨가한 세정용액을 사용한다. 킬레이트제로는 통상적으로 사용될 수 있는 것이면 크게 제한없이 사용할 수 있으나, 바람직하기로는 시트르산 (citric acid), 글루콘산(gluconic acid)과 같은 카르복실산 화합물과, 하이드록시에탄 디포스폰산 (hydroxyethane diphosphonic acid), 에틸렌디아민 테트라키스-메틸렌포스폰산 (ethylenediamine tetrakis-methylenephophonic acid)과 같은 포스폰산 (phosphonic acid) 화합물과, 카테콜 (catechol), 레조르시놀 (resorcinol), 하이드로퀴논 (hydroquinone), 피로갈롤 (pyrogallol)과 같은 하이드록시 방향족 화합물 (hydroxy aromatic compound) 등으로부터 선택된 1종 내지 3종을 사용할 수 있으며 바람직한 첨가량은 세정용액중의 물의 함량을 기준으로 하여 500ppm 내지 5000ppm이다. 만약 500ppm 미만이면 금속 오염물 제거 효과가 낮으며 5000ppm을 초과하는 경우에는 킬레이트제로부터 유래하는 유기 오염물이 발생할소지가 있다.
킬레이트제는 세정용액 내에서 금속이온과 배위결합하여 착화합물을 형성함으로써 금속 오염물에 의해 기판이 재오염되지 않도록 한다.
따라서, 기존의 RCA 공정에서 APM 세정 공정의 후속으로 진행되는 금속 오염원을 제거하기 위해 HPM 세정 공정 또는 DHF 세정 공정을 생략할 수 있다는 잇점이 있다. 게다가 금속의 역오염이 방지되면서 APM 세정 용액의 반복 사용 횟수가 더 늘어날 수 있다는 점에서도 바람직하다.
본원 발명에 있어서, 가장 우수한 세정 효과를 나타낼 수 있는 킬레이트제 포함 APM 세정용액의 온도는 40 내지 80℃이다.
이어서, 세정용액으로 처리한 기판을 탈이온수 또는 오존수로 린스하여 기판 상의 잔류물을 제거할 수 있다 (20). 오존수를 이용하여 린스하면 세정 용액으로 처리하는 중에 킬레이트제로부터 유래할 수 있는 유기 오염물을 효과적으로 제거할 수 있다는 잇점이 있다.
오존수를 이용하는 경우, 바람직하기로는 오존수의 온도가 0 내지 30℃이고 오존수 중의 오존 농도가 1 내지 1000ppm이다. 만약 오존수 온도가 0℃보다 낮으면 O3의 용해가 용이하게 보다 높은 O3농도를 얻을 수 있다는 잇점은 있으나 반응성이 떨어지므로 오존수를 이용한 린스 효과가 반감하며, 30℃를 초과하는 경우에는 O3가 쉽게 용해되기 어렵다는 문제점이 있다.
린스 공정은 기판 상으로 탈이온수 또는 오존수를 지속적으로 흘리면서 실시하는 오버플로우법 (overflow method) 또는 기판을 오존수가 담겨있는 배쓰에 담그었다가 꺼내는 퀵 덤프법 (quick dump method)중 어느 것에 의하여 실시하더라도 무방하다.
다음으로, 상기 기판을 수소 가스 플라즈마와 불소 함유 가스로 화학적으로 처리한다 (30).
이때, 수소 가스는 플라즈마 상태로 공정 챔버로 공급하고 불소 함유 가스는 자연 상태로 공정 챔버로 공급한다. 바람직하기로는 상기 수소 가스와 불소 함유 가스를 소정 비로 혼합한 혼합 가스를 캐리어 가스인 질소 및/또는 아르곤 가스와 함께 공급한다.
한편, 불소 함유 가스로는 삼불화질소 (NF3), 육불화황 (SF6) 또는 삼불화염소 (ClF3) 등을 사용할 수 있는데 바람직하기로는 삼불화질소를 사용하는 것이며, 불소 함유 가스의 혼합비는 수소 가스에 대하여 0.1 ~ 5000vol% 것이 바람직하다.
가스 주입후, -25 내지 50℃, 바람직하게는 0 내지 50℃의 온도 및 0.01 내지 10torr의 압력으로 공정 조건을 제어하면서 20 내지 600초 동안 반응을 실시한다.
전술한 바와 같이 공정 챔버 내로 가스를 공급하고 반응 조건을 설정해주면가스가 기판 표면에 형성된 산화막과 화학적 반응을 일으켜서 산화막을 제거한다. 이때, 상기 산화막은 대기 중의 산소나 수분과 반응하여 자발적으로 형성되는 자연 산화막일 수도 있고 임의의 산화막 패턴을 형성하기 위해 식각되어질 피식각 산화막일 수도 있다.
이 단계는 기존의 RIE 방법과는 달리 화학적 반응에만 기초하므로 웨이퍼 표면이 손상될 우려가 없을 뿐 아니라 차아지 손상 (charge damage)을 입을 우려도 없다.
구체적으로, 공정 챔버로 공급된 가스는 산화막, 즉 이산화실리콘과 화학적 반응을 일으켜서 상기 공급 가스와 산화막이 만나는 곳에 공급 가스와 산화막이 결합한 형태의 층, 예컨대 (NH4)2SiF6와 같은 반응층을 형성한다. 이렇게 형성된 반응층은 화학적 반응에 대한 장벽층 역할을 하게 되어 공급 가스와 산화막 사이의 지속적인 화학적 반응을 방해할 수 있다.
이처럼 장벽층의 역할을 하는 반응층을 기화시켜 제거하기 위한 공정이 어닐링 단계이다 (40).
상기 어닐링 공정은 저온에서, 구체적으로 100 내지 500℃의 온도에서 20 내지 600초 동안 실시된다. 만약 공정 온도 및 시간 범위가 상기 범위를 벗어나게 되면 반응층이 충분하게 제거되지 않는다는 문제점이 있다. 이러한 어닐링 공정은 인-시튜 (in-situ) 또는 엑스 시튜 (ex-situ) 상태중 어느 상태로 진행되더라도 무방하다.
어닐링 공정에 의해 반응층을 제거하면 상기 반응층이 존재하던 곳의 산화막은 제거되며 또한, 실리콘 웨이퍼 및 LCD 표면은 수소로 패시베이션된다.
한편, 상기 가스 공급 단계와 어닐링 단계는 제거되어져야 할 산화막이 자연 산화막인 경우에는 일반적으로 1회의 공정만으로도 충분하지만, 제거되어야 할 산화막이 일반적인 패턴을 형성하기 위한 산화막인 경우에는 산화막의 두께에 따라 1회 이상 반복적으로 실시하는 것이 바람직하다.
이하, 실시예 및 비교예를 들어 본 발명을 보다 상세하게 설명하기로 한다.
실시예 1
먼저 실리콘 웨이퍼 2매를 준비하여 이들 각각을 메탈 스탠다드 솔루션 (metal standard solution)으로 처리하여 그 표면에 금속 오염물로 강제 오염시켰다. 금속 오염물 수준은 모두 1E13 atoms/㎠였다.
이어서, 이들 실리콘 웨이퍼 각각을 통상의 APM 세정용액과 킬레이트제 첨가 APM 세정용액으로 각각 처리한후 금속 오염도를 평가하였다.
그 결과를 하기 표 1에 나타내었다.
초기 금속 오염도 통상의 APM 처리후 킬레이트제 함유 APM 처리후
Al 4.5E13(atoms/㎠) 5.2E13(atoms/㎠) 2.1E11(atoms/㎠)
Fe 3.0E13(atoms/㎠) 1.8E13(atoms/㎠) 1.7E11(atoms/㎠)
상기 표 1에 나타낸 바와 같이, 통상의 APM 공정을 이용하는 경우 금속 오염도가 오히려 상승하기까지 한 것으로 나타났다. 이에 반하여, 킬레이트제 함유 APM 제제를 이용하면 금속 오염도가 현저하게 감소한 것으로 나타났다.
실시예 2
실리콘 웨이퍼 2매를 준비한 다음, 이들 웨이퍼를 각각 세정한 다음, 그 위에 게이트 산화막을 증착하였다.
먼저, 웨이퍼중 1매는 APM 세정 및 HF 세정을 순차적으로 실시하는 통상의세정 방법으로 처리하고 다른 1매는 킬레이트제가 첨가된 APM 세정용액으로 먼저 세정한 다음, 수소 플라즈마와 불소 함유 가스를 공급하여 건식 세정하는 본 발명의 세정방법에 따라 처리하였다.
이어서, 스파이더 마스크를 이용하여 각각을 패터닝한 다음, 각각 패턴이 형성된 기판에서 게이트 산화막에 대한 TDDB (Time Dependent Dielectric Breakdown) 특성을 측정하여 게이트 산화막의 신뢰도를 평가하였다. 이때, TDDB 측정은 실온에서 50A의 전류 및 -7.4V의 전압의 조건하에 실시되었다. 그 결과를 도 2에 나타내었다. 도 2에서 곡선 a는 통상의 세정방법으로 처리한 경우의 TDDB 특성을 나타낸 것이고, 곡선 b는 본 발명에 따른 세정방법으로 처리한 경우의 TDDB 특성을 나타낸 것이다.
도 2의 결과로부터 알 수 있듯이, 본 발명에 따른 세정방법으로 처리한 경우, 게이트 산화막에 대한 신뢰성이 훨씬 우수하였다.
본 발명에 따른 일련의 세정 방법에 따라 반도체 기판 또는 LCD 기판을 세정하게 되면 공정 중에 발생할 수 있는 각종 오염물, 즉 유기 오염물, 파티클, 금속 오염물 등을 효과적으로 제거할 수 있다. 특히, 금속 오염물의 재부착으로 인한 역오염 문제가 없으며 자연 산화막 등의 각종 산화막을 하부 막질의 손상 없이 효과적으로 제거할 수 있다는 잇점이 있다.

Claims (18)

  1. 오염원이 형성되어 있는 반도체 기판 또는 LCD 기판으로부터 오염원을 제거하는 반도체 기판 또는 LCD 기판의 세정 방법에 있어서,
    1) 상기 기판을 킬레이트제를 포함하는 염기성 과산화수소 세정용액으로 처리하는 단계;
    2) 상기 기판을 수소 가스 플라즈마 및 불소 함유 가스로 처리하는 단계; 및
    3) 상기 기판을 어닐링하는 단계를 순차적으로 포함하는 것을 특징으로 하는, 반도체 기판 또는 LCD 기판의 세정방법.
  2. 제1항에 있어서, 상기 세정용액이 암모늄, 과산화수소, 탈이온수 및 킬레이트제를 포함하는 것을 특징으로 하는 반도체 기판 또는 LCD 기판의 세정방법.
  3. 제1항에 있어서, 상기 킬레이트제가 카르복실산 화합물, 포스폰산 화합물 및 하이드록실 방향족 화합물로 이루어진 군으로부터 선택된 1종 내지 3종이며 그 함량이 세정용액중의 물 함량을 기준으로 하여 500ppm 내지 5000ppm인 것을 특징으로 하는 반도체 기판 또는 LCD 기판의 세정방법.
  4. 제1항에 있어서, 상기 세정용액의 온도가 40 내지 80℃인 것을 특징으로 하는 반도체 기판 또는 LCD 기판의 세정방법.
  5. 제1항에 있어서, 상기 불소 함유 가스가 삼불화질소 (NF3), 육불화황 (SF6)또는 삼불화염소 (ClF3)인 것을 특징으로 하는 반도체 기판 또는 LCD 기판의 세정방법.
  6. 제1항에 있어서, 상기 단계 2)에서 불소 함유 가스의 공급량이 상기 수소 가스에 대하여 0.1 ~ 5000vol%인 것을 특징으로 하는 반도체 기판 또는 LCD 기판의 세정방법.
  7. 제1항에 있어서, 상기 단계 2)가 수소 가스는 플라즈마 상태로 공정 챔버 내부로 공급하고 불소 함유 가스는 자연 상태로 공정 챔버로 공급하는 단계인 것을 특징으로 하는 반도체 기판 또는 LCD 기판의 세정방법.
  8. 제1항에 있어서, 상기 단계 2)에서 질소 또는 아르곤으로부터 선택된 1종 이상의 캐리어 가스를 상기 수소 가스 플라즈마 및 불소 함유 가스와 함께 공정 챔버 내부로 공급하는 것을 특징으로 하는 반도체 기판 또는 LCD 기판의 세정방법.
  9. 제1항에 있어서, 상기 단계 2)가 -25 내지 50℃의 온도 및 0.01 내지 10torr의 압력하에서 실시되는 것을 특징으로 하는 반도체 기판 또는 LCD 기판의 세정방법.
  10. 제9항에 있어서, 상기 단계 2)가 0 내지 50℃의 온도 및 0.01 내지 10torr의압력하에서 실시되는 것을 특징으로 하는 반도체 기판 또는 LCD 기판의 세정방법.
  11. 제1항에 있어서, 상기 단계 2)가 20 내지 600초 동안 실시되는 것을 특징으로 하는 반도체 기판 또는 LCD 기판의 세정방법.
  12. 제1항에 있어서, 상기 단계 2)과 단계 3)이 동일한 공정 챔버 내에서 실시되는 것을 특징으로 하는 반도체 기판 또는 LCD 기판의 세정방법.
  13. 제1항에 있어서, 상기 단계 1)에서 기판을 상기 세정용액으로 처리한 후 오존수를 이용하여 린스하는 것을 특징으로 하는 반도체 기판 또는 LCD 기판의 세정방법.
  14. 제13항에 있어서, 상기 세정용액 처리 및 오존수를 이용한 린스가 동일 배쓰 내에서 실시되는 것을 특징으로 하는 반도체 기판 또는 LCD 기판의 세정방법.
  15. 제13항 또는 14항에 있어서, 상기 오존수의 온도가 0 내지 30℃인 것을 특징으로 하는 반도체 기판 또는 LCD 기판의 세정방법.
  16. 제13항 또는 14항에 있어서, 상기 오존수가 탈이온수와 오존을 포함하며 오존의 함량이 탈이온수에 대하여 1 내지 100ppm인 것을 특징으로 하는 반도체 기판또는 LCD 기판의 세정방법.
  17. 제1항에 있어서, 상기 단계 3)이 100 내지 500℃에서 20 내지 600초 동안 실시되는 것을 특징으로 하는 반도체 기판 또는 LCD 기판의 세정방법.
  18. 제1항에 있어서, 상기 단계 2) 및 3)이 2회 이상 반복적으로 진행되는 것을 특징으로 하는 반도체 기판 또는 LCD 기판의 세정방법.
KR10-2000-0040986A 2000-07-18 2000-07-18 반도체 기판 또는 lcd 기판의 세정방법 KR100366623B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR10-2000-0040986A KR100366623B1 (ko) 2000-07-18 2000-07-18 반도체 기판 또는 lcd 기판의 세정방법
US09/862,525 US6513538B2 (en) 2000-07-18 2001-05-22 Method of removing contaminants from integrated circuit substrates using cleaning solutions
JP2001168176A JP3789083B2 (ja) 2000-07-18 2001-06-04 洗浄溶液を用いた集積回路基板の汚染物質の除去方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2000-0040986A KR100366623B1 (ko) 2000-07-18 2000-07-18 반도체 기판 또는 lcd 기판의 세정방법

Publications (2)

Publication Number Publication Date
KR20020007604A true KR20020007604A (ko) 2002-01-29
KR100366623B1 KR100366623B1 (ko) 2003-01-09

Family

ID=19678436

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2000-0040986A KR100366623B1 (ko) 2000-07-18 2000-07-18 반도체 기판 또는 lcd 기판의 세정방법

Country Status (3)

Country Link
US (1) US6513538B2 (ko)
JP (1) JP3789083B2 (ko)
KR (1) KR100366623B1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040024051A (ko) * 2002-09-12 2004-03-20 어드벤스드 알케미(주) 반도체 소자의 세정액 및 이를 이용한 세정 방법
KR100644000B1 (ko) * 2000-09-25 2006-11-10 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 기판 처리 방법
KR100974034B1 (ko) * 2002-11-08 2010-08-04 와코 쥰야꾸 고교 가부시키가이샤 세정액 및 이것을 이용한 세정방법

Families Citing this family (210)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060151007A1 (en) * 1997-05-09 2006-07-13 Bergman Eric J Workpiece processing using ozone gas and chelating agents
US20070042580A1 (en) * 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US7479456B2 (en) * 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US7094670B2 (en) * 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7320734B2 (en) * 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US7111629B2 (en) * 2001-01-08 2006-09-26 Apl Co., Ltd. Method for cleaning substrate surface
SG96665A1 (en) * 2001-11-21 2003-06-16 Environmental Technology Inst An apparatus and method for cleaning glass substrates using a cool hydrogen flame
US7799141B2 (en) * 2003-06-27 2010-09-21 Lam Research Corporation Method and system for using a two-phases substrate cleaning compound
US8316866B2 (en) * 2003-06-27 2012-11-27 Lam Research Corporation Method and apparatus for cleaning a semiconductor substrate
US7737097B2 (en) * 2003-06-27 2010-06-15 Lam Research Corporation Method for removing contamination from a substrate and for making a cleaning solution
US7913703B1 (en) 2003-06-27 2011-03-29 Lam Research Corporation Method and apparatus for uniformly applying a multi-phase cleaning solution to a substrate
US8522801B2 (en) * 2003-06-27 2013-09-03 Lam Research Corporation Method and apparatus for cleaning a semiconductor substrate
US20040261823A1 (en) * 2003-06-27 2004-12-30 Lam Research Corporation Method and apparatus for removing a target layer from a substrate using reactive gases
US7648584B2 (en) * 2003-06-27 2010-01-19 Lam Research Corporation Method and apparatus for removing contamination from substrate
US20050124160A1 (en) * 2003-12-05 2005-06-09 Taiwan Semiconductor Manufacturing Co. Novel multi-gate formation procedure for gate oxide quality improvement
US8323420B2 (en) 2005-06-30 2012-12-04 Lam Research Corporation Method for removing material from semiconductor wafer and apparatus for performing the same
US8522799B2 (en) * 2005-12-30 2013-09-03 Lam Research Corporation Apparatus and system for cleaning a substrate
US7862662B2 (en) * 2005-12-30 2011-01-04 Lam Research Corporation Method and material for cleaning a substrate
US8043441B2 (en) 2005-06-15 2011-10-25 Lam Research Corporation Method and apparatus for cleaning a substrate using non-Newtonian fluids
US7416370B2 (en) * 2005-06-15 2008-08-26 Lam Research Corporation Method and apparatus for transporting a substrate using non-Newtonian fluid
US7568490B2 (en) * 2003-12-23 2009-08-04 Lam Research Corporation Method and apparatus for cleaning semiconductor wafers using compressed and/or pressurized foams, bubbles, and/or liquids
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050205835A1 (en) * 2004-03-19 2005-09-22 Tamboli Dnyanesh C Alkaline post-chemical mechanical planarization cleaning compositions
US20050211171A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US8058156B2 (en) * 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US7335611B2 (en) * 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7312148B2 (en) * 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US20070048980A1 (en) * 2005-08-24 2007-03-01 International Business Machines Corporation Method for post-rie passivation of semiconductor surfaces for epitaxial growth
EP2428557A1 (en) 2005-12-30 2012-03-14 LAM Research Corporation Cleaning solution
US20080009134A1 (en) * 2006-07-06 2008-01-10 Tsung-Yu Hung Method for fabricating metal silicide
US20080268617A1 (en) * 2006-08-09 2008-10-30 Applied Materials, Inc. Methods for substrate surface cleaning suitable for fabricating silicon-on-insulator structures
US20080057678A1 (en) * 2006-08-31 2008-03-06 Kishor Purushottam Gadkaree Semiconductor on glass insulator made using improved hydrogen reduction process
US20080148595A1 (en) * 2006-12-20 2008-06-26 Lam Research Corporation Method and apparatus for drying substrates using a surface tensions reducing gas
CN101583736A (zh) * 2007-01-19 2009-11-18 应用材料股份有限公司 浸没式等离子体室
US7897213B2 (en) * 2007-02-08 2011-03-01 Lam Research Corporation Methods for contained chemical surface treatment
US20100151677A1 (en) * 2007-04-12 2010-06-17 Freescale Semiconductor, Inc. Etch method in the manufacture of a semiconductor device
US8226775B2 (en) 2007-12-14 2012-07-24 Lam Research Corporation Methods for particle removal by single-phase and two-phase media
JP5286290B2 (ja) * 2008-02-15 2013-09-11 ライオン株式会社 洗浄剤組成物および電子デバイス用基板の洗浄方法、並びに電子デバイス用基板
WO2010102089A2 (en) * 2009-03-05 2010-09-10 Applied Materials, Inc. Methods for depositing layers having reduced interfacial contamination
WO2010125827A1 (ja) * 2009-04-30 2010-11-04 ライオン株式会社 半導体用基板の洗浄方法および酸性溶液
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5713808B2 (ja) * 2010-07-09 2015-05-07 東京エレクトロン株式会社 プラズマ処理方法及び半導体装置の製造方法
CN102453957A (zh) * 2010-10-25 2012-05-16 上海华虹Nec电子有限公司 降低锗硅外延表面缺陷的方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
CN104226625B (zh) * 2013-06-07 2016-08-10 中国航天科工集团第三研究院第八三五八研究所 一种高反射膜表面层的去除方法
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3435698B2 (ja) * 1992-03-11 2003-08-11 三菱瓦斯化学株式会社 半導体基板の洗浄液
JP3084497B2 (ja) * 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
US5275687A (en) * 1992-11-20 1994-01-04 At&T Bell Laboratories Process for removing surface contaminants from III-V semiconductors
JP2760418B2 (ja) * 1994-07-29 1998-05-28 住友シチックス株式会社 半導体ウエーハの洗浄液及びこれを用いた半導体ウエーハの洗浄方法
KR0140652B1 (ko) * 1994-12-21 1998-07-15 김주용 반도체 기판의 세정방법
KR0170459B1 (ko) * 1994-12-28 1999-03-30 김주용 웨이퍼 세정방법 및 그 장치
KR100510440B1 (ko) * 1997-08-20 2005-10-21 삼성전자주식회사 세정용액및이를이용한반도체소자의세정방법
US5962384A (en) * 1997-10-28 1999-10-05 International Business Machines Corporation Method for cleaning semiconductor devices
JP3039493B2 (ja) * 1997-11-28 2000-05-08 日本電気株式会社 基板の洗浄方法及び洗浄溶液
US6029608A (en) * 1998-07-30 2000-02-29 Johnson; Terry D. Animal containment device
US6313042B1 (en) * 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100644000B1 (ko) * 2000-09-25 2006-11-10 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 기판 처리 방법
KR20040024051A (ko) * 2002-09-12 2004-03-20 어드벤스드 알케미(주) 반도체 소자의 세정액 및 이를 이용한 세정 방법
KR100974034B1 (ko) * 2002-11-08 2010-08-04 와코 쥰야꾸 고교 가부시키가이샤 세정액 및 이것을 이용한 세정방법

Also Published As

Publication number Publication date
KR100366623B1 (ko) 2003-01-09
JP3789083B2 (ja) 2006-06-21
US20020028585A1 (en) 2002-03-07
JP2002050604A (ja) 2002-02-15
US6513538B2 (en) 2003-02-04

Similar Documents

Publication Publication Date Title
KR100366623B1 (ko) 반도체 기판 또는 lcd 기판의 세정방법
US8951433B2 (en) Compositions for use in semiconductor devices
KR100368193B1 (ko) 수성 세정 조성물
US6703319B1 (en) Compositions and methods for removing etch residue
JP2581268B2 (ja) 半導体基板の処理方法
US20020062841A1 (en) Method for cleaning semiconductor wafers with ozone-containing solvent
US20020119245A1 (en) Method for etching electronic components containing tantalum
US6303482B1 (en) Method for cleaning the surface of a semiconductor wafer
EP1567633B1 (en) Semiconductor surface treatment and mixture used therein
US20020106898A1 (en) Methods for removing silicon-oxy-nitride layer and wafer surface cleaning
US7985297B2 (en) Method of cleaning a quartz part
US7056447B2 (en) Semiconductor processing methods
US6652666B2 (en) Wet dip method for photoresist and polymer stripping without buffer treatment step
US6423646B1 (en) Method for removing etch-induced polymer film and damaged silicon layer from a silicon surface
JPH0750281A (ja) シリコンウェハーの洗浄方法
JPH07321080A (ja) シリコンウェハーの洗浄方法
US20050092348A1 (en) Method for cleaning an integrated circuit device using an aqueous cleaning composition
KR100235944B1 (ko) 반도체소자의 세정 방법
KR20230093245A (ko) 에칭, 스트리핑 및 세정 적용을 위한 아민 옥사이드
KR970000699B1 (ko) 반응율 제한을 이용한 실리콘 기판 표면의 불순물 습식청정방법
KR20070023954A (ko) 기판의 세정 방법
Heyns et al. Cost-effective cleaning for advanced Si-processing
KR20050059702A (ko) 반도체소자 제조공정시 결함 제거방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121130

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20131129

Year of fee payment: 12