CN101583736A - 浸没式等离子体室 - Google Patents

浸没式等离子体室 Download PDF

Info

Publication number
CN101583736A
CN101583736A CNA2008800025637A CN200880002563A CN101583736A CN 101583736 A CN101583736 A CN 101583736A CN A2008800025637 A CNA2008800025637 A CN A2008800025637A CN 200880002563 A CN200880002563 A CN 200880002563A CN 101583736 A CN101583736 A CN 101583736A
Authority
CN
China
Prior art keywords
conduit
plasma
main body
opening
coupled
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2008800025637A
Other languages
English (en)
Inventor
肯尼思·S·柯林斯
安德鲁·阮
卡提克·雷马斯瓦米
塙广二
道格拉斯·A·小布赫伯格
丹尼尔·J·霍夫曼
埃米尔·阿尔-巴亚提
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101583736A publication Critical patent/CN101583736A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings

Abstract

此处所述的实施方式大体上提供一环形等离子体源、一等离子体通道装置、一喷头及一基板支撑组件以用于一等离子体室中。环形等离子体源、等离子体通道装置、喷头及基板支撑组件适于改善等离子体室的可用寿命,降低组件成本,增加等离子体室可靠度及改善经处理的基板上的元件良率。

Description

浸没式等离子体室
发明的背景
发明领域
本发明的实施方式大体上是关于等离子体工艺中的基板(例如,半导体晶片)的处理。更具体地,是关于在一基板上沉积材料或由一基板(例如,半导体晶片)移除材料的等离子体工艺。
相关技术描述
形成于基板(例如,半导体晶片)上的集成电路可包含超过一百万个微电子场效应晶体管(举例来说,互补金属氧化物半导体(CMOS)场效应晶体管),并且合作以执行电路内的不同功能。CMOS晶体管通常包含配置在形成于基板中的源极及漏极区域间的一栅极结构。栅极结构通常包含一栅极电极及一栅极介电层。栅极电极配置在栅极介电层上以控制电荷载子在沟道区域中的流动,沟道区域形成于栅极介电层下方并位于漏极及源极区域之间。
离子布植工艺通常是用来掺杂一所欲的材料至基板表面所欲的深度中以在形成于基板上的一元件内形成栅极及源极、漏极结构。在离子布植工艺期间,不同的工艺气体或气体混合物可用来提供掺杂物种源。当工艺气体被供应至离子布植处理室时,可产生射频功率以制造等离子体来促进工艺气体的离子化,并且加速等离子体所产生的离子朝向并进入基板表面,如2006年5月2日核发的美国专利第7,037,813号中所述。
用于促进工艺气体解离的等离子体源包含一环形源,其包含至少一耦接至工艺气源的中空管或导管、及两个形成于室中并耦接至室的一部分的开口。中空管耦接至形成于室中的开口,并且中空管内容积形成路径的一部分,其当供给能量时,制造循环通过中空管内容积及室内部的处理区的等离子体。
基板工艺的效率常通过两个相关且重要的因素测量,其为元件良率及拥有成本(CoO)。由于这些因素直接影响制造电子元件的成本从而影响元件制造商在市场上的竞争力因而相当重要。CoO虽然受到多种因素的影响,但其主要受到用于处理基板的不同部件的可靠度、不同部件的寿命以及各部件的零件成本的影响。因此,CoO的一关键元素为“耗损”部件、或在处理元件的寿命期间由于在处理期间损坏、磨损或老化而必须替换的部件的成本。在降低CoO的努力中,电子元件制造商常花费大量时间尝试增加“耗损”部件的寿命和/或减少耗损部件的数目。
其他在CoO计算中的重要因素为可靠度及系统正常运行时间。这些因素对决定一处理元件的获利能力和/或效用来说非常重要,因为系统越长时间无法处理基板,则使用者由于失去在工具中处理基板的机会而损失越多金钱。因此,集群工具的使用者及制造商花费大量时间尝试发展可靠的工艺及增加正常运行时间的可靠设备。
因此,需要可执行一等离子体工艺的设备,其可符合所需的元件效能目标并使与使用等离子体工艺形成一元件相关的CoO达到最小。
发明概要
此处所述的实施方式是关于用于一等离子体室的强健元件。一实施方式中叙述一环形等离子体源。环形等离子体源包含一第一中空导管,其包含一U形及一矩形横截面;一第二中空导管,其包含一M形及一矩形横截面;一开口,其配置在各个第一及第二中空导管的相对端点;及一涂层,其配置在各个第一及第二中空导管的内部表面上。
另一实施方式中叙述一等离子体通道设备。等离子体通道设备包含一主体,其具有至少两个纵向穿越配置的通道,所述至少两个通道是由一楔形构件所分隔;以及一冷却剂通道,至少部分地形成在主体的一侧壁中。
在另一实施方式中叙述一气体分配板。气体分配板包含一圆形构件,其具有一第一侧面及一第二侧面,一凹槽部分形成在第一侧面的中央区域中以沿着圆形构件的第一侧面的一部分形成一边缘,其中凹槽部分包含多个由第一侧面延伸至第二侧面的孔口;以及一装配部分,其耦接至圆形构件的周边并由此放射状延伸。
在另一实施方式中叙述用于一基板支撑件的一阴极组件。阴极组件包含一主体,其具有一导电上层、一导电下层、及分隔导电上层与导电下层的一介电材料,其中至少一开口纵向通过所述主体而形成;以及一个或更多介电质填料,配置在选自下列所构成的群组的主体内部位置:介电材料与导电上层间的一第一接合面、及介电材料与导电下层间的一第二接合面、及上述的组合。
在另一实施方式中叙述用于支撑一基板的静电吸盘。静电吸盘包含一定位盘(puck),其具有接近基板直径的直径;一金属层,其耦接至定位盘;一吸盘电极(chucking electrode),其埋入定位盘中;一阴极底座,其与电性接地端电性连通;一支撑绝缘体,其配置在阴极底座及金属层间;其中所述金属层是配置在形成于支撑绝缘体的一低凹处内部,冷却剂通道形成于金属层中,其中冷却剂通道能够传导一冷却剂媒介物通过其中以冷却定位盘;及一导体,其具有耦接至所述定位盘的一端,而其另一端则耦接至一射频功率源。
附图简要说明
所以,为了详细了解本发明的上述特征的方法、本发明的更具体的叙述、上文的简短总结可通过参考实施方式来获得,而某些实施方式在附图中也有说明。不过,须注意附图仅说明此发明的典型实施方式,并且因此不应将其视为对此范围的限制,因为本发明可容许其他等效的实施方式。
图1为一等离子体室的一实施方式的等角横截面图。
图2为图1所示的等离子体室的等角顶视图。
图3A为一第一重入导管的一实施方式的侧视横截面图。
图3B为一第二重入导管的一实施方式的侧视横截面图。
图4为一重入导管的一实施方式的底视图。
图5A为来自图1的等离子体通道装置的一实施方式的等角详图。
图5B为图5A的等离子体通道装置的一实施方式的侧视横截面图。
图6为图5A的等离子体通道装置的等角视图。
图7为图5A的等离子体通道装置的横截面侧视图。
图8为一喷头的一实施方式的等角视图。
图9A为图8的喷头的横截面侧视图。
图9B为图9A所示的多孔平板的一部分的分解横截面图。
图10为一基板支撑组件的一实施方式的等角横截面图。
图11为在其上具有一基板的图10的静电吸盘的部分横截面图。
欲帮助了解,相同的参考数字已使用在可行之处以标明附图共有的相同元件。同样,预期在一实施方式中揭示的元件可在没有特定列举的情况下,有利地用在其他实施方式中。
具体实施方式
此处所述的实施方式大体上提供一强健等离子体室,其具有适于延长处理时间的零件,其中不需要频繁替换室的不同零件。某些实施方式中叙述用于等离子体室的强健耗损零件或耗损零件的替代物,其中该零件更为可靠并促进延长的工艺寿命。在一实施方式中,描述一环形等离子体室用于在一半导体基板上执行一离子布植工艺,然而此处所述的某些实施方式可用于其他腔室和/或其他工艺中。
图1为等离子体室1的一实施方式的等角横截面图,其可用于等离子体增强化学气相沉积(PECVD)工艺、高密度等离子体化学气相沉积(HDPCVD)工艺、离子布植工艺、蚀刻工艺、及其他等离子体工艺。腔室1包含主体3,其具有耦接至盖件10及底部15的侧壁5,其为内容积20的界限。其他等离子体室1的范例可在2002年6月5日提出申请并于2005年9月6日核发的美国专利第6,939,434号、及2004年2月24日提出申请并于2005年5月17日核发的美国专利第6,893,907号中发现,此两专利的全文皆并入于此以供参照。
环形等离子体源
等离子体室1包含耦接至腔室1的主体3的重入(reentrant)环形等离子体源100。内容积20包含处理区域25,其形成于气体分配组件(也指喷头300)及配置成一静电吸盘的基板支撑组件400之间。泵吸区域30围绕基板支撑组件400的一部分。泵吸区域30通过配置在形成于底部15中的埠45中的阀35而选择性连通于真空泵40。一实施方式中,阀35为一节流阀,其适于控制气体或蒸汽由内容积20通过埠45向真空泵40流动。一实施方式中,阀35在没有使用O形环的情况下运作,其进一步在2005年4月26日提出申请并于2006年10月26日公告的美国专利公报第2006/0237136号中叙述,其全文并入于此以供参照。
环形等离子体源100包含具有大致“U”形的第一重入导管150A、及具有大致“M”形的第二重入导管150B。当导管150A耦接至腔室1时,导管的大致形状可视为颠倒的大写字母U、及颠倒的字母V、与其组合。第一重入导管150A及第二重入导管150B各自包含至少一射频(RF)应用器,例如,天线170A、170B,其分别用于在各导管150A、150B的内容积区域内部形成一感应耦合等离子体。参照图1及图2,各个天线170A、170B可包含围绕至少一部分个别导管150A、150B的磁力可穿透的环形核心、一围绕一部分核心的导电卷绕或线圈、及一射频功率源(例如,射频功率源171A、172A)。射频阻抗匹配系统171B、172B也可耦接至各个天线170A、170B。工艺气体(例如,氢、氦、氮、氩、及其他气体)和/或清洁气体(例如,含氟气体)可分别提供给各导管150A、150B的内容积区域155A、155B。一实施方式中,工艺气体可包含一含掺杂物气体,其被供应给各个导管150A、150B的内容积区域155A、155B。一实施方式中,由连接至形成于腔室1的主体3中(例如,耦接至喷头300的盖件54中)的埠55的气源130A传送工艺气体,且传送工艺气体至与各导管150A、150B的内容积区域155A、155B连通的处理区域25。
气体分配板或喷头300可用促进替换的方式耦接至盖件10,并且可包含密封件(例如,盖件10及喷头300的外表面间的O形环(未显示)),以保持处理容积25中的负压。喷头300包含环状壁310,其界定盖件54及多孔平板320间的气室330。多孔平板320包含多个以对称或非对称的图案(或多种图案)通过该板而形成的开口。工艺气体(例如,含掺杂物的气体)可由埠55提供给气室330。一般说来,含掺杂物的气体为化学品,其是由掺杂剂的掺质原子(例如,硼(硅中的p型导电性掺质)或磷(硅中的n型导电性掺质))及挥发性物种(例如,氟和/或氢)所构成。因此,硼、磷、或其他掺杂剂物种(例如,砷、锑等)的氟化物和/或氢化物可作为掺杂剂气体。举例来说,当使用硼掺杂物时,含掺杂物的气体可包含三氟化硼(BF3)或二硼烷(B2F6)。气体可流过开口进入多孔平板320下方的处理区域25。一实施方式中,多孔平板经过射频偏压以帮助在处理区域25中产生和/或保持等离子体。
一实施方式中,导管150A、150B的各个相对端点耦接至形成于腔室1的盖件10中的个别埠50A-50D(在此图中仅显示50A及50B)。其他应用(未显示)中,埠50A-50D可形成于腔室1的侧壁5中。埠50A-50D通常相对彼此以正交或以90°角配置。在处理期间,工艺气体供应给各个导管150A、150B的内容积区域155A、155B,并且对各个天线170A、170B施加射频功率,以产生通过埠50A-50D及处理区域25的循环等离子体路径。明确地说,图1在中,循环等离子体路径通过埠50A至埠50B,或者反之亦然,并且通过喷头300及基板支撑组件400间的处理区域25。各导管150A、150B包含耦接于各个导管端点及埠50A至50D间的等离子体通道装置200,其适于分割并加宽形成于各个导管150A、150B内部的等离子体路径。等离子体通道装置200(下文叙述)还可包含一绝缘体以提供沿着导管150A、150B的电性切断。
基板支撑组件400通常包含上层或定位盘410及阴极组件420。定位盘410包含光滑的基板支撑表面410B及嵌入式电极415,其可经直流(DC)功率源406偏压以帮助基板及定位盘410的基板支撑表面410B间的静电吸引。嵌入式电极415还可用作提供射频能量给处理区域25并在处理期间形成射频偏压的电极。嵌入式电极415可耦接至射频功率源405A且还可包含阻抗匹配电路405B。来自功率源406的直流功率及来自功率源405A的射频可通过电容402隔离。一实施方式中,基板支撑组件400为基板接触冷却静电吸盘,其中使吸盘接触基板的部分冷却。冷却是由配置在阴极组件420中用于在其中循环冷却剂的冷却剂通道(未显示)所提供。
基板支撑组件400还可包含举升销组件500,其包含多个举升销510(在此图中仅显示一个)。举升销510通过选择性举升及支撑定位盘410上方的基板来帮助一个或多个基板的传送,并且其有所间隔以允许机械叶片(未显示)置于其中。举升销组件500包含举升销导件520,其耦接至定位盘410及阴极组件420的一或两者。
图2为图1所示的等离子体腔室1的等角顶视图。腔室1的侧壁5包含晶片埠7,其可选择性地由一狭缝阀(未显示)密封。工艺气体由工艺气源130A透过埠55(图1)供应给喷头300。工艺和/或清洁气体可由气源130B供应给导管150A、150B。
一实施方式中,第一重入导管150A包含具有大致“U”形的中空导管,而第二重入导管150B包含具有大致“M”形的中空导管。导管150A、150B可由导电材料(例如,金属片)制成,并且可包含圆形、椭圆形、三角形或矩形形状的横截面。导管150A、150B还包含形成于侧壁中的狭槽185,其可用盖152A(针对导管150A)以及盖152B(针对导管150B)将其封闭。各导管150A、150B的侧壁还包含适于容纳适于将盖件附接至个别导管的紧固件181(例如,螺钉、螺栓或其他紧固件)的孔183。狭槽185配置用于存取各导管150A、150B的内容积区域155A、155B以清洁和/或再更新,举例来说,应用涂层160(图1)至各导管150A、150B的内容积区域155A、155B。一实施方式中,各个导管150A、150B是由铝材料制成,而涂层160包含电镀涂层。另一实施方式中,涂层160可包含钇材料,举例来说,氧化钇(Y2O3)。
图3A为第一重入导管或“U”形导管150A的一实施方式的侧视横截面图。导管150A包含一中空外壳105A,其包含形成大致“U”型的侧壁。导管150A通常对称,并且包含第一侧壁120A,其与长度较第一侧壁120A短的第二侧壁121A相对。第一侧壁120A以一大于90度的角度(例如,约100度至约130度间)耦接至有角度的顶部侧壁126A。有角度的底部侧壁127A相对且大致上平行于有角度的顶部侧壁126A。各个有角度的底部侧壁127A及有角度的顶部侧壁126A在尖端124A相遇。狭槽185可包含大致“U”形,并且可形成通过后部侧壁106A中的主体105。狭槽185可至少部分延伸进入介于第一侧壁120A与第二侧壁121A间、及介于有角度的顶部侧壁126A与有角度的底部侧壁127A间的区域。导管150A还包含两个位于中空外壳105A的相对端点处的开口132,其适于耦接至盖件10和/或等离子体通道装置200(两者皆示于图1)。侧壁120A、121A及后部侧壁106A包含接近各开口132的凹槽区109A,其界定各开口132界限的肩部108A。
图3B为第二重入导管或“M”形导管150B的一实施方式的侧视横截面图。导管150B包含中空外壳105B,其包含形成大致“M”形的侧壁。导管150B通常对称,并且包含第一侧壁120B,其与长度较第一侧壁120B短的第二侧壁121B相对。第一侧壁120B以约90°的角度耦接至平坦部分122。顶部侧壁126B以介于约12°至约22°间的角度耦接至平坦部分122且其大致上平行于底部侧壁127B。一实施方式中,顶部侧壁126B及底部侧壁127B的长度大致上为相同。顶部侧壁126B及底部侧壁127B在近乎中空外壳105B中央的低凹处124B相遇。狭槽185可包含大致“M”形,并且可形成通过后部侧壁106B中的主体105。狭槽185可至少部分延伸进入介于第一侧壁120B与第二侧壁121B间、及介于顶部侧壁126B与底部侧壁127B间的区域。导管150B还包含两个位于中空外壳105B的相对端点处的开口132,其适于耦接至盖件10和/或等离子体通道装置200(两者皆示于图1)。侧壁120B、121B及后部侧壁106B包含接近各开口132的凹槽区109B,其界定各开口132界限的肩部108B。
图4为导管150C的一实施方式的底视图,其相当于此处所述的第一导管150A或第二导管150B的底视图。底部侧壁127C相当于第一导管150A的底部侧壁127A(图3A)、或第二导管150B的底部侧壁127B(图3B),而肩部108C相当于第一导管150A及第二导管150B的肩部108A或108B。区域124C(如虚线所示)相当于第一导管150A的尖端124A或第二导管150B的低凹处124B。在此实施方式中,各开口132包含一矩形形状,其包含长D1及宽D2,并且以距离尺寸D3分隔。
长D1及宽D2可与距离尺寸D3相关或成比例,并且可以以数学(例如,比率或等式)表示。一实施方式中,距离尺寸D3大于基板直径。举例来说,在300mm晶片的实例中,距离尺寸D3可为约400mm至约550mm。一实施方式中,在300mm晶片的实例中,长D1为约130mm至约145mm,且宽D2为约45mm至约55mm,而距离尺寸D3为约410mm至约425mm。各导管150A、150B成比例以致能使其中的等离子体路径为大致上相等。欲使等离子体路径相等,导管150A的尖端124A及导管150B的低凹处124B的一或两者的角度可加以调整以使导管150A的内容积区域155A及导管150B的内容积区域155B的中线相等。因此,导管150A、150B的内容积区域155A、155B的等化提供两导管150A、150B间大致上相等的等离子体路径。
等离子体通道装置
图5A为来自图1的等离子体通道装置200的等角详图。等离子体通道装置200运作以自导管150A、150B的内容积区域155A、155B均匀散布等离子体电流至处理区域25的表面及基板表面上方。一实施方式中,等离子体通道装置200作用如介于导管150A、150B及埠50A至50D(在此图中仅显示埠50B)间的过渡构件,以增加等离子体通过导管150A、150B的面积。等离子体通道装置200运作以加宽等离子体电流通过导管150A、150B,以在其离开一埠时(如此图所示的50B)较大程度地覆盖一宽工艺区域并最小化或消除“热点”或位于或接近一开口处的具有非常高离子密度的区域。
图5B为等离子体通道装置200的一实施方式的侧视横截面图。等离子体通道装置200包含第一端点272,其适于耦接至一导管(在此图中未显示);及第二端点274,其适于耦接至的埠50A-50D中的盖件10。等离子体通道装置200通过在至少一维中扩大第一端点272及第二端点274间的区域来提供加宽的等离子体路径给处理区域25,以在处理区域25中覆盖更宽的区域。举例来说,长D1可为导管150C(图4)的尺寸,且长D4大致上大于长D1。一范例中,在300mm晶片的实例中,长D1可为约130mm至约145mm,而长D4可为约185mm至约220mm。等离子体通道装置200还包含楔形构件220,当等离子体电流在其中流动时,其“分割”并“窄化”等离子体电流P。等离子体通道装置200因此运作以控制循环通过导管150A、150B的等离子体的空间密度,以致能在处理区域25中较大的径向等离子体分布。另外,楔形构件220及加宽的等离子体路径消除或最小化位于或接近盖件10的开口处的具有高离子密度的区域。当等离子体电流循环通过一室时,用来分割和/或开道来自重入导管或至重入导管的重入等离子体电流的等离子体通道装置叙述于2002年6月5日提出申请并于2003年12月11日公告的美国专利公报第2003/0226641号,其全文并入于此以供参照。
再次参照图5A,等离子体通道装置200包含主体210,其包含大致矩形的横截面形状,其通常与盖件10中的埠50B及导管150B的端点151的横截面形状匹配以帮助其间的耦合。主体210包含内表面236,在其上可具有涂层237。一实施方式中,主体210是由导电金属(例如,铝)制成,而涂层237可为钇材料(举例来说,氧化钇(Y2O3))。内表面236在第一端点272处包含锥形部分230,其可为一半径、一倒角或某些形成在主体210中的有角度的部分。主体210的第一端点272适于接合导管150B的端点151,并且第二端点274可延伸进入或通过盖件10中的埠50B。在此图中,所示的长度D5大致相同于如图4所述的长度D2
主体210包含O形环沟槽222,其可包含与导管150B的端点151接合的O形环、及介于盖件10与主体210间的绝缘体280。绝缘体280由绝缘材料(例如,聚碳酸酯、丙烯酸类、陶瓷及类似材料)制成。主体210还包含冷却剂通道228,其形成于至少一侧壁中以用于流动冷却剂流体。主体的第一端点272还包含在内表面236的一部分中的凹槽部分252,其适于与形成在导管150B的端点151上的肩部152配对。肩部152因为可部分屏蔽O形环免于等离子体而延长O形环的寿命。
图6为等离子体通道装置200的主体210的等角视图。主体210包含四个耦接至凸缘部分215的上侧壁205A-205D。至少一个上侧壁,在此图中显示为205D,包含冷却剂通道228。冷却剂通道228还包含入口埠260及出口埠261。主体210在第二端点274处还包含四个下侧壁244A至244D(在此图中仅显示244A及244D)。上侧壁及下侧壁在邻接的侧壁间可包含圆角206和/或斜角207。
一实施方式中,上侧壁205D及205B与凸缘部份215的部分于其间相交并共享相同平面,而下侧壁244A及相对的下侧壁244C两者向中心延伸或由凸缘部分215向中心偏移。凸缘部分215延伸超出上侧壁205A、205C两者的平面及下侧壁244A、244C的平面。
图7为等离子体通道装置200的主体210的横截面侧视图。楔形构件220将主体210的内部分为两个不同区域。楔形构件220分隔两个第一埠235A及两个第二埠236A,各个第二埠236A的区域或容积大于各个第一埠235A的区域或容积。一实施方式中,各个第二埠236A包含大于约1/3至约1/2的第一埠235A的区域或容积的区域或容积。整体而言,第一埠235A及第二埠236A界定两个位于主体210的内部的通道,其包含由第一端点272至第二端点274的扩大区域或容积。
楔形构件220包含一大致上为三角形的主体,其具有至少一在横截面中由一尖端或第一端点250向一底座或第二端点253延伸的倾斜侧面254。倾斜侧面254可由第一端点250延伸至第二端点253或倾斜侧面254可沿着楔形构件220的长度如所示般与一平坦部分相交。第一端点250可包含一圆形、有角度、平坦或相对尖锐的交点。楔形构件220可由铝或陶瓷材料制成,并且可额外包含一涂层(例如,钇材料)。
运作中,等离子体电流可进入主体210的第一端点272并离开主体210的第二端点274,或反之亦然。依行进方向而定,等离子体电流可随着其通过及离开第二埠236A而相对于通过第一埠235A的等离子体电流的宽度和/或广度加宽或扩大,或等离子体电流的宽度和/或广度可随着其进入并通过第二埠236A及第一埠235A而窄化或变小。
喷头组件
图8为气体分配板或喷头300的一实施方式的等角视图。喷头300通常包含具有凹槽区322的圆形构件305以界定壁306。凹槽区322包含配置在壁306或圆形构件305的内侧直径372上的多孔平板320。圆形构件305或壁306包含内侧直径372及第一外侧直径370以界定上边缘331。流体通道335可耦接至、并入至、或至少部分形成于上边缘331中。流体通道335连通到埠345,其可作为热传递流体(例如,冷却流体)的入口及出口。一实施方式中,流体通道335及埠345形成焊接至圆形构件305或壁306的上边缘331的个别元件。埠345配置在耦接至圆形构件305或壁306的第一外侧直径的一部分的装配部分315上。
一实施方式中,第一外侧直径370包含一个或多个肩部350。肩部350的一外部表面可包含界定大于第一外部直径的第二外部直径的半径或弓形区域。各个肩部350可以约90°的间隔配置在圆形构件305或壁306的周围。一实施方式中,各个肩部350包含与圆形构件305或壁306的过渡耦接,其包含一弯曲部分,例如,凸面部分326和/或凹面部分327。或者,耦接可包含至圆形构件305或壁306的一有角度或直线的过渡。一实施方式中,各个肩部350包含与流体通道335连通的冷却剂通道(未显示)以用于使冷却剂在其中流动。具有装配部分315耦接至其的圆形构件305或壁306的区域可包含如上文所述的肩部350部分的部分的肩部352。
一实施方式中,圆形构件305或壁306的上边缘331具有一个或多个由其延伸的销340,其可为索引销,以帮助喷头300相对于腔室1的对准。装配部分315还可包含孔洞341,其适于容纳一紧固件(例如,螺钉或螺栓)以帮助喷头300至腔室1的耦合。一实施方式中,孔洞为包含适于容纳螺栓或螺钉的内螺纹的盲孔。
图9A为图8的喷头300的横截面侧视图。喷头300包含具有形成于其中的凹槽区322的第一侧面364以界定大致上为平坦的入口侧面或多孔平板320的第一侧面360。多孔平板320具有多个由第一侧面360形成至第二侧面362的孔口380以允许工艺气体流经其中。圆形构件305或壁306的第一外侧直径370(在此图中未显示)或周长包含倒角325,其界定围绕多孔平板320的第三外侧直径376。第三外侧直径376小于第一及第二外侧直径370、374,并且大致上可等于内侧直径372。一实施方式中,多孔平板320包含大致上等于圆形构件305或壁306的内侧直径372的第三外侧直径。
图9B为图9A所示的多孔平板320的一部分的分解横截面图。多孔平板320包含具有多个形成于其中的孔口380的主体382。各个多个孔口380包含具有第一直径的第一开口381,及位于其间的锥形部分383。一实施方式中,第一开口381配置在多孔平板320的第一侧面360中,而第二开口385配置在多孔平板320的第二侧面362中。一实施方式中,第一开口381包含大于第二开口385的直径的直径。
第一及第二开口381、385的深度、间隔、和/或直径可大致上相等或包含不同的深度、间隔和/或直径。一实施方式中,位于多孔平板320的大致几何中心内的多个孔口380其中的一(描画如中央开口384)包含第一开口386,其深度小于其余多个孔口380中的第一开口381。或者另外,中央开口384及紧邻的周围孔口380间的间隔比其他孔口380的间隔更近。举例来说,如果一圆形或“螺栓中心”图案用于多个孔口380,邻接孔口间的径向测量距离可大致上相等,或包含除了中央开口384及孔口380的第一或最内部圆间的距离(其可包含较其余的多个孔口小的距离)外,大致上相等的级数。某些实施方式中,第一开口381的深度可交替,其中依图案而定,一列或圆可包含具有一深度的第一开口,而第二列或圆可包含不同深度的第一开口381。或者,在一图案中沿着特定列或圆交替的孔口380可包含不同深度及不同直径。
多个孔口380的图案可包含任何适于帮助增强工艺气体的分布及流动的图案。图案可包含圆形图案、三角形图案、矩形图案以及任何其他适当的图案。喷头300可由抗工艺材料制成,较佳的是例如铝的导电材料,其可为电镀、无电镀或以其他方式包含一涂层。
基板支撑组件
图10为基板支撑组件400的一实施方式的等角横截面图。基板支撑组件400通常包含静电吸盘422、阴影环421、圆柱形绝缘体419、支撑绝缘体413、阴极底座414、电性连接组件440、举升销组件500及冷却组件444。静电吸盘422通常包含定位盘410及金属层411。定位盘410包含嵌入式电极415,其可如同静电吸盘422内的阴极运作。嵌入式电极415可由金属材料制成,例如钼,并且可形成如多孔平板或网孔材料。
一实施方式中,定位盘410及金属层411在接合面412处结合在一起以形成可支撑定位盘410并增强两元件间的热传递的单一实心元件。一实施方式中,定位盘410使用有机聚合材料结合至金属层411。另一实施方式中,定位盘410使用热传导聚合材料(例如,环氧化物材料)结合至金属层411。另一实施方式中,定位盘410使用金属黄铜或焊接材料结合至金属层411。定位盘410由绝缘或半绝缘材料制成,例如氮化铝(AlN)或氧化铝(Al2O3),其可掺杂其他材料以修改材料的电及热性质,而金属层411由具有高热导性的金属制成,例如铝。在此实施方式中,基板支撑组件400以作为基板接触冷却静电吸盘。基板接触冷却静电吸盘的一范例可在2004年8月26日提出申请的美国专利申请案第10/929,104号(其于2006年3月2日公告为美国专利公报第2006/0043065号)中发现,其全文并入于此以供参照。
金属层411可包含一个或多个流体通道1005,其耦接至连接至阴极底座414的冷却组件444。冷却组件444通常包含耦接块418,其具有二个或更多个连接至一个或多个形成于金属层411中的流体通道1005的埠(未显示)。运作期间,流体(例如,气体、去离子水、或流体)通过耦接块418及流体通道1005传送以控制处理期间放置于定位盘410的基板支撑表面410B上的基板(为清楚起见而未显示)的温度。耦接块418可使用绝缘体417与外侧环境电或热隔离,绝缘体417可由塑胶或陶瓷材料形成。
电性连接组件440通常包含高压引线442、有套的输入引线430、连接块431、高压绝缘体416及介电质插栓443。在使用中,有套的输入引线430,其与射频功率源405A(图1)和/或直流功率源406(图1)电性连接,插入并与连接块431电性连接。连接块431,其由高压绝缘体416与阴极底座414隔离,传送来自射频功率源405A和/或直流功率源406的功率至透过插座441电性连接至放置于定位盘410内部的嵌入式电极415的高压引线442。一实施方式中,插座441以铜焊、粘结和/或以其他方式附接至嵌入式电极415以在嵌入式电极415及插座441间形成良好的射频及电性连接。高压引线442使用介电质插栓443与金属层411电性隔离,介电插栓443可由介电材料制成,例如,聚四氟乙烯(PTFE),举例来说
Figure A20088000256300172
材料,或其他适合的介电材料。
连接块431、高压引线442以及有套的输入引线430可由导电材料形成,举例来说,金属(例如,黄铜、铜或其他适当的材料)。有套的输入引线430可包含中央插栓433,其由导电材料(例如,黄铜、铜或其他导电材料)制成,并且至少部分被包围在射频导体护套434中。某些实例中,其可能需要以金、银或其他促进相配零件间的增强的电接触的涂层来涂布一个或多个电性连接组件440的部件。
在一实施方式中,包含定位盘410及金属层411的静电吸盘422使用支撑绝缘体413与接地的阴极底座414隔离。支撑绝缘体413因此电性及热隔离静电吸盘422与接地端。一般说来,支撑绝缘体413由一材料制成,该材料能够在不允许电弧发生或允许其介电质性质随时间减少的情况下耐受高射频偏压功率及射频偏压电压程度。一实施方式中,支撑绝缘体413由聚合材料或陶瓷材料制成。支撑绝缘体413较佳是由价格低廉的聚合材料(例如,聚碳酸酯材料)加以制成,其将降低替换零件的成本及基板支撑组件400的成本,因而改善其拥有成本(CoO)。一实施方式中,如图10所示,金属层411配置在一形成于支撑绝缘体413内部的特征结构内部以改善阴极底座414及嵌入式电极415间的电性隔离。
欲进一步隔离定位盘410及金属层411并防止在这些部件及其他位于等离子体腔室1内部的部件间发生电弧,因而使用圆柱形绝缘体419及阴影环421。一实施方式中,形成圆柱形绝缘体419以便其覆盖支撑绝缘体413并环绕静电吸盘422,以当静电吸盘422内部的一个或多个部件在处理期间为射频或直流偏压时,可最小化静电吸盘422及不同的接地部件(例如,阴极底座414)间的电弧。圆柱形绝缘体419通常可由介电材料(例如,陶瓷材料(举例来说,氧化铝))加以形成,其可耐受曝露至形成在处理区域25中的等离子体。一实施方式中,形成阴影环421以便其覆盖一部分的定位盘410及支撑绝缘体413以最小化静电吸盘422的部件及其他位于室内的接地部件间的电弧发生可能性。阴影环421通常由介电材料,例如陶瓷材料(举例来说,氧化铝)形成,其可耐受曝露至形成在处理区域25中的等离子体。
图11为在其上具有基板24的图10的静电吸盘422的部分横截面图。如所示,基板24的边缘通常突出于定位盘410的上表面,并且一部分的阴影环421被放置以屏蔽定位盘的上表面免于处理区域25中的等离子体。阴影环421可由与工艺相容的材料制成,包含硅、碳化硅、石英、矾土、氮化铝以及其他工艺相容的材料。同样在图11中显示流体通道1005,其连通于一冷却剂源及一泵。
再次参照图10,在一实施方式中,O形环密封件1010放置在金属层411及支撑绝缘体413间以帮助一真空密封及隔离处理区域25与周围空气。因而在腔室1通过泵40排空至低于大气压力的压力时,真空密封可防止空气泄漏至处理区域25中。一个或多个流体O形环密封件(未显示)还可放置在埠(未显示)周围,其用于连接耦接块418至一个或多个流体通道1005以防止在其中流动的热交换流体泄漏。流体O形环密封件(未显示)可放置在金属层411及支撑绝缘体413之间和支撑绝缘体413及阴极底座414之间。
阴极底座414用于支撑静电吸盘422及支撑绝缘体413,并且通常连接并密封至室底部15。阴极底座414通常由电及热传导材料形成,例如金属(举例来说,铝或不锈钢)。一实施方式中,O形环密封件1015放置在阴极底座414及支撑绝缘体413间以形成一真空密封来防止大气在腔室1排空时泄漏至处理区域25中。
基板支撑组件400还可包含三个或多个举升销组件500(在此图中仅显示一个),其包含举升销510、举升销导件520、上轴衬522以及下轴衬521。三个或多个举升销组件500各个中的举升销510用于使用一耦接至举升销510的致动器(未显示)来帮助基板往返传送于基板支撑表面410B,及往返于机器人叶片(未显示)。一实施方式中,举升销导件520配置在形成于支撑绝缘体413中的孔洞1030及形成于阴极底座414的孔洞1035中,并且举升销510是以垂直方向致动通过形成在定位盘410中的孔525。举升销导件520可由介电材料形成,例如陶瓷材料、聚合材料以及其组合,而举升销510可包含陶瓷或金属材料。
一般说来,举升销导件520及孔洞1030、1035的尺寸,例如,举升销导件520的外直径及孔洞1030、1035的内直径,是以最小化或消除其间的间隙的方式加以形成。举例来说,孔洞1030、1035的内直径及举升销导件520的外直径是遵守紧公差以防止处理期间的射频泄漏及电弧问题。
各个举升销组件500中的上轴衬522用于在举升销导件520插入孔洞1030、1035内部时支撑并拦住举升销导件520。一实施方式中,上轴衬522的外直径及形成于金属层411中的孔洞、及上轴衬522的内直径与举升销导件520间的配适是经过尺寸化以便举升销组件520紧贴地位于形成于金属层411中的孔的内部。一实施方式中,上轴衬用于形成一真空密封和/或一电阻障,其防止射频通过基板支撑组件400泄漏。上轴衬522可由聚合材料,例如
Figure A20088000256300191
材料,形成。
各个举升销组件500中的下轴衬521用于确保举升销导件520接触定位盘410的背表面或其附近以防止等离子体或射频泄漏至基板支撑组件400中。一实施方式中,下轴衬521的外直径带有螺纹以便其可啮合形成在阴极底座414的一区域中的螺纹以朝上推动举升销导件520靠紧定位盘410。下轴衬521可由聚合材料,例如
Figure A20088000256300201
材料、PEEK、或其他适当的材料(举例来说,有涂层的金属部件),形成。
依工艺而定,由射频功率源405A(图1)施加至嵌入式电极415的射频偏压电压可在约500伏特及约10,000伏特间变化。这类高电压可导致基板支撑组件400内部的电弧,其将使工艺条件失真,并且影响基板支撑组件400中的一个或多个部件的可用寿命。为了可靠地在没有电弧的情况下供应大偏压电压给嵌入式电极415,在吸盘内部的空隙填满具有高击穿电压的介电质填料材料,例如
Figure A20088000256300202
材料、
Figure A20088000256300203
材料(由C-Lec塑胶公司制造)或其他适当的材料(举例来说,聚合材料)。欲防止可损坏在基板支撑组件400内部发现的不同部件的电弧问题,其可能需要在形成于配置在基板支撑组件400内部的一个或多个部件间的间隙内部插入介电材料。在一实施方式中,其需要在形成于金属层411、支撑绝缘体413、阴极底座414及举升销导件520中的间隙内部插入介电材料523,举例来说,陶瓷、聚合物、聚四氟乙烯以及其组合。在一实施方式中,在形成于金属层411、支撑绝缘体413、阴极底座414及举升销导件520中的孔洞间形成的间隙内部的介电材料可为聚四氟乙烯带的形式,例如,由
Figure A20088000256300204
材料制成的带。所需以闭合间隙防止射频泄漏(主要沿着零件表面发生)的介电材料523的厚度或量可以相配部件的尺寸公差为基础加以变化。在一实施方式中,金属层411的外部表面是以介电材料涂布或将其电镀以降低处理期间在基板支撑组件400的部件间的电弧的可能性。在一方面中,金属层411接触接合面412的表面,并且未电镀或涂布以促进定位盘410及流体通道1005间的热传导。
虽然前文导向本发明的实施方式,但本发明的其他及进一步的实施方式可在不偏离其基本范围的情况下设计出,而其范围由随后的权利要求来决定。

Claims (23)

1、一种环形等离子体设备,包含:
一第一中空导管,其包含一U形及一矩形横截面;
一第二中空导管,其包含一M形及一矩形横截面;
一开口,其配置在各个所述第一及第二中空导管的相对端;及
一涂层,其配置在各个所述第一及第二中空导管的一内表面上。
2、根据权利要求1所述的环形等离子体设备,其中各个所述第一及第二中空导管包含所述导管的一侧壁中的一狭槽,以提供对所述内表面的存取。
3、根据权利要求2所述的环形等离子体设备,其中所述第一中空导管中的狭槽包含一U形。
4、根据权利要求2所述的环形等离子体设备,其中所述第二中空导管中的狭槽包含一M形。
5、根据权利要求1所述的环形等离子体设备,进一步包含:
一盖件,适于紧固至所述导管的一侧壁。
6、根据权利要求1所述的环形等离子体设备,其中所述涂层包含一钇材料。
7、根据权利要求1所述的环形等离子体设备,其中各个所述第一及第二中空导管包含一配置在其的一外表面上的射频天线。
8、一种等离子体通道设备,包含:
一主体,其具有至少两个纵向通过其中配置的通道,所述至少两个通道由一楔形构件加以分隔;及
一冷却剂通道,至少部分地形成于所述主体的一侧壁中。
9、根据权利要求8所述的等离子体通道设备,进一步包含:
一凸缘部分,其耦接至所述主体。
10、根据权利要求8所述的等离子体通道设备,其中各个所述至少两个通道包含位于所述主体的一第一端的一第一开口,及位于所述主体的一第二端的一第二开口,并且所述第二开口的区域大于所述第一开口的区域。
11、根据权利要求8所述的等离子体通道设备,其中各个所述至少两个通道具有一内表面及配置于其上的钇涂层。
12、一种气体分配设备,包含:
一圆形构件,其具有一第一侧面及一第二侧面;
一凹槽部分,形成于所述第一侧面的一中央区域中以形成一沿着所述圆形构件的第一侧面的一部分的边缘,其中所述凹槽部分包含多个孔口,所述孔口由所述第一侧面延伸至所述第二侧面;及
一装配部分,其耦接至所述圆形构件的一周边并由此放射状延伸。
13、根据权利要求12所述的气体分配设备,进一步包含:
一冷却剂通道,其耦接至所述边缘;及
一入口及一出口,其耦接至所述装配部分。
14、根据权利要求12所述的气体分配设备,其中所述多个孔口包含一位于所述凹槽部分的大致中心的孔口,其具有一第一开口,所述第一开口的深度小于其余所述多个孔口的第一开口的深度。
15、根据权利要求12所述的气体分配设备,其中所述第一侧面进一步包含:
至少两个索引销,其彼此间隔近乎180°。
16、根据权利要求12所述的气体分配设备,其中所述圆形构件的周边包含多个肩部,各个肩部界定一弧的一部分,并且其的外侧直径大于所述圆形构件的外侧直径。
17、一种用于一基板支撑件的阴极组件,包含:
一主体,其具有:
一导电上层;
一导电下层;及
一介电材料,其电性分隔所述导电上层及所述导电下层,其中至少一开口纵向通过所述主体而形成;及
一个或多个介电质填料,其配置在选自下列所构成的群组中的所述主体内位置:一第一接合面,介于所述介电材料及所述导电上层之间;及一第二接合面,介于所述介电材料及所述导电下层之间;及上述的组合。
18、根据权利要求17所述的阴极组件,其中所述介电质填料包括一来自一陶瓷、一聚合物、一聚四氟乙烯以及上述的组合所构成的群组的材料。
19、根据权利要求17所述的阴极组件,进一步包含一绝缘举升销导件,其配置在所述至少一开口中,其中所述绝缘举升销导件包含一来自一陶瓷、一聚合物、一聚四氟乙烯以及上述的组合所构成的群组的材料。
20、根据权利要求17所述的阴极组件,其中所述主体包含至少一形成于其中的冷却剂通道。
21、根据权利要求17所述的阴极组件,其中所述导电上层包含一定位盘,其具有一嵌入式电极。
22、根据权利要求21所述的阴极组件,其中所述电极包含多个电性分隔的电极,其占据所述导电上层中的各自的放射状区域。
23、根据权利要求21所述的阴极组件,其中所述导电上层使用一聚合材料耦接至所述定位盘。
CNA2008800025637A 2007-01-19 2008-01-15 浸没式等离子体室 Pending CN101583736A (zh)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US88579007P 2007-01-19 2007-01-19
US88579707P 2007-01-19 2007-01-19
US88586107P 2007-01-19 2007-01-19
US88580807P 2007-01-19 2007-01-19
US60/885,861 2007-01-19
US60/885,797 2007-01-19
US60/885,808 2007-01-19
US60/885,790 2007-01-19
PCT/US2008/051051 WO2008089168A2 (en) 2007-01-19 2008-01-15 Plasma immersion chamber

Publications (1)

Publication Number Publication Date
CN101583736A true CN101583736A (zh) 2009-11-18

Family

ID=39636661

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2008800025637A Pending CN101583736A (zh) 2007-01-19 2008-01-15 浸没式等离子体室

Country Status (5)

Country Link
US (2) US20080173237A1 (zh)
KR (1) KR20090106617A (zh)
CN (1) CN101583736A (zh)
TW (1) TW200840425A (zh)
WO (1) WO2008089168A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107112189A (zh) * 2014-12-30 2017-08-29 应用材料公司 高传导处理配件

Families Citing this family (325)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US9190289B2 (en) * 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
KR20120004040A (ko) * 2010-07-06 2012-01-12 삼성전자주식회사 플라즈마 발생장치
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
CN104024477B (zh) * 2011-11-23 2016-05-18 朗姆研究公司 多区域气体注入上电极系统
CN104011838B (zh) 2011-11-24 2016-10-05 朗姆研究公司 具有柔性对称的rf返回带的等离子体处理室
US20130153054A1 (en) * 2011-12-19 2013-06-20 Intermolecular, Inc. Combinatorial Processing Tool
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9273408B2 (en) * 2012-09-12 2016-03-01 Globalfoundries Inc. Direct injection molded solder process for forming solder bumps on wafers
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) * 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
JP6812224B2 (ja) * 2016-12-08 2021-01-13 東京エレクトロン株式会社 基板処理装置及び載置台
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10490435B2 (en) * 2018-02-07 2019-11-26 Applied Materials, Inc. Cooling element for an electrostatic chuck assembly
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10790123B2 (en) 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR102114891B1 (ko) * 2019-11-18 2020-05-26 주식회사 기가레인 플라즈마 처리 장치
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US20210175103A1 (en) * 2019-12-06 2021-06-10 Applied Materials, Inc. In situ failure detection in semiconductor processing chambers
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
US11615966B2 (en) 2020-07-19 2023-03-28 Applied Materials, Inc. Flowable film formation and treatments
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
US11699571B2 (en) 2020-09-08 2023-07-11 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11887811B2 (en) * 2020-09-08 2024-01-30 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20220107521A (ko) * 2021-01-25 2022-08-02 (주) 엔피홀딩스 반응기, 이를 포함하는 공정 처리 장치 및 반응기 제조 방법
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2344138A (en) * 1940-05-20 1944-03-14 Chemical Developments Corp Coating method
US3109100A (en) * 1960-05-19 1963-10-29 Automatic Canteen Co Photosensitive currency testing device
US3576685A (en) * 1968-03-15 1971-04-27 Itt Doping semiconductors with elemental dopant impurity
US3907616A (en) * 1972-11-15 1975-09-23 Texas Instruments Inc Method of forming doped dielectric layers utilizing reactive plasma deposition
CH611938A5 (zh) * 1976-05-19 1979-06-29 Battelle Memorial Institute
DE3118785A1 (de) * 1981-05-12 1982-12-02 Siemens AG, 1000 Berlin und 8000 München Verfahren und vorrichtung zum dotieren von halbleitermaterial
US4465529A (en) * 1981-06-05 1984-08-14 Mitsubishi Denki Kabushiki Kaisha Method of producing semiconductor device
US4385946A (en) * 1981-06-19 1983-05-31 Bell Telephone Laboratories, Incorporated Rapid alteration of ion implant dopant species to create regions of opposite conductivity
US4382099A (en) * 1981-10-26 1983-05-03 Motorola, Inc. Dopant predeposition from high pressure plasma source
US4500563A (en) * 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4581118A (en) * 1983-01-26 1986-04-08 Materials Research Corporation Shaped field magnetron electrode
US4521441A (en) * 1983-12-19 1985-06-04 Motorola, Inc. Plasma enhanced diffusion process
JPS60153119A (ja) * 1984-01-20 1985-08-12 Fuji Electric Corp Res & Dev Ltd 不純物拡散方法
US4539217A (en) * 1984-06-27 1985-09-03 Eaton Corporation Dose control method
US4698104A (en) * 1984-12-06 1987-10-06 Xerox Corporation Controlled isotropic doping of semiconductor materials
JPH0763056B2 (ja) * 1986-08-06 1995-07-05 三菱電機株式会社 薄膜形成装置
US4764394A (en) * 1987-01-20 1988-08-16 Wisconsin Alumni Research Foundation Method and apparatus for plasma source ion implantation
US4912065A (en) * 1987-05-28 1990-03-27 Matsushita Electric Industrial Co., Ltd. Plasma doping method
KR930003857B1 (ko) * 1987-08-05 1993-05-14 마쯔시다덴기산교 가부시기가이샤 플라즈마 도우핑방법
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5106827A (en) * 1989-09-18 1992-04-21 The Perkin Elmer Corporation Plasma assisted oxidation of perovskites for forming high temperature superconductors using inductively coupled discharges
US5312778A (en) * 1989-10-03 1994-05-17 Applied Materials, Inc. Method for plasma processing using magnetically enhanced plasma chemical vapor deposition
US5107201A (en) * 1990-12-11 1992-04-21 Ogle John S High voltage oscilloscope probe with wide frequency response
US5288650A (en) * 1991-01-25 1994-02-22 Ibis Technology Corporation Prenucleation process for simox device fabrication
US5290382A (en) * 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
US5423945A (en) * 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
US5505780A (en) * 1992-03-18 1996-04-09 International Business Machines Corporation High-density plasma-processing tool with toroidal magnetic field
US5277751A (en) * 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
WO1994006263A1 (en) * 1992-09-01 1994-03-17 The University Of North Carolina At Chapel Hill High pressure magnetically assisted inductively coupled plasma
US5510011A (en) * 1992-11-09 1996-04-23 Canon Kabushiki Kaisha Method for forming a functional deposited film by bias sputtering process at a relatively low substrate temperature
US5542559A (en) * 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
JP3430552B2 (ja) * 1993-05-07 2003-07-28 ソニー株式会社 ダイヤモンド半導体の製造方法
IT1263372B (it) * 1993-05-26 1996-08-05 Deregibus A & A Spa Macchina perfezionata per la produzione di tubi in gomma vulcanizzata.
EP0634778A1 (en) * 1993-07-12 1995-01-18 The Boc Group, Inc. Hollow cathode array
US5520209A (en) * 1993-12-03 1996-05-28 The Dow Chemical Company Fluid relief device
US5435881A (en) * 1994-03-17 1995-07-25 Ogle; John S. Apparatus for producing planar plasma using varying magnetic poles
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5711812A (en) * 1995-06-06 1998-01-27 Varian Associates, Inc. Apparatus for obtaining dose uniformity in plasma doping (PLAD) ion implantation processes
US5874014A (en) * 1995-06-07 1999-02-23 Berkeley Scholars, Inc. Durable plasma treatment apparatus and method
US5702530A (en) * 1995-06-23 1997-12-30 Applied Materials, Inc. Distributed microwave plasma reactor for semiconductor processing
US5653811A (en) * 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US5654043A (en) * 1996-10-10 1997-08-05 Eaton Corporation Pulsed plate plasma implantation system and method
US5911832A (en) * 1996-10-10 1999-06-15 Eaton Corporation Plasma immersion implantation with pulsed anode
US5770982A (en) * 1996-10-29 1998-06-23 Sematech, Inc. Self isolating high frequency saturable reactor
US6051286A (en) * 1997-02-12 2000-04-18 Applied Materials, Inc. High temperature, high deposition rate process and apparatus for depositing titanium layers
JPH10270428A (ja) * 1997-03-27 1998-10-09 Mitsubishi Electric Corp プラズマ処理装置
US6155909A (en) * 1997-05-12 2000-12-05 Silicon Genesis Corporation Controlled cleavage system using pressurized fluid
US6291313B1 (en) * 1997-05-12 2001-09-18 Silicon Genesis Corporation Method and device for controlled cleaving process
US6582999B2 (en) * 1997-05-12 2003-06-24 Silicon Genesis Corporation Controlled cleavage process using pressurized fluid
US5897752A (en) * 1997-05-20 1999-04-27 Applied Materials, Inc. Wafer bias ring in a sustained self-sputtering reactor
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6103599A (en) * 1997-07-25 2000-08-15 Silicon Genesis Corporation Planarizing technique for multilayered substrates
GB2343550A (en) * 1997-07-29 2000-05-10 Silicon Genesis Corp Cluster tool method and apparatus using plasma immersion ion implantation
US5935077A (en) * 1997-08-14 1999-08-10 Ogle; John Seldon Noninvasive blood flow sensor using magnetic field parallel to skin
US6041735A (en) * 1998-03-02 2000-03-28 Ball Semiconductor, Inc. Inductively coupled plasma powder vaporization for fabricating integrated circuits
US6265328B1 (en) * 1998-01-30 2001-07-24 Silicon Genesis Corporation Wafer edge engineering method and device
US6274459B1 (en) * 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
US5944942A (en) * 1998-03-04 1999-08-31 Ogle; John Seldon Varying multipole plasma source
US6395150B1 (en) * 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US6101971A (en) * 1998-05-13 2000-08-15 Axcelis Technologies, Inc. Ion implantation control using charge collection, optical emission spectroscopy and mass analysis
JP3497092B2 (ja) * 1998-07-23 2004-02-16 名古屋大学長 プラズマ密度情報測定方法、および測定に用いられるプローブ、並びにプラズマ密度情報測定装置
US6050218A (en) * 1998-09-28 2000-04-18 Eaton Corporation Dosimetry cup charge collection in plasma immersion ion implantation
US6579805B1 (en) * 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6239553B1 (en) * 1999-04-22 2001-05-29 Applied Materials, Inc. RF plasma source for material processing
US6392351B1 (en) * 1999-05-03 2002-05-21 Evgeny V. Shun'ko Inductive RF plasma source with external discharge bridge
US6248642B1 (en) * 1999-06-24 2001-06-19 Ibis Technology Corporation SIMOX using controlled water vapor for oxygen implants
US6237527B1 (en) * 1999-08-06 2001-05-29 Axcelis Technologies, Inc. System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate
US6182604B1 (en) * 1999-10-27 2001-02-06 Varian Semiconductor Equipment Associates, Inc. Hollow cathode for plasma doping system
US6433553B1 (en) * 1999-10-27 2002-08-13 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for eliminating displacement current from current measurements in a plasma processing system
US6335536B1 (en) * 1999-10-27 2002-01-01 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for low voltage plasma doping using dual pulses
US6341574B1 (en) * 1999-11-15 2002-01-29 Lam Research Corporation Plasma processing systems
SE522531C2 (sv) * 1999-11-24 2004-02-17 Micronic Laser Systems Ab Metod och anordning för märkning av halvledare
US6350697B1 (en) * 1999-12-22 2002-02-26 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
US6291938B1 (en) * 1999-12-31 2001-09-18 Litmas, Inc. Methods and apparatus for igniting and sustaining inductively coupled plasma
US6391146B1 (en) * 2000-04-11 2002-05-21 Applied Materials, Inc. Erosion resistant gas energizer
US6417078B1 (en) * 2000-05-03 2002-07-09 Ibis Technology Corporation Implantation process using sub-stoichiometric, oxygen doses at different energies
US6679981B1 (en) * 2000-05-11 2004-01-20 Applied Materials, Inc. Inductive plasma loop enhancing magnetron sputtering
US6418874B1 (en) * 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
KR100366623B1 (ko) * 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
US6403453B1 (en) * 2000-07-27 2002-06-11 Sharp Laboratories Of America, Inc. Dose control technique for plasma doping in ultra-shallow junction formations
US6893907B2 (en) * 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US6453842B1 (en) * 2000-08-11 2002-09-24 Applied Materials Inc. Externally excited torroidal plasma source using a gas distribution plate
US6348126B1 (en) * 2000-08-11 2002-02-19 Applied Materials, Inc. Externally excited torroidal plasma source
US6551446B1 (en) * 2000-08-11 2003-04-22 Applied Materials Inc. Externally excited torroidal plasma source with a gas distribution plate
US6410449B1 (en) * 2000-08-11 2002-06-25 Applied Materials, Inc. Method of processing a workpiece using an externally excited torroidal plasma source
US7094316B1 (en) * 2000-08-11 2006-08-22 Applied Materials, Inc. Externally excited torroidal plasma source
US7479456B2 (en) * 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US6593173B1 (en) * 2000-11-28 2003-07-15 Ibis Technology Corporation Low defect density, thin-layer, SOI substrates
US6413321B1 (en) * 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
US6755150B2 (en) * 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US20030013314A1 (en) * 2001-07-06 2003-01-16 Chentsau Ying Method of reducing particulates in a plasma etch chamber during a metal etch process
US6632728B2 (en) * 2001-07-16 2003-10-14 Agere Systems Inc. Increasing the electrical activation of ion-implanted dopants
US6838695B2 (en) * 2002-11-25 2005-01-04 International Business Machines Corporation CMOS device structure with improved PFET gate electrode
US20070206716A1 (en) * 2003-03-21 2007-09-06 Edwards W F Plasma containment method

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107112189A (zh) * 2014-12-30 2017-08-29 应用材料公司 高传导处理配件
US10763086B2 (en) 2014-12-30 2020-09-01 Applied Materials, Inc. High conductance process kit

Also Published As

Publication number Publication date
US20120199071A1 (en) 2012-08-09
US20080173237A1 (en) 2008-07-24
TW200840425A (en) 2008-10-01
WO2008089168A2 (en) 2008-07-24
KR20090106617A (ko) 2009-10-09
WO2008089168A3 (en) 2008-11-13

Similar Documents

Publication Publication Date Title
CN101583736A (zh) 浸没式等离子体室
US11450509B2 (en) Inductive plasma source with metallic shower head using b-field concentrator
US10460915B2 (en) Rotatable substrate support having radio frequency applicator
US8206552B2 (en) RF power delivery system in a semiconductor apparatus
TWI423308B (zh) A plasma processing apparatus, a plasma processing method, and a dielectric window for use therefor and a method of manufacturing the same
US20090314208A1 (en) Pedestal heater for low temperature pecvd application
CN206877967U (zh) 处理套件和等离子体腔室
CN112366128B (zh) 用于在处理腔室中提供对称的流动路径的流动模块
US20200411355A1 (en) Apparatus for reduction or prevention of arcing in a substrate support
CN103280415A (zh) 减少基材上粒子污染的接地引脚
US20200286717A1 (en) Electrostatic chuck for high bias radio frequency (rf) power application in a plasma processing chamber
US20210035844A1 (en) Sheath and temperature control of process kit
CN115244679A (zh) 基板处理腔室中的处理套件的鞘与温度控制
JP3233344U (ja) 冷却された基板支持アセンブリのための電気コネクタ
KR100720988B1 (ko) 매설된 유도 안테나를 구비하는 플라즈마 처리 챔버
TW201944452A (zh) 用於半導體處理及配備的磁感應電漿源
US11551960B2 (en) Helical plug for reduction or prevention of arcing in a substrate support
CN210296330U (zh) 用于处理基板的工艺腔室和静电吸盘
CN112670142A (zh) 静电卡盘和半导体工艺设备
US20240079209A1 (en) Method and apparatus for plasma generation
US20230197495A1 (en) Substrate support gap pumping to prevent glow discharge and light-up
US20240120229A1 (en) Bipolar electrostatic chuck for etch chamber
KR100479513B1 (ko) 정전척 어셈블리

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

AD01 Patent right deemed abandoned

Effective date of abandoning: 20091118

C20 Patent right or utility model deemed to be abandoned or is abandoned