JP2002050604A - 洗浄溶液を用いた集積回路基板の汚染物質の除去方法 - Google Patents
洗浄溶液を用いた集積回路基板の汚染物質の除去方法Info
- Publication number
- JP2002050604A JP2002050604A JP2001168176A JP2001168176A JP2002050604A JP 2002050604 A JP2002050604 A JP 2002050604A JP 2001168176 A JP2001168176 A JP 2001168176A JP 2001168176 A JP2001168176 A JP 2001168176A JP 2002050604 A JP2002050604 A JP 2002050604A
- Authority
- JP
- Japan
- Prior art keywords
- substrate
- fluorine
- cleaning solution
- containing gas
- gas
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000034 method Methods 0.000 title claims abstract description 85
- 239000000758 substrate Substances 0.000 title claims abstract description 69
- 238000004140 cleaning Methods 0.000 title claims abstract description 61
- 239000000356 contaminant Substances 0.000 title abstract description 30
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims abstract description 33
- 239000007789 gas Substances 0.000 claims abstract description 32
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims abstract description 26
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims abstract description 26
- 239000011737 fluorine Substances 0.000 claims abstract description 26
- 229910052731 fluorine Inorganic materials 0.000 claims abstract description 26
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 claims abstract description 18
- 239000002738 chelating agent Substances 0.000 claims abstract description 18
- 239000008367 deionised water Substances 0.000 claims abstract description 12
- 229910021641 deionized water Inorganic materials 0.000 claims abstract description 12
- 238000011109 contamination Methods 0.000 claims abstract description 9
- -1 peroxide hydrogen Chemical class 0.000 claims abstract description 9
- 229910018503 SF6 Inorganic materials 0.000 claims abstract description 5
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 claims abstract description 5
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 claims abstract description 5
- 229960000909 sulfur hexafluoride Drugs 0.000 claims abstract description 5
- JOHWNGGYGAVMGU-UHFFFAOYSA-N trifluorochlorine Chemical compound FCl(F)F JOHWNGGYGAVMGU-UHFFFAOYSA-N 0.000 claims abstract description 4
- QGZKDVFQNNGYKY-UHFFFAOYSA-O Ammonium Chemical compound [NH4+] QGZKDVFQNNGYKY-UHFFFAOYSA-O 0.000 claims abstract description 3
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 claims description 26
- 238000000137 annealing Methods 0.000 claims description 11
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 4
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 4
- 238000005406 washing Methods 0.000 claims description 3
- 229910052786 argon Inorganic materials 0.000 claims description 2
- 239000012159 carrier gas Substances 0.000 claims description 2
- 229910052757 nitrogen Inorganic materials 0.000 claims description 2
- 239000002245 particle Substances 0.000 abstract description 8
- 239000001257 hydrogen Substances 0.000 abstract description 5
- 229910052739 hydrogen Inorganic materials 0.000 abstract description 5
- 150000001875 compounds Chemical class 0.000 abstract description 3
- 230000002441 reversible effect Effects 0.000 abstract description 2
- 239000000243 solution Substances 0.000 description 24
- 239000002184 metal Substances 0.000 description 16
- 229910052751 metal Inorganic materials 0.000 description 16
- 238000006243 chemical reaction Methods 0.000 description 12
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 6
- 238000004519 manufacturing process Methods 0.000 description 4
- 239000000203 mixture Substances 0.000 description 4
- KRKNYBCHXYNGOX-UHFFFAOYSA-N citric acid Chemical compound OC(=O)CC(O)(C(O)=O)CC(O)=O KRKNYBCHXYNGOX-UHFFFAOYSA-N 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 238000011065 in-situ storage Methods 0.000 description 3
- 239000004065 semiconductor Substances 0.000 description 3
- RGHNJXZEOKUKBD-SQOUGZDYSA-N D-gluconic acid Chemical compound OC[C@@H](O)[C@@H](O)[C@H](O)[C@@H](O)C(O)=O RGHNJXZEOKUKBD-SQOUGZDYSA-N 0.000 description 2
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 2
- QIGBRXMKCJKVMJ-UHFFFAOYSA-N Hydroquinone Chemical compound OC1=CC=C(O)C=C1 QIGBRXMKCJKVMJ-UHFFFAOYSA-N 0.000 description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- QAOWNCQODCNURD-UHFFFAOYSA-N Sulfuric acid Chemical compound OS(O)(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-N 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 230000004888 barrier function Effects 0.000 description 2
- YCIMNLLNPGFGHC-UHFFFAOYSA-N catechol Chemical compound OC1=CC=CC=C1O YCIMNLLNPGFGHC-UHFFFAOYSA-N 0.000 description 2
- 239000004973 liquid crystal related substance Substances 0.000 description 2
- 239000007769 metal material Substances 0.000 description 2
- GVGCUCJTUSOZKP-UHFFFAOYSA-N nitrogen trifluoride Chemical compound FN(F)F GVGCUCJTUSOZKP-UHFFFAOYSA-N 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 150000002978 peroxides Chemical class 0.000 description 2
- WQGWDDDVZFFDIG-UHFFFAOYSA-N pyrogallol Chemical compound OC1=CC=CC(O)=C1O WQGWDDDVZFFDIG-UHFFFAOYSA-N 0.000 description 2
- GHMLBKRAJCXXBS-UHFFFAOYSA-N resorcinol Chemical compound OC1=CC=CC(O)=C1 GHMLBKRAJCXXBS-UHFFFAOYSA-N 0.000 description 2
- 241000239290 Araneae Species 0.000 description 1
- RGHNJXZEOKUKBD-UHFFFAOYSA-N D-gluconic acid Natural products OCC(O)C(O)C(O)C(O)C(O)=O RGHNJXZEOKUKBD-UHFFFAOYSA-N 0.000 description 1
- DBVJJBKOTRCVKF-UHFFFAOYSA-N Etidronic acid Chemical compound OP(=O)(O)C(O)(C)P(O)(O)=O DBVJJBKOTRCVKF-UHFFFAOYSA-N 0.000 description 1
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 1
- 239000002253 acid Substances 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 239000007864 aqueous solution Substances 0.000 description 1
- SWXQKHHHCFXQJF-UHFFFAOYSA-N azane;hydrogen peroxide Chemical compound [NH4+].[O-]O SWXQKHHHCFXQJF-UHFFFAOYSA-N 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 239000000460 chlorine Substances 0.000 description 1
- 230000000052 comparative effect Effects 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000007598 dipping method Methods 0.000 description 1
- 238000004090 dissolution Methods 0.000 description 1
- 238000005108 dry cleaning Methods 0.000 description 1
- 238000001035 drying Methods 0.000 description 1
- 238000003912 environmental pollution Methods 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 229960004585 etidronic acid Drugs 0.000 description 1
- 238000011066 ex-situ storage Methods 0.000 description 1
- 239000010419 fine particle Substances 0.000 description 1
- 239000000174 gluconic acid Substances 0.000 description 1
- 235000012208 gluconic acid Nutrition 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 229910021645 metal ion Inorganic materials 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 239000005416 organic matter Substances 0.000 description 1
- 239000007800 oxidant agent Substances 0.000 description 1
- 238000002161 passivation Methods 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 239000002957 persistent organic pollutant Substances 0.000 description 1
- 150000003009 phosphonic acids Chemical class 0.000 description 1
- 229940079877 pyrogallol Drugs 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 238000010129 solution processing Methods 0.000 description 1
- 241000894007 species Species 0.000 description 1
- 239000012086 standard solution Substances 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 230000002459 sustained effect Effects 0.000 description 1
- 230000036962 time dependent Effects 0.000 description 1
- 231100000331 toxic Toxicity 0.000 description 1
- 230000002588 toxic effect Effects 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/0206—Cleaning during device manufacture during, before or after processing of insulating layers
- H01L21/02063—Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/304—Mechanical treatment, e.g. grinding, polishing, cutting
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D3/00—Other compounding ingredients of detergent compositions covered in group C11D1/00
- C11D3/39—Organic or inorganic per-compounds
- C11D3/3947—Liquid compositions
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D7/00—Compositions of detergents based essentially on non-surface-active compounds
- C11D7/22—Organic compounds
- C11D7/26—Organic compounds containing oxygen
- C11D7/265—Carboxylic acids or salts thereof
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D7/00—Compositions of detergents based essentially on non-surface-active compounds
- C11D7/22—Organic compounds
- C11D7/36—Organic compounds containing phosphorus
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02043—Cleaning before device manufacture, i.e. Begin-Of-Line process
- H01L21/02046—Dry cleaning only
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D2111/00—Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
- C11D2111/10—Objects to be cleaned
- C11D2111/14—Hard surfaces
- C11D2111/22—Electronic devices, e.g. PCBs or semiconductors
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S134/00—Cleaning and liquid contact with solids
- Y10S134/902—Semiconductor wafer
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S438/00—Semiconductor device manufacturing: process
- Y10S438/906—Cleaning of wafer as interim step
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Organic Chemistry (AREA)
- Life Sciences & Earth Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Oil, Petroleum & Natural Gas (AREA)
- Wood Science & Technology (AREA)
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Inorganic Chemistry (AREA)
- Health & Medical Sciences (AREA)
- Emergency Medicine (AREA)
- Cleaning Or Drying Semiconductors (AREA)
- Cleaning In General (AREA)
- Cleaning By Liquid Or Steam (AREA)
Abstract
溶液及び汚染物質の除去方法を提供する。 【解決手段】 基板をキレート剤を含む過酸化水素洗浄
溶液で処理した後、この基板を水素ガス及びフッ素含有
ガスで処理し、最後にこの基板をアニーリングする。洗
浄溶液はアンモニウム、過酸化水素、脱イオン水及びキ
レート剤を含む。キレート剤はカルボン酸化合物、ホス
ホン酸化合物及びヒドロキシル芳香族化合物よりなる群
から選択された1種ないし3種の化合物を含む。フッ素
-含有ガスは三フッ化窒素(NF3)、六フッ化硫黄(SF6)及
び三フッ化塩素(ClF3)よりなる群から選択されたガスで
ある。これにより、有機汚染物、パーチクル、金属汚染
物のような各種汚染物を効果的に除去できる。特に、金
属汚染物の再付着による逆汚染問題がないし自然酸化膜
などの各種酸化膜を下部膜質の損傷なしに効果的に除去
できるという利点がある。
Description
溶液及びそれを用いた洗浄方法に係り、より詳細には半
導体基板またはLCD基板表面用洗浄溶液及びこれを用い
た洗浄方法に関する。
置(LCD)のような半導体装置及び集積回路の製造時に重
要な役割をする。集積回路基板は工程中に汚染されやす
いために洗浄工程が重要である。金属物質、自然酸化膜
などの酸化膜及び損傷膜質や、さらにはパーチクルのよ
うな汚染物質が基板上に残存しやすく、これによって装
置の信頼性の低下がもたらされうる。効果的な洗浄方法
によれば汚染物質が除去されて製造収率が高まる。
る。一連の湿式洗浄方法の例が、APM(Ammonia hydrogen
peroxide mixture)工程、SPM(sulfuric acid hydrogen
peroxide mixture)工程及びHPM(hydrochloric acid hy
drogen peroxide mixture)工程よりなる方法である。こ
のような一連の湿式洗浄工程は、各々パーチクルまたは
微粒子、有機汚染物及び金属汚染物を効果的に除去する
工程である。
それぞれ問題点も有しているが、例えば、APM工程はパ
ーチクル除去能力に優れた反面、金属汚染物の除去能は
弱く、HPM工程は金属汚染物の除去力に優れるが、激し
い腐食性と毒性があってパーチクル除去には弱いという
問題点がある。
はこれら工程を適切に組合せしたり変形したりして適用
する必要がある。
M工程を順に実施する方法がある。この方法によれば、S
PM工程により集積回路基板上の有機物を除去し、APM工
程により基板上のパーチクルを除去する。次に、HPM工
程を実施して金属汚染物を除去する。
でなく、生産コストが高い。また、過量の洗浄溶液とリ
ンス用脱イオン水を連続して使用することによって環境
公害を起こす可能性が高い。また、SPM、APM及びHPM溶
液は強力な酸化剤の過酸化水素(H2O2)を含むため、洗浄
後に基板上に化学的酸化膜が形成される。また、集積回
路製造工程時に集積回路基板が大気中の酸素や水分と反
応し、基板表面に薄い自然酸化膜が生じうる。このよう
に生じた酸化膜は集積回路装置の特性に悪影響を及ぼ
す。特に、自然酸化膜がコンタクト表面に生じればコン
タクト抵抗を増加させ、ゲート酸化膜の成長前に自然酸
化膜が生じればゲート酸化膜の特性を低下させる。
法が、フッ酸洗浄液を用いる湿式洗浄方法である。フッ
酸洗浄液は、基板と酸化膜との間に高い蝕刻選択比を維
持するだけでなく、酸化膜洗浄後にシリコン基板の表面
上に水素パッシべーション膜を形成するという点では効
果的である。
法には短所がある。すなわち、この方法はイン-シチュ
(in-situ)工程で進行し難く、長時間がかかるために汚
染物質を順に制御し難い。その上、洗浄工程の後続工程
のウェーハ乾燥工程時に各種汚染が生じうるが、このよ
うな汚染に対する制御がほとんど不可能であるという致
命的な短所がある。
時に、洗浄液がコンタクトホールに流入し、コンタクト
ホールから排出し難く、酸化膜の完全な除去が不可能で
あり、洗浄残留物の除去も容易ではないという問題点も
ある。
する技術的課題は集積回路基板上の汚染物質の除去方法
を提供することである。
集積回路基板から汚染物質を除去する方法において、
1)前記基板をキレート剤を含む過酸化水素洗浄溶液で
処理する段階と、2)前記基板を水素ガス及びフッ素含
有ガスで処理する段階と、3)前記基板をアニーリング
する段階とを順に含むことを特徴とする集積回路基板の
汚染物質を除去する方法によってなされうる。
溶液がアンモニウム、過酸化水素、脱イオン水及びキレ
ート剤を含み、この洗浄溶液の温度が約40℃ないし約
80℃である。
理する段階が約−25℃ないし約50℃の温度及び約
0.01torrないし約10torrの圧力下で実施されるこ
とが望ましい。
ないし約500℃の温度で約20秒ないし約60秒で実
施される。
フッ化硫黄(SF6)及び三フッ化塩素(ClF3)よりなる群か
ら選択された少なくとも一つであり、フッ素-含有ガス
の供給量が前記水素ガスに対して約0.1〜約5000v
ol%であることが望ましい。
処理した後にオゾン水でリンスする段階をさらに含むこ
とができる。洗浄溶液の処理段階とオゾン水のリンス段
階は同一バス内で実施され、オゾン水の温度は約0ない
し約30℃である。オゾン水は脱イオン水とオゾンを含
むが、オゾンの含量が脱イオン水に対して約1ppmない
し約1,000ppmである。
示した添付図面を挙げて発明をより詳細に説明する。
チャートである。まず、汚染物質が形成されている集積
回路基板、例えば、半導体基板または液晶表示装置基板
を準備する。次いで、前記基板を洗浄溶液で処理する
(段階10)。洗浄溶液は塩基性過酸化水素水溶液とキレ
ート剤とを含む。この洗浄溶液を基板表面上に噴射した
り、基板を洗浄溶液が入っているバスまたは浴にディッ
ピングしたりする方法で洗浄できる。キレート剤は金属
汚染物質を除去するのに使われうる。通常、使われるキ
レート剤の種類には制限がない。しかし、望ましくはク
エン酸、グルコン酸のようなカルボン酸化合物と、ヒド
ロキシエタンジホスホン酸、エチレンジアミンテトラキ
ス-メチレンホスホン酸のようなホスホン酸化合物と、
カテコール、レゾルシノール、ヒドロキノン、ピロガロ
ールのようなヒドロキシ芳香族化合物から選択された1
種ないし3種を使用できる。望ましいキレート剤添加量
は、洗浄用液中の水含量を基準として、約500ppmな
いし約5,000ppmである。もし約500ppm未満であ
れば金属汚染物質の除去効果が低いし、約5,000ppm
を超過する場合には有機汚染物質が生じうる。
は、基板の一部で除去された金属物質が基板の他の部分
に再付着する、いわゆる"金属逆汚染"によって金属汚染
物質を完全に除去し難い場合がある。一方、キレート剤
は洗浄溶液内で金属イオンと配位結合して錯化合物を形
成することによって、金属汚染物により基板が再汚染さ
れないようにする。また、洗浄溶液は何回使用してもき
れいなために繰り返して使用できる。本発明において、
この段階は望ましくは約40℃ないし約80℃の温度範
囲で実施されうる。
ン水またはオゾン水でリンスして、基板上の残留物を除
去する(段階20)。オゾン水を用いてリンスすれば、洗
浄溶液処理段階(段階10)時にキレート剤から出る有機
汚染物を効果的に除去できるという利点がある。オゾン
水を用いる場合、望ましくはオゾン水の温度が約0℃な
いし約30℃で、オゾン水中のオゾン濃度が約1ppmな
いし約1,000ppmである。もし、オゾン水の温度が約
0℃より低ければO3の溶解が容易でより高いO3濃度を
得られるという利点はあるが、反応性が落ちるのでオゾ
ン水を用いたリンス効果が落ち、約30℃を超過する場
合にはO3が容易に溶解され難いという問題点がある。
リンス工程は、基板上に脱イオン水またはオゾン水を持
続的に流しながら実施するオーバーフロー法、または基
板をオゾン水が入っているバスに入れて取り出すクィッ
クダンプ法中でいずれかによって実施しても構わない。
ガスで化学的に処理する(段階30)。この時、水素ガス
はプラズマ状態で工程チャンバに供給され、フッ素-含
有ガスは自然状態で工程チャンバに供給される。望まし
くは、前記水素ガスとフッ素-含有ガスを所定比で混合
した後、キャリアガスの窒素及び/またはアルゴンガス
と共に工程チャンバに供給する。
F3)、六フッ化硫黄(SF6)または三フッ化塩素(ClF3)を使
用できるが、望ましくはフッ化窒素を使用する。フッ素
-含有ガスの混合比は、水素ガスに対して、約0.1〜約
5000vol%であることが望ましい。
−25ないし約50℃、望ましくは約0℃ないし約50
℃の温度、及び約0.01torrないし約10torrの圧力
で工程条件を制御しながら約20ないし約600秒間反
応を実施する。前述したように工程チャンバ内に水素ガ
スとフッ素-含有ガスを供給して反応条件を設定すれ
ば、水素ガスとフッ素-含有ガスが基板表面に形成され
た酸化膜と化学的反応を起こして酸化膜を除去する。こ
の時、前記酸化膜は大気中の酸素や水分と反応して自発
的に形成される自然酸化膜である場合もあり、任意の酸
化膜パターンを形成するために蝕刻される被蝕刻酸化膜
である場合もある。
スで処理する段階(段階30)は、既存のRIE方法とは違
って、化学的反応にのみ基づくのでウェーハ表面が傷つ
く恐れがないだけでなくチャージ損傷される恐れもな
い。例えば、工程チャンバに供給された水素ガスと三フ
ッ化窒素ガスは酸化膜、すなわち二酸化シリコンと化学
的反応を起こして前記供給ガスと酸化膜が出合う所に、
例えば、(NH4)2SiF6のような反応層を形成する。このよ
うに形成された反応層は化学的反応に対する障壁層で作
用するが、すなわち供給ガスと酸化膜との持続的な化学
的反応を妨害する。
理した後、基板をアニーリングして障壁層で作用する反
応層を気化させて除去する(段階40)。前記アニーリン
グ工程は低温で、具体的に約100ないし約500℃の
温度で、約20ないし約600秒間実施される。もし、
アニーリング温度と時間範囲が前記範囲を外れれば、反
応層が充分に除去されないという問題点がある。このよ
うなアニーリング工程は、イン-シチュまたはエックス-
シチュ(ex-situ)状態中で、いずれかの状態で進行して
も構わない。
ば、前記反応層が存在した所の酸化膜は除去されると同
時に、基板表面は水素でパッシべーションされる。
去されねばならない酸化膜が自然酸化膜の場合には一般
に1回の工程だけでも充分であるが、除去されねばなら
ない酸化膜が一般のパターンを形成するための酸化膜の
場合には酸化膜の厚さによって1回以上反復して実施す
ることが望ましい。
り詳細に説明する。
ら各々をメタルスタンダードソリューション(metal sta
ndard solution)で処理して、その表面を金属汚染物で
強制汚染させた。金属汚染物の水準は全て1E13atoms
/cm2であった。次いで、これら基板の各々を通常のAPM
洗浄溶液とキレート剤含有APM洗浄溶液で各々処理した
後に、処理された基板の金属汚染度をまた測定した。そ
の結果を下記の表1に示した。
理した後にFeの汚染水準は減少した。しかし、Al汚染物
質の水準は増加した。反対に、キレート剤含有APMで処
理した後は2種の金属(FeとAl)とも大幅に増加した。
ら基板を各々洗浄した後、その上にゲート酸化膜を蒸着
した。まず、2枚の基板中で、1枚はAPM洗浄及びHF洗
浄を順に実施する通常の洗浄方法で処理し、他の1枚は
キレート剤が添加されたAPM洗浄溶液でまず洗浄した
後、水素プラズマとフッ素含有ガスの混合物を供給して
乾燥式洗浄する本発明の洗浄方法によって処理した。
パターニングした後、各々パターンが形成された基板で
ゲート酸化膜に対するTDDB(Time Dependent Dielectric
Breakdown)特性を測定して、ゲート酸化膜の信頼度を
評価した。この時、TDDB測定は室温で50Aの電流及び
−7.4Vの電圧の条件下で実施した。その結果を図2に
示した。図2において、曲線(a)は通常の洗浄方法で処
理した場合のTDDB特性を、曲線(b)は本発明に係る洗浄
方法で処理した場合のTDDB特性を示したものである。図
2の結果から分かるように、所定のフェイル発生率で本
発明に係る曲線(b)の経過時間が曲線(a)よりもっと長か
った。したがって、本発明に係る洗浄方法で処理した場
合、ゲート酸化膜に対する信頼性により優れたことが分
かる。
り、有機汚染物、パーチクル、金属汚染物のような各種
汚染物が効果的に除去できる。特に、金属汚染物の再付
着による逆汚染問題がないし、自然酸化膜などの各種酸
化膜を下部膜質の損傷なしに効果的に除去できるという
利点がある。
ートである。
特性(a)及び本発明に係る洗浄方法で処理された基板に
関するTDDB特性(b)を各々示したグラフである。
Claims (20)
- 【請求項1】 集積回路の基板から汚染源を除去する方
法において、 1)前記基板をキレート剤を含む過酸化水素洗浄溶液で
処理する段階と、 2)前記基板を水素ガス及びフッ素含有ガスで処理する
段階と、 3)前記基板をアニーリングする段階とを順に含むこと
を特徴とする方法。 - 【請求項2】 前記過酸化水素洗浄溶液がアンモニウ
ム、過酸化水素、脱イオン水及びキレート剤を含むこと
を特徴とする請求項1に記載の方法。 - 【請求項3】 前記キレート剤がカルボン酸化合物、ホ
スホン酸化合物及びヒドロキシル芳香族化合物よりなる
群から選択された1種ないし3種であることを特徴とす
る請求項1に記載の方法。 - 【請求項4】 前記キレート剤の含量が洗浄溶液中の水
含量を基準として約500ppmないし約5,000ppmで
あることを特徴とする請求項1に記載の方法。 - 【請求項5】 前記洗浄溶液の温度が約40℃ないし約
80℃であることを特徴とする請求項1に記載の方法。 - 【請求項6】 前記フッ素-含有ガスが三フッ化窒素(NF
3)、六フッ化硫黄(SF6)及び三フッ化塩素(ClF3)よりな
る群から選択されたものであることを特徴とする請求項
1に記載の方法。 - 【請求項7】 フッ素-含有ガスの供給量が前記水素ガ
スに対して約0.1〜約5000vol%であることを特徴
とする請求項1に記載の方法。 - 【請求項8】 前記水素ガスとフッ素-含有ガスが工程
チャンバ内部に供給され、前記水素ガスはプラズマ状態
であり、前記フッ素-含有ガスは自然状態であることを
特徴とする請求項1に記載の方法。 - 【請求項9】 窒素またはアルゴンから選択された1種
以上のキャリアガスを前記水素ガス及びフッ素含有ガス
と共に工程チャンバ内部に供給することを特徴とする請
求項1に記載の方法。 - 【請求項10】 基板を水素ガス及びフッ素-含有ガス
で処理する段階が約−25℃ないし約50℃の温度及び
約0.01torrないし約10torrの圧力下で実施される
ことを特徴とする請求項1に記載の方法。 - 【請求項11】 基板を水素ガス及びフッ素-含有ガス
で処理する段階が約20秒ないし約600秒で実施され
ることを特徴とする請求項1に記載の方法。 - 【請求項12】 基板を水素ガス及びフッ素-含有ガス
で処理する段階及び基板をアニーリングする段階が同じ
工程チャンバ内で実施されることを特徴とする請求項1
に記載の方法。 - 【請求項13】 基板を洗浄溶液で処理した後にオゾン
水を用いてリンスする段階をさらに含むことを特徴とす
る請求項1に記載の方法。 - 【請求項14】 前記洗浄溶液処理及びオゾン水を用い
たリンスが同一バス内で実施されることを特徴とする請
求項13に記載の方法。 - 【請求項15】 前記オゾン水の温度が約0℃ないし約
30℃であることを特徴とする請求項13に記載の方
法。 - 【請求項16】 前記オゾン水が脱イオン水とオゾンを
含み、オゾンの含量が脱イオン水に対して約1ppmない
し約1,000ppmであることを特徴とする請求項13に
記載の方法。 - 【請求項17】 前記オゾン水の温度が約0℃ないし約
30℃であることを特徴とする請求項14に記載の方
法。 - 【請求項18】 前記オゾン水が脱イオン水とオゾンを
含み、オゾンの含量が脱イオン水に対して約1ppmない
し約1,000ppmであることを特徴とする請求項14に
記載の方法。 - 【請求項19】 基板をアニーリングする段階が約10
0℃ないし約500℃で約20秒ないし約600秒で実
施されることを特徴とする請求項1に記載の方法。 - 【請求項20】 基板を水素ガス及びフッ素-含有ガス
で処理する段階と基板をアニーリングする段階が2回以
上反復的に進行されることを特徴とする請求項1に記載
の方法。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR00P40986 | 2000-07-18 | ||
KR10-2000-0040986A KR100366623B1 (ko) | 2000-07-18 | 2000-07-18 | 반도체 기판 또는 lcd 기판의 세정방법 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2002050604A true JP2002050604A (ja) | 2002-02-15 |
JP3789083B2 JP3789083B2 (ja) | 2006-06-21 |
Family
ID=19678436
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2001168176A Expired - Lifetime JP3789083B2 (ja) | 2000-07-18 | 2001-06-04 | 洗浄溶液を用いた集積回路基板の汚染物質の除去方法 |
Country Status (3)
Country | Link |
---|---|
US (1) | US6513538B2 (ja) |
JP (1) | JP3789083B2 (ja) |
KR (1) | KR100366623B1 (ja) |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20040024051A (ko) * | 2002-09-12 | 2004-03-20 | 어드벤스드 알케미(주) | 반도체 소자의 세정액 및 이를 이용한 세정 방법 |
WO2004042811A1 (ja) * | 2002-11-08 | 2004-05-21 | Wako Pure Chemical Industries, Ltd. | 洗浄液及びそれを用いた洗浄方法 |
WO2009102004A1 (ja) * | 2008-02-15 | 2009-08-20 | Lion Corporation | 洗浄剤組成物および電子デバイス用基板の洗浄方法 |
JP5659152B2 (ja) * | 2009-04-30 | 2015-01-28 | ライオン株式会社 | 半導体用基板の洗浄方法および酸性溶液 |
Families Citing this family (209)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20060151007A1 (en) * | 1997-05-09 | 2006-07-13 | Bergman Eric J | Workpiece processing using ozone gas and chelating agents |
US7223676B2 (en) * | 2002-06-05 | 2007-05-29 | Applied Materials, Inc. | Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer |
US6939434B2 (en) * | 2000-08-11 | 2005-09-06 | Applied Materials, Inc. | Externally excited torroidal plasma source with magnetic control of ion distribution |
US20070042580A1 (en) * | 2000-08-10 | 2007-02-22 | Amir Al-Bayati | Ion implanted insulator material with reduced dielectric constant |
US7294563B2 (en) * | 2000-08-10 | 2007-11-13 | Applied Materials, Inc. | Semiconductor on insulator vertical transistor fabrication and doping process |
US7166524B2 (en) * | 2000-08-11 | 2007-01-23 | Applied Materials, Inc. | Method for ion implanting insulator material to reduce dielectric constant |
US7037813B2 (en) * | 2000-08-11 | 2006-05-02 | Applied Materials, Inc. | Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage |
US7288491B2 (en) * | 2000-08-11 | 2007-10-30 | Applied Materials, Inc. | Plasma immersion ion implantation process |
US7465478B2 (en) * | 2000-08-11 | 2008-12-16 | Applied Materials, Inc. | Plasma immersion ion implantation process |
US7479456B2 (en) * | 2004-08-26 | 2009-01-20 | Applied Materials, Inc. | Gasless high voltage high contact force wafer contact-cooling electrostatic chuck |
US7094670B2 (en) * | 2000-08-11 | 2006-08-22 | Applied Materials, Inc. | Plasma immersion ion implantation process |
US20050230047A1 (en) * | 2000-08-11 | 2005-10-20 | Applied Materials, Inc. | Plasma immersion ion implantation apparatus |
US7183177B2 (en) * | 2000-08-11 | 2007-02-27 | Applied Materials, Inc. | Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement |
US7303982B2 (en) * | 2000-08-11 | 2007-12-04 | Applied Materials, Inc. | Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage |
US7320734B2 (en) * | 2000-08-11 | 2008-01-22 | Applied Materials, Inc. | Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage |
JP3929261B2 (ja) * | 2000-09-25 | 2007-06-13 | 株式会社日立国際電気 | 基板処理装置および基板処理方法 |
US7111629B2 (en) * | 2001-01-08 | 2006-09-26 | Apl Co., Ltd. | Method for cleaning substrate surface |
SG96665A1 (en) * | 2001-11-21 | 2003-06-16 | Environmental Technology Inst | An apparatus and method for cleaning glass substrates using a cool hydrogen flame |
US7799141B2 (en) * | 2003-06-27 | 2010-09-21 | Lam Research Corporation | Method and system for using a two-phases substrate cleaning compound |
US7648584B2 (en) * | 2003-06-27 | 2010-01-19 | Lam Research Corporation | Method and apparatus for removing contamination from substrate |
US7913703B1 (en) | 2003-06-27 | 2011-03-29 | Lam Research Corporation | Method and apparatus for uniformly applying a multi-phase cleaning solution to a substrate |
US8316866B2 (en) * | 2003-06-27 | 2012-11-27 | Lam Research Corporation | Method and apparatus for cleaning a semiconductor substrate |
US8522801B2 (en) * | 2003-06-27 | 2013-09-03 | Lam Research Corporation | Method and apparatus for cleaning a semiconductor substrate |
US20040261823A1 (en) * | 2003-06-27 | 2004-12-30 | Lam Research Corporation | Method and apparatus for removing a target layer from a substrate using reactive gases |
US7737097B2 (en) * | 2003-06-27 | 2010-06-15 | Lam Research Corporation | Method for removing contamination from a substrate and for making a cleaning solution |
US20050124160A1 (en) * | 2003-12-05 | 2005-06-09 | Taiwan Semiconductor Manufacturing Co. | Novel multi-gate formation procedure for gate oxide quality improvement |
US8323420B2 (en) | 2005-06-30 | 2012-12-04 | Lam Research Corporation | Method for removing material from semiconductor wafer and apparatus for performing the same |
US8522799B2 (en) * | 2005-12-30 | 2013-09-03 | Lam Research Corporation | Apparatus and system for cleaning a substrate |
US8043441B2 (en) * | 2005-06-15 | 2011-10-25 | Lam Research Corporation | Method and apparatus for cleaning a substrate using non-Newtonian fluids |
US7862662B2 (en) * | 2005-12-30 | 2011-01-04 | Lam Research Corporation | Method and material for cleaning a substrate |
US7416370B2 (en) * | 2005-06-15 | 2008-08-26 | Lam Research Corporation | Method and apparatus for transporting a substrate using non-Newtonian fluid |
US7568490B2 (en) * | 2003-12-23 | 2009-08-04 | Lam Research Corporation | Method and apparatus for cleaning semiconductor wafers using compressed and/or pressurized foams, bubbles, and/or liquids |
US20050230350A1 (en) * | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US20050205835A1 (en) * | 2004-03-19 | 2005-09-22 | Tamboli Dnyanesh C | Alkaline post-chemical mechanical planarization cleaning compositions |
US20050211171A1 (en) * | 2004-03-26 | 2005-09-29 | Applied Materials, Inc. | Chemical vapor deposition plasma reactor having an ion shower grid |
US7695590B2 (en) * | 2004-03-26 | 2010-04-13 | Applied Materials, Inc. | Chemical vapor deposition plasma reactor having plural ion shower grids |
US7767561B2 (en) * | 2004-07-20 | 2010-08-03 | Applied Materials, Inc. | Plasma immersion ion implantation reactor having an ion shower grid |
US8058156B2 (en) * | 2004-07-20 | 2011-11-15 | Applied Materials, Inc. | Plasma immersion ion implantation reactor having multiple ion shower grids |
US7666464B2 (en) * | 2004-10-23 | 2010-02-23 | Applied Materials, Inc. | RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor |
US7422775B2 (en) * | 2005-05-17 | 2008-09-09 | Applied Materials, Inc. | Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing |
US7312162B2 (en) * | 2005-05-17 | 2007-12-25 | Applied Materials, Inc. | Low temperature plasma deposition process for carbon layer deposition |
US20060260545A1 (en) * | 2005-05-17 | 2006-11-23 | Kartik Ramaswamy | Low temperature absorption layer deposition and high speed optical annealing system |
US7312148B2 (en) * | 2005-08-08 | 2007-12-25 | Applied Materials, Inc. | Copper barrier reflow process employing high speed optical annealing |
US7429532B2 (en) * | 2005-08-08 | 2008-09-30 | Applied Materials, Inc. | Semiconductor substrate process using an optically writable carbon-containing mask |
US7323401B2 (en) * | 2005-08-08 | 2008-01-29 | Applied Materials, Inc. | Semiconductor substrate process using a low temperature deposited carbon-containing hard mask |
US7335611B2 (en) * | 2005-08-08 | 2008-02-26 | Applied Materials, Inc. | Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer |
US20070048980A1 (en) * | 2005-08-24 | 2007-03-01 | International Business Machines Corporation | Method for post-rie passivation of semiconductor surfaces for epitaxial growth |
SG154438A1 (en) | 2005-12-30 | 2009-08-28 | Lam Res Corp | Cleaning compound and method and system for using the cleaning compound |
US20080009134A1 (en) * | 2006-07-06 | 2008-01-10 | Tsung-Yu Hung | Method for fabricating metal silicide |
US20080268617A1 (en) * | 2006-08-09 | 2008-10-30 | Applied Materials, Inc. | Methods for substrate surface cleaning suitable for fabricating silicon-on-insulator structures |
US20080057678A1 (en) * | 2006-08-31 | 2008-03-06 | Kishor Purushottam Gadkaree | Semiconductor on glass insulator made using improved hydrogen reduction process |
US20080148595A1 (en) * | 2006-12-20 | 2008-06-26 | Lam Research Corporation | Method and apparatus for drying substrates using a surface tensions reducing gas |
WO2008089168A2 (en) * | 2007-01-19 | 2008-07-24 | Applied Materials, Inc. | Plasma immersion chamber |
US7897213B2 (en) * | 2007-02-08 | 2011-03-01 | Lam Research Corporation | Methods for contained chemical surface treatment |
US20100151677A1 (en) * | 2007-04-12 | 2010-06-17 | Freescale Semiconductor, Inc. | Etch method in the manufacture of a semiconductor device |
US8211846B2 (en) | 2007-12-14 | 2012-07-03 | Lam Research Group | Materials for particle removal by single-phase and two-phase media |
SG173462A1 (en) * | 2009-03-05 | 2011-09-29 | Applied Materials Inc | Methods for depositing layers having reduced interfacial contamination |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
JP5713808B2 (ja) * | 2010-07-09 | 2015-05-07 | 東京エレクトロン株式会社 | プラズマ処理方法及び半導体装置の製造方法 |
CN102453957A (zh) * | 2010-10-25 | 2012-05-16 | 上海华虹Nec电子有限公司 | 降低锗硅外延表面缺陷的方法 |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
CN104226625B (zh) * | 2013-06-07 | 2016-08-10 | 中国航天科工集团第三研究院第八三五八研究所 | 一种高反射膜表面层的去除方法 |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
Family Cites Families (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP3435698B2 (ja) * | 1992-03-11 | 2003-08-11 | 三菱瓦斯化学株式会社 | 半導体基板の洗浄液 |
JP3084497B2 (ja) * | 1992-03-25 | 2000-09-04 | 東京エレクトロン株式会社 | SiO2膜のエッチング方法 |
US5275687A (en) * | 1992-11-20 | 1994-01-04 | At&T Bell Laboratories | Process for removing surface contaminants from III-V semiconductors |
JP2760418B2 (ja) * | 1994-07-29 | 1998-05-28 | 住友シチックス株式会社 | 半導体ウエーハの洗浄液及びこれを用いた半導体ウエーハの洗浄方法 |
KR0140652B1 (ko) * | 1994-12-21 | 1998-07-15 | 김주용 | 반도체 기판의 세정방법 |
KR0170459B1 (ko) * | 1994-12-28 | 1999-03-30 | 김주용 | 웨이퍼 세정방법 및 그 장치 |
KR100510440B1 (ko) * | 1997-08-20 | 2005-10-21 | 삼성전자주식회사 | 세정용액및이를이용한반도체소자의세정방법 |
US5962384A (en) * | 1997-10-28 | 1999-10-05 | International Business Machines Corporation | Method for cleaning semiconductor devices |
JP3039493B2 (ja) * | 1997-11-28 | 2000-05-08 | 日本電気株式会社 | 基板の洗浄方法及び洗浄溶液 |
US6029608A (en) * | 1998-07-30 | 2000-02-29 | Johnson; Terry D. | Animal containment device |
US6313042B1 (en) * | 1999-09-03 | 2001-11-06 | Applied Materials, Inc. | Cleaning contact with successive fluorine and hydrogen plasmas |
US6348420B1 (en) * | 1999-12-23 | 2002-02-19 | Asm America, Inc. | Situ dielectric stacks |
-
2000
- 2000-07-18 KR KR10-2000-0040986A patent/KR100366623B1/ko active IP Right Grant
-
2001
- 2001-05-22 US US09/862,525 patent/US6513538B2/en not_active Expired - Lifetime
- 2001-06-04 JP JP2001168176A patent/JP3789083B2/ja not_active Expired - Lifetime
Cited By (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20040024051A (ko) * | 2002-09-12 | 2004-03-20 | 어드벤스드 알케미(주) | 반도체 소자의 세정액 및 이를 이용한 세정 방법 |
WO2004042811A1 (ja) * | 2002-11-08 | 2004-05-21 | Wako Pure Chemical Industries, Ltd. | 洗浄液及びそれを用いた洗浄方法 |
CN100437922C (zh) * | 2002-11-08 | 2008-11-26 | 和光纯药工业株式会社 | 洗涤液及使用该洗涤液的洗涤方法 |
WO2009102004A1 (ja) * | 2008-02-15 | 2009-08-20 | Lion Corporation | 洗浄剤組成物および電子デバイス用基板の洗浄方法 |
CN101946310B (zh) * | 2008-02-15 | 2012-09-12 | 狮王株式会社 | 清洗剂组合物及电子设备用基板的清洗方法 |
JP5286290B2 (ja) * | 2008-02-15 | 2013-09-11 | ライオン株式会社 | 洗浄剤組成物および電子デバイス用基板の洗浄方法、並びに電子デバイス用基板 |
US8809247B2 (en) | 2008-02-15 | 2014-08-19 | Lion Corporation | Cleaning composition and method for cleaning substrate for electronic device |
JP5659152B2 (ja) * | 2009-04-30 | 2015-01-28 | ライオン株式会社 | 半導体用基板の洗浄方法および酸性溶液 |
Also Published As
Publication number | Publication date |
---|---|
KR20020007604A (ko) | 2002-01-29 |
KR100366623B1 (ko) | 2003-01-09 |
JP3789083B2 (ja) | 2006-06-21 |
US6513538B2 (en) | 2003-02-04 |
US20020028585A1 (en) | 2002-03-07 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP3789083B2 (ja) | 洗浄溶液を用いた集積回路基板の汚染物質の除去方法 | |
KR100368193B1 (ko) | 수성 세정 조성물 | |
US8632692B2 (en) | Compositions for use in semiconductor devices | |
US6284721B1 (en) | Cleaning and etching compositions | |
US6703319B1 (en) | Compositions and methods for removing etch residue | |
JP3046208B2 (ja) | シリコンウェハおよびシリコン酸化物の洗浄液 | |
US20040163681A1 (en) | Dilute sulfuric peroxide at point-of-use | |
JPH06260463A (ja) | 金属含有汚染物除去用洗浄剤と汚染物洗浄法 | |
US20020119245A1 (en) | Method for etching electronic components containing tantalum | |
US6303482B1 (en) | Method for cleaning the surface of a semiconductor wafer | |
JPH08195369A (ja) | 基板の洗浄方法 | |
JPH07216392A (ja) | 洗浄剤及び洗浄方法 | |
US6444582B1 (en) | Methods for removing silicon-oxy-nitride layer and wafer surface cleaning | |
US7985297B2 (en) | Method of cleaning a quartz part | |
JP3239998B2 (ja) | 半導体基板の洗浄方法 | |
JPH1174180A (ja) | 半導体素子の製造方法 | |
JPH09321009A (ja) | 半導体装置の製造方法 | |
US6861007B2 (en) | Method for removing organic material from a substrate and for oxidizing oxidizable material thereon | |
KR20090048715A (ko) | 불순물 제거용 세정액 및 이를 이용한 불순물 제거방법 | |
JPH03218015A (ja) | 半導体基板の洗浄液及び半導体装置の製造方法 | |
JP2003316028A (ja) | レジスト残渣除去剤および洗浄剤 | |
JPH07321080A (ja) | シリコンウェハーの洗浄方法 | |
JPH0750281A (ja) | シリコンウェハーの洗浄方法 | |
KR19990075903A (ko) | 전자 표시 장치 및 기판용 세정 및 식각 조성물 | |
US20030221703A1 (en) | Method of removing germanium contamination on semiconductor substrate |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20050531 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20050705 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20051005 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20051101 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20060223 |
|
A911 | Transfer to examiner for re-examination before appeal (zenchi) |
Free format text: JAPANESE INTERMEDIATE CODE: A911 Effective date: 20060228 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20060322 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20060327 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 Ref document number: 3789083 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20100407 Year of fee payment: 4 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20110407 Year of fee payment: 5 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20120407 Year of fee payment: 6 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20130407 Year of fee payment: 7 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20140407 Year of fee payment: 8 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |